US20040259307A1 - Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory devcie - Google Patents

Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory devcie Download PDF

Info

Publication number
US20040259307A1
US20040259307A1 US10/217,401 US21740103A US2004259307A1 US 20040259307 A1 US20040259307 A1 US 20040259307A1 US 21740103 A US21740103 A US 21740103A US 2004259307 A1 US2004259307 A1 US 2004259307A1
Authority
US
United States
Prior art keywords
layer
forming
diffusion barrier
semiconductor substrate
recited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/217,401
Inventor
Kwon Hong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Priority to US10/217,401 priority Critical patent/US20040259307A1/en
Publication of US20040259307A1 publication Critical patent/US20040259307A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug

Definitions

  • the present invention relates to a method for fabricating a semiconductor memory device; and more particularly, to a method for fabricating a capacitor of semiconductor memory device.
  • a DRAM (Dynamic Random Access Memory) cell is a semiconductor memory device typically comprising one transistor and one capacitor, in which one bit of data is stored in a cell by using an electric charge.
  • a capacitor comprises of a lower electrode, a dielectric layer, and an upper electrode. One electrode of the capacitor is connected to the source/drain junction of the transistor. Another electrode of the capacitor is connected to a reference voltage line.
  • the capacitance of a capacitor is proportional to the surface area of the electrodes and a dielectric constant of a dielectric layer. As the area of the memory cell has decreased, the capacitance of the capacitors tends to decrease also, lowering the performance of the memory cells.
  • Stacked capacitors are formed by partially stacking the storage electrode over the transistor and over the bit/word line, thereby effectively reducing the area used for each memory cell.
  • a plug is used to connect the lower electrode of the capacitor with the source/drain junction of the transistor.
  • FIG. 1A to FIG. 1C A method for fabricating a capacitor of a semiconductor memory device according to the conventional method is described referring to FIG. 1A to FIG. 1C.
  • an insulating layer 15 is formed over a semiconductor substrate 10 , an isolation layer 11 , such as field oxide layer, and a transistor comprising a gate insulating layer 12 , a gate electrode 13 and the source/drain junctions 14 .
  • a plug 16 is formed in the interlayer insulating layer.
  • the plug 16 is composed of a ploysilicon layer 16 A, an ohmic contact layer 16 B and a diffusion barrier layer 16 C formed in a contact hole, exposing one of the source/drain junctions 14 .
  • a lower electrode 17 is formed on the diffusion barrier layer 16 C by depositing and patterning a first conductive layer.
  • the diffusion barrier layer 16 C may be exposed during the formation of the lower electrode 17 because of a mask misalignment.
  • the mask misalignment is frequently occurred in a manufacturing process of a highly integrated device.
  • a dielectric layer 18 is formed on the lower electrode 17 and an upper electrode 19 is formed on the dielectric layer 18 .
  • the dielectric layer 18 is formed with a material exhibiting a very high dielectric constant, such as Barium strontium titanate (BaSrTiO 3 , hereafter abbreviated BST), to increase the capacitance in a highly integrated device.
  • BST Barium strontium titanate
  • the exposed part of the diffusion barrier layer 16 C of the plug 16 is contacted to the dielectric layer 18 .
  • the diffusion barrier layer 16 C is oxidized during the process for forming the dielectric layer 18 , because the dielectric layer 18 , such as the BST layer, is formed under oxygen gas atmosphere and at high temperature.
  • the oxidized part of the diffusion barrier layer 16 C exhibiting low dielectric constant, plays a role of a dielectric layer of a capacitor, thereby the capacitance of the capacitor is reduced.
  • the other problem is that the work function difference, between the diffusion barrier 16 C and the dielectric layer 18 , is low, thereby the leakage current is increased because of the low Schottky barrier height.
  • a semiconductor memory device comprising: a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate; an interlayer insulating layer formed over the semiconductor substrate; a plug formed in the interlayer insulating layer, wherein the plug comprises a diffusion barrier layer and a conducting layer, and wherein the conducing layer is formed with a material capable of flowing current nevertheless the conducting layer is oxidized; a lower electrode of capacitor contacted to the conducing layer; a dielectric layer formed on the lower electrode; and an upper electrode formed on the dielectric layer.
  • a method for fabricating semiconductor memory device comprising the steps of: providing a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate; forming an interlayer insulating layer over the semiconductor substrate; etching the interlayer insulating layer to form a contact hole; forming a diffusion barrier layer and a conducting layer in the contact hole to form a plug, wherein the conducing layer is formed with a material capable of flowing current nevertheless the conducting layer is oxidized; forming a lower electrode contacted to the conducting layer; forming a dielectric layer on the lower electrode; and forming an upper electrode on the dielectric layer.
  • a method for fabricating semiconductor memory device comprising the steps of: providing a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate; forming an interlayer insulating layer over the semiconductor substrate; etching the interlayer insulating layer to form a contact hole; forming a plug, wherein a diffusion barrier and a conducting layer in the contact hole to form the plug, and wherein the conducing layer is formed with a material capable of flowing current nevertheless, the conducting layer is oxidized; forming a seed layer on the conducting layer; forming a glue layer on the seed layer; forming a sacrificial layer on glue layer; etching the sacrificial layer and the glue layer to form a opening defining a region of a lower electrode; forming a lower electrode on the seed layer in the opening; removing the sacrificial layer and the seed layer; forming a dielectric layer
  • FIG. 1A to FIG. 1C are cross sectional views showing a method for fabricating a semiconductor memory device according to the conventional method.
  • FIG. 2A to FIG. 2I are cross sectional views showing a method for fabricating a capacitor of a semiconductor device according to an embodiment of the present invention.
  • an interlayer insulating layer composed of a first insulating layer 21 and a second insulting layer 22 , is formed over a semiconductor substrate 20 , on which a determined lower structure (not shown), comprising an isolation layer, such as a field oxide layer, and a transistor including a gate insulating layer, a gate electrode and the source/drain junctions.
  • the second insulating layer 22 is formed with a material of which etching selectivity is higher than the first insulating layer 21 .
  • the first insulating layer 21 is formed by depositing a silicon oxide layer to a thickness of 3000-8000 ⁇
  • the second insulating layer 22 is formed by depositing a silicon nitride layer to a thickness of 300 to 1000 ⁇ .
  • the second insulating layer 22 and the first insulating layer 21 are etched to form a contact hole 100 exposing one of the source/drain junction (not shown) formed in the semiconductor substrate 20 .
  • a polysilicon layer 23 A, for forming a plug is deposited to a thickness of 500-3000 ⁇ on the second insulating layer 22 and the on semiconductor substrate 20 in the contact hole.
  • an etching process is performed to expose the surface of the second insulting layer 22 and to remove a part of the polysilicon layer 23 A in the contact hole.
  • the height difference 200 between the surface of the second insulating layer 22 and the surface of the polysilicon layer 23 A becomes 500 to 1500 ⁇ .
  • an ohmic contact layer 23 B and a diffusion barrier layer 23 C are formed, one by one, on the polysilicon layer 23 A. Subsequently, a chemical mechanical polishing (hereafter, abbreviated CMP) process is performed until the surface of the second insulating layer 22 is exposed.
  • CMP chemical mechanical polishing
  • the ohmic contact layer 23 B is formed with TiSi x
  • the diffusion barrier layer 23 C is formed with TiN, TiSiN, TiAlN, TaSiN, TaAlN, IrO 2 or RuO 2 .
  • a Ti layer is deposited, an annealing process is performed for reaction between Ti atom in the titanium layer and Si atom in the polysilicon layer 23 A, and a wet etching process is performed to remove the Ti layer remaining on the second insulating layer 22 and the TiSi x layer.
  • a part of the diffusion barrier layer 23 C is etched using an etchant, such as a mixed gas comprising Cl 2 and BCl 3 , to which the diffusion barrier layer 23 C has higher etching selectivity than the second insulting layer 22 .
  • an etchant such as a mixed gas comprising Cl 2 and BCl 3
  • a conducting layer 23 D is deposited on the second insulating layer 22 and the diffusion barrier layer 23 C, and a blanket etching process or a CMP process is performed until the second insulating layer 22 is exposed.
  • the plug 23 composed of polysilicon layer 23 A, the ohmic contact layer 23 B, the diffusion barrier 23 C and the conducting layer 23 D a, is completely formed.
  • the conducting layer 23 D is formed with a material which can flow current even if it is oxidized.
  • Ru, Pt or Ir is deposited by using chemical vapor deposition technique, for forming the conducting layer 23 D.
  • the blanket etching process or the CMP process may be skipped, in order to use the conducting layer 34 D as a seed layer for forming a lower electrode of a capacitor.
  • the process for forming the polysilicon layer 23 A may be omitted, in such case, the plug 23 is composed of the ohmic contact layer 23 B layer, the diffusion barrier layer 23 C and the conducting layer 24 D.
  • the process for forming the ohmic contact layer 23 B can be omitted, in such a case, the plug 23 is composed of the polusilicon layer 23 A, diffusion barrier layer 23 C and the conducting layer 24 D. Accordingly, it is possible that the plug 23 is composed of the diffusion barrier layer 23 C and the conducting layer 23 D.
  • a seed layer 24 is formed on the conducting layer 23 D and the second insulating layer 22 , thereafter a glue layer 25 and a sacrificial layer 26 are stacked, one by one, on the seed layer 24 .
  • the seed layer 24 is formed with Pt or Ru 50-100 ⁇ thick
  • the glue layer 25 is formed with.
  • the sacrificial layer 26 is formed with silicon oxide 5000-15000 ⁇ thick.
  • the conducting layer 23 D is formed
  • the processes for forming the seed layer 24 and the glue layer 25 can be omitted depending the various methods for forming a lower electrode.
  • the sacrificial layer 26 and the glue layer 25 is selectively etched to form opening 300 exposing the seed layer 24 , and a lower electrode 27 is formed on the seed layer 24 in the opening 300 .
  • a Pt layer as the lower electrode 27 is deposited to a thickness of 4000-12000 ⁇ by the electroplating.
  • a current density of 0.1-20 mA/cm 2 is imposed on electrodes for electroplating, with DC or DC pulse.
  • the sacrificial layer 26 , the glue layer 25 and the seed layer 24 are removed to separate neighboring the lower electrodes 27 .
  • the sacrificial layer 26 and the glue layer 25 are removed by a wet etching, and the seed layer 24 is removed by a dry etching.
  • the glue layer 25 can be removed by a dry etching.
  • the diffusion barrier layer 23 C of the plug 23 is not exposed, even if the mask misalignment is occurred in the process for forming the opening 300 . That is, the conducting layer 23 D, covering the diffusion layer 23 C, is exposed in case of occurring the mask misalignment.
  • a dielectric layer 28 is deposited on the lower electrode 27 and the second insulating layer 22 . Thereafter, an upper electrode 29 is formed on the dielectric layer 28 .
  • a BST layer is deposited to a thickness of 150-500 ⁇ at a temperature of 350-600° C. for forming the dielectric layer 28 , and an annealing for crystallizing the dielectric layer 28 is performed in an N 2 gas atmosphere at a temperature of 500-700° C. for 30-180 seconds, thereby dielectric characteristic of the dielectric layer 28 may be improved.
  • the upper electrode 29 is formed with a material, which can flow current even if it is oxidized, such as Pt, Ru, Ir.
  • a first advantage is that it is possible to prevent the dielectric layer being contacted with the diffusion barrier.
  • a second advantage is that it is possible to reduce the leakage current.
  • a third advantage is that it is possible to prevent the diffusion barrier from being exposed even if the mask misalign is occurred, thereby the annealing for crystallizing the dielectric layer can be performed at a high temperature.
  • a fourth advantage is that it is possible to obtain high capacitance of the capacitor in the highly integrated semiconductor device.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)

Abstract

The present invention provides a semiconductor memory device and a method capable of preventing the contact between a dielectric layer of a capacitor and a diffusion barrier. The plug to be contacted to an electrode of a capacitor, comprises a diffusion barrier layer and a conducting layer. The conducing layer is formed with a material capable of flowing current nevertheless the conducting layer is oxidized. Accordingly, it is possible to prevent the dielectric layer being contacted with the diffusion barrier, there by the leakage current may be reduced, and the capacitance of the capacitor may be increased.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a method for fabricating a semiconductor memory device; and more particularly, to a method for fabricating a capacitor of semiconductor memory device. [0001]
  • DESCRIPTION OF THE PRIOR ART
  • A DRAM (Dynamic Random Access Memory) cell is a semiconductor memory device typically comprising one transistor and one capacitor, in which one bit of data is stored in a cell by using an electric charge. A capacitor comprises of a lower electrode, a dielectric layer, and an upper electrode. One electrode of the capacitor is connected to the source/drain junction of the transistor. Another electrode of the capacitor is connected to a reference voltage line. [0002]
  • Advances in computer applications have increased the demand for higher capacity memory chips. Decreasing the size of the memory cells allows more memory cells to be packed into an integrated circuit. [0003]
  • The capacitance of a capacitor is proportional to the surface area of the electrodes and a dielectric constant of a dielectric layer. As the area of the memory cell has decreased, the capacitance of the capacitors tends to decrease also, lowering the performance of the memory cells. [0004]
  • In order to increase the density of memory cells, stacked capacitors have been proposed. Stacked capacitors are formed by partially stacking the storage electrode over the transistor and over the bit/word line, thereby effectively reducing the area used for each memory cell. [0005]
  • A plug is used to connect the lower electrode of the capacitor with the source/drain junction of the transistor. [0006]
  • A method for fabricating a capacitor of a semiconductor memory device according to the conventional method is described referring to FIG. 1A to FIG. 1C. [0007]
  • As shown in FIG. 1A, an [0008] insulating layer 15 is formed over a semiconductor substrate 10, an isolation layer 11, such as field oxide layer, and a transistor comprising a gate insulating layer 12, a gate electrode 13 and the source/drain junctions 14. Thereafter, a plug 16 is formed in the interlayer insulating layer. The plug 16 is composed of a ploysilicon layer 16A, an ohmic contact layer 16B and a diffusion barrier layer 16C formed in a contact hole, exposing one of the source/drain junctions 14.
  • As shown in FIG. 1B, a [0009] lower electrode 17 is formed on the diffusion barrier layer 16C by depositing and patterning a first conductive layer. The diffusion barrier layer 16C may be exposed during the formation of the lower electrode 17 because of a mask misalignment. The mask misalignment is frequently occurred in a manufacturing process of a highly integrated device.
  • As shown in FIG. 1C, a [0010] dielectric layer 18 is formed on the lower electrode 17 and an upper electrode 19 is formed on the dielectric layer 18. The dielectric layer 18 is formed with a material exhibiting a very high dielectric constant, such as Barium strontium titanate (BaSrTiO3, hereafter abbreviated BST), to increase the capacitance in a highly integrated device.
  • According to the preceding conventional method, the exposed part of the [0011] diffusion barrier layer 16C of the plug 16 is contacted to the dielectric layer 18.
  • There are several problems generated by the contact between the [0012] diffusion layer 16C and the dielectric layer 18. One problem is that the diffusion barrier layer 16C is oxidized during the process for forming the dielectric layer 18, because the dielectric layer 18, such as the BST layer, is formed under oxygen gas atmosphere and at high temperature. The oxidized part of the diffusion barrier layer 16C, exhibiting low dielectric constant, plays a role of a dielectric layer of a capacitor, thereby the capacitance of the capacitor is reduced. The other problem is that the work function difference, between the diffusion barrier 16C and the dielectric layer 18, is low, thereby the leakage current is increased because of the low Schottky barrier height.
  • SUMMARY OF THE INVENTION
  • It is, therefore, an object of the present invention to provide a semiconductor memory device and a fabrication method capable of preventing the contact between a dielectric layer of a capacitor and a diffusion barrier of a plug. [0013]
  • It is, therefore, another object of the present invention to provide a semiconductor memory device and a fabrication method capable of preventing the lowering the capacitance of a capacitor and the increasing the leakage current between the lower electrode of a capacitor and a diffusion barrier of a plug. [0014]
  • In accordance with an aspect of the present invention, there is provided a semiconductor memory device, comprising: a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate; an interlayer insulating layer formed over the semiconductor substrate; a plug formed in the interlayer insulating layer, wherein the plug comprises a diffusion barrier layer and a conducting layer, and wherein the conducing layer is formed with a material capable of flowing current nevertheless the conducting layer is oxidized; a lower electrode of capacitor contacted to the conducing layer; a dielectric layer formed on the lower electrode; and an upper electrode formed on the dielectric layer. [0015]
  • In accordance with another aspect of the present invention, there is provided a method for fabricating semiconductor memory device, comprising the steps of: providing a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate; forming an interlayer insulating layer over the semiconductor substrate; etching the interlayer insulating layer to form a contact hole; forming a diffusion barrier layer and a conducting layer in the contact hole to form a plug, wherein the conducing layer is formed with a material capable of flowing current nevertheless the conducting layer is oxidized; forming a lower electrode contacted to the conducting layer; forming a dielectric layer on the lower electrode; and forming an upper electrode on the dielectric layer. [0016]
  • In accordance with still further another aspect of the present invention, there is a method for fabricating semiconductor memory device, comprising the steps of: providing a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate; forming an interlayer insulating layer over the semiconductor substrate; etching the interlayer insulating layer to form a contact hole; forming a plug, wherein a diffusion barrier and a conducting layer in the contact hole to form the plug, and wherein the conducing layer is formed with a material capable of flowing current nevertheless, the conducting layer is oxidized; forming a seed layer on the conducting layer; forming a glue layer on the seed layer; forming a sacrificial layer on glue layer; etching the sacrificial layer and the glue layer to form a opening defining a region of a lower electrode; forming a lower electrode on the seed layer in the opening; removing the sacrificial layer and the seed layer; forming a dielectric layer on the lower electrode; and forming a upper electrode on the dielectric layer.[0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other objects and features of the present invention will become apparent from the following description of the preferred embodiments given in conjunction with the accompanying drawings, in which: [0018]
  • FIG. 1A to FIG. 1C are cross sectional views showing a method for fabricating a semiconductor memory device according to the conventional method. [0019]
  • FIG. 2A to FIG. 2I are cross sectional views showing a method for fabricating a capacitor of a semiconductor device according to an embodiment of the present invention.[0020]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Hereinafter, a semiconductor memory device fabrication method according to embodiments of the present invention will be described in detail referring to the accompanying drawings. [0021]
  • As shown in FIG. 2A, an interlayer insulating layer, composed of a [0022] first insulating layer 21 and a second insulting layer 22, is formed over a semiconductor substrate 20, on which a determined lower structure (not shown), comprising an isolation layer, such as a field oxide layer, and a transistor including a gate insulating layer, a gate electrode and the source/drain junctions. The second insulating layer 22 is formed with a material of which etching selectivity is higher than the first insulating layer 21. In a preferred embodiment of the present invention, the first insulating layer 21 is formed by depositing a silicon oxide layer to a thickness of 3000-8000 Å, and the second insulating layer 22 is formed by depositing a silicon nitride layer to a thickness of 300 to 1000 Å.
  • As shown in FIG. 2B, the second [0023] insulating layer 22 and the first insulating layer 21 are etched to form a contact hole 100 exposing one of the source/drain junction (not shown) formed in the semiconductor substrate 20. And then, a polysilicon layer 23A, for forming a plug is deposited to a thickness of 500-3000 Å on the second insulating layer 22 and the on semiconductor substrate 20 in the contact hole. Thereafter, an etching process is performed to expose the surface of the second insulting layer 22 and to remove a part of the polysilicon layer 23A in the contact hole. Thereby, the height difference 200 between the surface of the second insulating layer 22 and the surface of the polysilicon layer 23A becomes 500 to 1500 Å.
  • As sown in FIG. 2C, an [0024] ohmic contact layer 23B and a diffusion barrier layer 23C are formed, one by one, on the polysilicon layer 23A. Subsequently, a chemical mechanical polishing (hereafter, abbreviated CMP) process is performed until the surface of the second insulating layer 22 is exposed. In a preferred embodiment of the present invention, the ohmic contact layer 23B is formed with TiSix, and the diffusion barrier layer 23C is formed with TiN, TiSiN, TiAlN, TaSiN, TaAlN, IrO2 or RuO2. For forming the TiSix, a Ti layer is deposited, an annealing process is performed for reaction between Ti atom in the titanium layer and Si atom in the polysilicon layer 23A, and a wet etching process is performed to remove the Ti layer remaining on the second insulating layer 22 and the TiSix layer.
  • As shown in FIG. 2D, a part of the [0025] diffusion barrier layer 23C is etched using an etchant, such as a mixed gas comprising Cl2 and BCl3, to which the diffusion barrier layer 23C has higher etching selectivity than the second insulting layer 22.
  • As shown in FIG. 2E, a [0026] conducting layer 23D is deposited on the second insulating layer 22 and the diffusion barrier layer 23C, and a blanket etching process or a CMP process is performed until the second insulating layer 22 is exposed. Thereby, the plug 23 composed of polysilicon layer 23A, the ohmic contact layer 23B, the diffusion barrier 23C and the conducting layer 23D a, is completely formed. The conducting layer 23D is formed with a material which can flow current even if it is oxidized. In the preferred embodiment of the present invention, Ru, Pt or Ir is deposited by using chemical vapor deposition technique, for forming the conducting layer 23D. On the other hand, the blanket etching process or the CMP process may be skipped, in order to use the conducting layer 34D as a seed layer for forming a lower electrode of a capacitor.
  • Also, the process for forming the [0027] polysilicon layer 23A may be omitted, in such case, the plug 23 is composed of the ohmic contact layer 23B layer, the diffusion barrier layer 23C and the conducting layer 24D. Moreover, the process for forming the ohmic contact layer 23B can be omitted, in such a case, the plug 23 is composed of the polusilicon layer 23A, diffusion barrier layer 23C and the conducting layer 24D. Accordingly, it is possible that the plug 23 is composed of the diffusion barrier layer 23C and the conducting layer 23D.
  • As shown in FIG. 2F, a [0028] seed layer 24 is formed on the conducting layer 23D and the second insulating layer 22, thereafter a glue layer 25 and a sacrificial layer 26 are stacked, one by one, on the seed layer 24. In the preferred embodiment of the present invention, the seed layer 24 is formed with Pt or Ru 50-100 Å thick, the glue layer 25 is formed with. TiN, TiAlN, TaN, TaSiN, Al2O3 or TiO2 50-500 Å thick, and the sacrificial layer 26 is formed with silicon oxide 5000-15000 Å thick. In case that the conducting layer 23D is formed
  • On the other hand, the processes for forming the [0029] seed layer 24 and the glue layer 25 can be omitted depending the various methods for forming a lower electrode.
  • As shown in FIG. 2G, the [0030] sacrificial layer 26 and the glue layer 25 is selectively etched to form opening 300 exposing the seed layer 24, and a lower electrode 27 is formed on the seed layer 24 in the opening 300. In the preferred embodiment of the present invention, a Pt layer as the lower electrode 27, is deposited to a thickness of 4000-12000 Å by the electroplating. A current density of 0.1-20 mA/cm2 is imposed on electrodes for electroplating, with DC or DC pulse.
  • As shown FIG. 2H, the [0031] sacrificial layer 26, the glue layer 25 and the seed layer 24 are removed to separate neighboring the lower electrodes 27. The sacrificial layer 26 and the glue layer 25 are removed by a wet etching, and the seed layer 24 is removed by a dry etching. Also, the glue layer 25 can be removed by a dry etching.
  • According to the preceding process of the present invention, the [0032] diffusion barrier layer 23C of the plug 23 is not exposed, even if the mask misalignment is occurred in the process for forming the opening 300. That is, the conducting layer 23D, covering the diffusion layer 23C, is exposed in case of occurring the mask misalignment.
  • As shown in FIG. 2I, a [0033] dielectric layer 28 is deposited on the lower electrode 27 and the second insulating layer 22. Thereafter, an upper electrode 29 is formed on the dielectric layer 28. In the preferred embodiment of the present invention, a BST layer is deposited to a thickness of 150-500 Å at a temperature of 350-600° C. for forming the dielectric layer 28, and an annealing for crystallizing the dielectric layer 28 is performed in an N2 gas atmosphere at a temperature of 500-700° C. for 30-180 seconds, thereby dielectric characteristic of the dielectric layer 28 may be improved. The upper electrode 29 is formed with a material, which can flow current even if it is oxidized, such as Pt, Ru, Ir.
  • There are several advantages to form the conducing layer on the diffusion barrier. A first advantage is that it is possible to prevent the dielectric layer being contacted with the diffusion barrier. A second advantage is that it is possible to reduce the leakage current. A third advantage is that it is possible to prevent the diffusion barrier from being exposed even if the mask misalign is occurred, thereby the annealing for crystallizing the dielectric layer can be performed at a high temperature. A fourth advantage is that it is possible to obtain high capacitance of the capacitor in the highly integrated semiconductor device. [0034]
  • Although the preferred embodiments of the invention have been disclosed for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims. [0035]

Claims (18)

What is claimed is:
1. A semiconductor memory device, comprising:
a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate;
an interlayer insulating layer formed over the semiconductor substrate;
a plug formed in the interlayer insulating layer, wherein the plug comprises a diffusion barrier layer and a conducting layer, and wherein the conducing layer is formed with a material capable of flowing current nevertheless the conducting layer is oxidized;
a lower electrode of capacitor contacted to the conducing layer;
a dielectric layer formed on the lower electrode; and
an upper electrode formed on the dielectric layer.
2. The semiconductor device as recited in claim 1, the conducting layer is selected from a group consisting of Ru layer, Ir layer, Pt layer and Ir layer.
3. The semiconductor device as recited in claim 1, the diffusion barrier layer is selected from a group consisting of TiN layer, TiSiN layer, TiAlN layer, TaSiN layer, TaAlN layer, IrO2 layer and RuO2 layer.
4. The semiconductor device as recited in claim 1, further comprising a polysilicon layer between the diffusion barrier layer and the semiconductor substrate.
5. The semiconductor device as recited in claim 1, further comprising an ohmic contact layer between the diffusion barrier layer and the semiconductor substrate.
6. The semiconductor device as recited in claim 5, further comprising a polysilicon layer between the ohmic contact layer and the semiconductor substrate.
7. A method for fabricating semiconductor memory device, comprising the steps of:
providing a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate;
forming an interlayer insulating layer over the semiconductor substrate;
etching the interlayer insulating layer to form a contact hole;
forming a diffusion barrier layer and a conducting layer in the contact hole to form a plug, wherein the conducing layer is formed with a material capable of flowing current nevertheless the conducting layer is oxidized;
forming a lower electrode contacted to the conducting layer;
forming a dielectric layer on the lower electrode; and
forming an upper electrode on the dielectric layer.
8. The method as recited in claim 7, wherein the conducting layer is formed with Ir, Pt or Ru.
9. The method as recited in claim 8, the lower electrode is formed by an electroplating by using the conducting layer as a see layer.
10. The method as recited in claim 7, wherein the diffusion barrier layer is formed with TiN, TiSiN, TiAlN, TaSiN, TaAlN, IrO2 or RuO2.
11. The method as recited in claim 7, wherein the dielectric layer is formed with BaSrTiO3 layer, and wherein the upper electrode is formed with Pt layer, Ru layer or Ir layer.
12. A method for fabricating semiconductor memory device, comprising the steps of:
providing a semiconductor substrate, wherein a gate electrode is formed on the semiconductor substrate, and wherein source/drain junctions are formed in the semiconductor substrate;
forming an interlayer insulating layer over the semiconductor substrate;
etching the interlayer insulating layer to form a contact hole;
forming a plug, wherein a diffusion barrier and a conducting layer in the contact hole to form the plug, and wherein the conducing layer is formed with a material capable of flowing current nevertheless the conducting layer is oxidized;
forming a seed layer on the conducting layer;
forming a glue layer on the seed layer;
forming a sacrificial layer on glue layer;
etching the sacrificial layer and the glue layer to form a opening defining a region of a lower electrode;
forming a lower electrode on the seed layer in the opening;
removing the sacrificial layer and the seed layer;
forming a dielectric layer on the lower electrode; and
forming a upper electrode on the dielectric layer.
13. The method as recited in claim 12, the step of forming the plug including:
forming the diffusion barrier layer in the contact hole;
etching the diffusion barrier to remove a part of the diffusion barrier layer in the contact hole; and
forming the conducting layer on the diffusion barrier layer.
14. The method as recited in claim 12, wherein the lower electrode is formed by an electroplating.
15. The method as recited in claim 13, wherein the conducting layer is formed with Ir, Pt or Ir, and wherein the diffusion barrier layer is formed with TiN, TiSiN, TiAlN, TaSiN, TaAlN, IrO2 or RuO2.
16. The method as recited in claim 15, wherein a silicon oxide layer and a nitride layer are staked to form the interlayer insulating layer.
17. The method as recited in claim 16, wherein the diffusion barrier layer is etched with a mixed gas comprising Cl2 and BCl3.
18. The method as recited in claim 16, the dielectric layer is formed with a BaSrTiO3 layer, and wherein the upper electrode is formed with Pt layer, Ru layer or Ir layer.
US10/217,401 2000-06-19 2003-10-09 Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory devcie Abandoned US20040259307A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/217,401 US20040259307A1 (en) 2000-06-19 2003-10-09 Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory devcie

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR2000-33617 2000-06-19
KR1020000033617A KR100612561B1 (en) 2000-06-19 2000-06-19 Method of manufacturing a capacitor in a semiconductor device
US09/882,284 US6461913B2 (en) 2000-06-19 2001-06-18 Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory device
US10/217,401 US20040259307A1 (en) 2000-06-19 2003-10-09 Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory devcie

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/882,284 Division US6461913B2 (en) 2000-06-19 2001-06-18 Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory device

Publications (1)

Publication Number Publication Date
US20040259307A1 true US20040259307A1 (en) 2004-12-23

Family

ID=19672519

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/882,284 Expired - Lifetime US6461913B2 (en) 2000-06-19 2001-06-18 Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory device
US10/217,401 Abandoned US20040259307A1 (en) 2000-06-19 2003-10-09 Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory devcie

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/882,284 Expired - Lifetime US6461913B2 (en) 2000-06-19 2001-06-18 Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory device

Country Status (7)

Country Link
US (2) US6461913B2 (en)
JP (1) JP4743371B2 (en)
KR (1) KR100612561B1 (en)
CN (1) CN1223001C (en)
DE (1) DE10130626B4 (en)
GB (1) GB2368972B (en)
TW (1) TW512528B (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451666B2 (en) * 1999-12-27 2002-09-17 Hyundai Electronics Industries Co., Ltd Method for forming a lower electrode by using an electroplating method
KR100390952B1 (en) * 2000-06-28 2003-07-10 주식회사 하이닉스반도체 Method of manufacturing a capacitor
KR100676534B1 (en) * 2000-06-28 2007-01-30 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100646947B1 (en) * 2000-06-29 2006-11-17 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
JP4467229B2 (en) * 2001-09-12 2010-05-26 株式会社ハイニックスセミコンダクター Manufacturing method of semiconductor device
KR100448852B1 (en) * 2001-12-26 2004-09-18 주식회사 하이닉스반도체 Method for manufacturing a capacitor of semiconductor device
KR20030058038A (en) * 2001-12-29 2003-07-07 주식회사 하이닉스반도체 Forming method for capacitor of semiconductor device
KR100448243B1 (en) * 2002-01-07 2004-09-13 주식회사 하이닉스반도체 Method for fabricating capacitor
KR100428658B1 (en) * 2002-04-26 2004-04-28 주식회사 하이닉스반도체 Method for fabricating capacitor using electro chemical deposition and wet etching
KR100443361B1 (en) * 2002-04-26 2004-08-09 주식회사 하이닉스반도체 Method for fabricating capacitor using electro chemical deposition
KR100875647B1 (en) * 2002-05-17 2008-12-24 주식회사 하이닉스반도체 Capacitor Formation Method of Semiconductor Device
JP2003347427A (en) 2002-05-23 2003-12-05 Mitsubishi Electric Corp Semiconductor device and method of manufacturing the same
KR100500940B1 (en) * 2002-06-21 2005-07-14 주식회사 하이닉스반도체 Method for fabricating capacitor in semiconductor device
KR100480601B1 (en) * 2002-06-21 2005-04-06 삼성전자주식회사 Semiconductor memory device and manufacturing method thereof
KR100800136B1 (en) * 2002-06-28 2008-02-01 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR100870315B1 (en) * 2002-07-18 2008-11-25 매그나칩 반도체 유한회사 Method for manufacturing semiconductor device
KR100859949B1 (en) * 2002-07-19 2008-09-23 매그나칩 반도체 유한회사 Method for manufacturing analog semiconductor device
KR100782790B1 (en) * 2002-07-30 2007-12-05 동부일렉트로닉스 주식회사 Semiconductor device and fabrication method of thereof
US8480006B2 (en) * 2006-09-08 2013-07-09 Ventech, Llc Vehicle supplemental heating system

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5825609A (en) * 1996-04-23 1998-10-20 International Business Machines Corporation Compound electrode stack capacitor
US5877062A (en) * 1996-11-13 1999-03-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having protected diffusion barrier metal layers therein
US5892254A (en) * 1997-02-27 1999-04-06 Samsung Electronics Co., Ltd. Integrated circuit capacitors including barrier layers having grain boundary filling material
US5994153A (en) * 1996-11-05 1999-11-30 Sony Corporation Fabrication process of a capacitor structure of semiconductor memory cell
US6162744A (en) * 1998-02-28 2000-12-19 Micron Technology, Inc. Method of forming capacitors having high-K oxygen containing capacitor dielectric layers, method of processing high-K oxygen containing dielectric layers, method of forming a DRAM cell having having high-K oxygen containing capacitor dielectric layers
US6165834A (en) * 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6194752B1 (en) * 1997-06-16 2001-02-27 Sanyo Electric Co., Ltd. Dielectric device, dielectric memory and method of fabricating the same
US6235579B1 (en) * 1999-10-18 2001-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing stacked capacitor
US6235603B1 (en) * 1999-07-12 2001-05-22 Motorola Inc. Method for forming a semiconductor device using an etch stop layer
US6255187B1 (en) * 1999-04-21 2001-07-03 Samsung Electronics Co., Ltd. Method of fabricating self-aligning stacked capacitor using electroplating method
US20010010956A1 (en) * 1999-12-28 2001-08-02 Hong Suk-Kyoung Method for manufacturing semiconductor memory device incorporating therein copacitor
US6291250B1 (en) * 1999-06-03 2001-09-18 Oki Electric Industry, Co., Ltd. Method for manufacturing semiconductor memory device
US6326294B1 (en) * 2000-04-27 2001-12-04 Kwangju Institute Of Science And Technology Method of fabricating an ohmic metal electrode for use in nitride compound semiconductor devices
US6335241B1 (en) * 1998-08-07 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6355492B1 (en) * 1997-01-22 2002-03-12 Sony Corporation Process for the manufacturing of oxide electrodes for ferroelectric capacitor

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09102591A (en) * 1995-07-28 1997-04-15 Toshiba Corp Semiconductor device and manufacture thereof
KR100215867B1 (en) * 1996-04-12 1999-08-16 구본준 Capacitor of semiconductor device and its fabrication method
KR19980026333A (en) * 1996-10-09 1998-07-15 문정환 Capacitor Structure and Manufacturing Method
KR100219506B1 (en) * 1996-12-04 1999-09-01 윤종용 A capacitor manufacturing method of semiconductor device
KR100230402B1 (en) * 1996-12-31 1999-11-15 윤종용 Capacitor of semiconductor device and manufacturing method thereof
JPH1174487A (en) * 1997-06-30 1999-03-16 Fujitsu Ltd Semiconductor device and manufacture thereof
KR100474989B1 (en) * 1997-07-15 2005-07-28 삼성전자주식회사 Manufacturing method of capacitor with barrier layer for semiconductor device
JP3549715B2 (en) * 1997-10-15 2004-08-04 日本電気株式会社 Method for producing Bi layered ferroelectric thin film
JPH11265984A (en) * 1998-03-17 1999-09-28 Sony Corp Manufacture of semiconductor device
KR100300046B1 (en) * 1998-05-26 2002-05-09 김영환 Fabricating method of semiconductor device
CN1516275A (en) * 1998-07-03 2004-07-28 ���µ�����ҵ��ʽ���� Semiconductor device and its mfg. method
KR20000026967A (en) * 1998-10-24 2000-05-15 김영환 Capacitor of semiconductor device and method for forming the same
TW454325B (en) * 2000-01-13 2001-09-11 Winbond Electronics Corp Structure and manufacturing method of pedestal storage node and its contact plug
US6326315B1 (en) * 2000-03-09 2001-12-04 Symetrix Corporation Low temperature rapid ramping anneal method for fabricating layered superlattice materials and making electronic devices including same
JP2001274349A (en) * 2000-03-24 2001-10-05 Mitsubishi Electric Corp Semiconductor device and its manufacturing method

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5825609A (en) * 1996-04-23 1998-10-20 International Business Machines Corporation Compound electrode stack capacitor
US5994153A (en) * 1996-11-05 1999-11-30 Sony Corporation Fabrication process of a capacitor structure of semiconductor memory cell
US5877062A (en) * 1996-11-13 1999-03-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having protected diffusion barrier metal layers therein
US6355492B1 (en) * 1997-01-22 2002-03-12 Sony Corporation Process for the manufacturing of oxide electrodes for ferroelectric capacitor
US5892254A (en) * 1997-02-27 1999-04-06 Samsung Electronics Co., Ltd. Integrated circuit capacitors including barrier layers having grain boundary filling material
US6194752B1 (en) * 1997-06-16 2001-02-27 Sanyo Electric Co., Ltd. Dielectric device, dielectric memory and method of fabricating the same
US6162744A (en) * 1998-02-28 2000-12-19 Micron Technology, Inc. Method of forming capacitors having high-K oxygen containing capacitor dielectric layers, method of processing high-K oxygen containing dielectric layers, method of forming a DRAM cell having having high-K oxygen containing capacitor dielectric layers
US6165834A (en) * 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6335241B1 (en) * 1998-08-07 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6255187B1 (en) * 1999-04-21 2001-07-03 Samsung Electronics Co., Ltd. Method of fabricating self-aligning stacked capacitor using electroplating method
US6291250B1 (en) * 1999-06-03 2001-09-18 Oki Electric Industry, Co., Ltd. Method for manufacturing semiconductor memory device
US6235603B1 (en) * 1999-07-12 2001-05-22 Motorola Inc. Method for forming a semiconductor device using an etch stop layer
US6235579B1 (en) * 1999-10-18 2001-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing stacked capacitor
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US20010010956A1 (en) * 1999-12-28 2001-08-02 Hong Suk-Kyoung Method for manufacturing semiconductor memory device incorporating therein copacitor
US6326294B1 (en) * 2000-04-27 2001-12-04 Kwangju Institute Of Science And Technology Method of fabricating an ohmic metal electrode for use in nitride compound semiconductor devices

Also Published As

Publication number Publication date
DE10130626B4 (en) 2012-03-15
GB2368972B (en) 2004-10-13
DE10130626A1 (en) 2002-01-31
KR20010113324A (en) 2001-12-28
GB0114388D0 (en) 2001-08-08
KR100612561B1 (en) 2006-08-11
TW512528B (en) 2002-12-01
JP2002026145A (en) 2002-01-25
CN1223001C (en) 2005-10-12
GB2368972A (en) 2002-05-15
US6461913B2 (en) 2002-10-08
CN1330408A (en) 2002-01-09
JP4743371B2 (en) 2011-08-10
US20020030217A1 (en) 2002-03-14

Similar Documents

Publication Publication Date Title
US6461913B2 (en) Semiconductor memory device having plug contacted to a capacitor electrode and method for fabricating a capacitor of the semiconductor memory device
US6825082B2 (en) Ferroelectric memory device and method of forming the same
US6555431B1 (en) Method for forming integrated circuit capacitor and memory
US5786259A (en) Methods of forming integrated circuit capacitors including etch stopping layers
US6121083A (en) Semiconductor device and method of fabricating the same
US6638775B1 (en) Method for fabricating semiconductor memory device
US5905278A (en) Semiconductor device having a dielectric film and a fabrication process thereof
US6559025B2 (en) Method for manufacturing a capacitor
US6184074B1 (en) Method of fabrication a self-aligned polysilicon/diffusion barrier/oxygen stable sidewall bottom electrode structure for high-K DRAMS
US6261849B1 (en) Method of forming integrated circuit capacitors having recessed oxidation barrier spacers and method of forming same
US6690054B2 (en) Capacitor
US6218258B1 (en) Method for fabricating semiconductor device including capacitor with improved bottom electrode
US6734061B2 (en) Semiconductor memory device having a plug contacted to a capacitor electrode and method for fabricating the capacitor
US6180970B1 (en) Microelectronic devices including ferroelectric capacitors with lower electrodes extending into contact holes
US6030866A (en) Method of manufacturing a capacitor
WO2002056383A1 (en) Semiconductor storage device and its manufacturing method
US6171898B1 (en) Method of fabricating an oxygen-stable layer/diffusion barrier/poly bottom electrode structure for high-K-DRAMS using a disposable-oxide processing
US20040089891A1 (en) Semiconductor device including electrode or the like having opening closed and method of manufacturing the same
JP3396144B2 (en) Semiconductor storage device and method of manufacturing the same
KR20030002864A (en) Method of forming memory device
KR100402943B1 (en) High dielectric capacitor and a method of manufacturing the same
US6501113B2 (en) Semiconductor device with capacitor using high dielectric constant film or ferroelectric film
US6689623B2 (en) Method for forming a capacitor
KR100418587B1 (en) Method of forming semiconductor memory device having electroplating electrode
JP2003218235A (en) Memory device with composite contact plug and method of manufacturing the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION