US20050006775A1 - Method, structure and process flow to reduce line-line capacitance with low-K material - Google Patents

Method, structure and process flow to reduce line-line capacitance with low-K material Download PDF

Info

Publication number
US20050006775A1
US20050006775A1 US10/912,921 US91292104A US2005006775A1 US 20050006775 A1 US20050006775 A1 US 20050006775A1 US 91292104 A US91292104 A US 91292104A US 2005006775 A1 US2005006775 A1 US 2005006775A1
Authority
US
United States
Prior art keywords
layer
low
materials
dielectric
present
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/912,921
Inventor
Ying Huang
Er-Xuan Ping
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/912,921 priority Critical patent/US20050006775A1/en
Publication of US20050006775A1 publication Critical patent/US20050006775A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to an improved method and process flow for integrated circuit manufacture and more particularly, to a method and process flow for reducing line to line capacitance in integrated circuit devices by using low dielectric constant materials.
  • Adjacent interconnect lines form a capacitor where the plate area of each plate of the capacitor formed is the product of the length of the line and its thickness, over that length.
  • the capacitance of such a capacitor is directly proportional to area of the capacitor plates and the dielectric constant of the dielectric material disposed between the plates, and inversely proportional to the distance between the capacitor plates (line-line spacing).
  • line-line spacing the distance between the capacitor plates
  • the capacitance between interconnects of adjacent levels often referred to as cross-talk, is also a factor in an IC's total interconnect capacitance. In some high speed circuits, this interconnect capacitance can be the limiting factor in the speed at which the IC can function. Thus it would be desirable to be able to reduce this total interconnect capacitance.
  • interconnect capacitance A significant factor in the value of interconnect capacitance is the dielectric constant of the materials that surround interconnect lines, as capacitance is directly proportional to such material's dielectric constant. For example, where silicon nitride, with a dielectric constant of about 7.0, is used as such a material, the resulting capacitance is higher than if silicon dioxide, with a dielectric constant of about 3.9, were employed. However, as silicon oxide is currently the most commonly used material, reduced interconnect capacitance is dependent on new, lower dielectric constant materials. However, it has been found that use of such low dielectric constant (low-K) materials is often problematic.
  • low-K low dielectric constant
  • Methods for forming an integrated circuit having an interconnect structure that employs low dielectric constant materials are provided. Such methods provide for a lower total interconnect capacitance than methods that employ standard dielectric materials with dielectric constants equal to or greater than that of silicon dioxide.
  • dielectric regions are formed that encompass a low dielectric constant material and another dielectric material having a higher dielectric constant. Such regions are employed to define regions where interconnects are to be formed. In some embodiments of the present invention, such low dielectric constant interconnect structures are formed for a single interconnect layer, while in other embodiments, such low dielectric constant interconnect structures are formed for multiple interconnect layers within the integrated circuit. In some embodiments of the present invention, the dielectric regions are converted into low dielectric constant regions, also referred to as low-K regions, where one or more low dielectric constant materials are employed for forming the low-K region structure, the one or more low dielectric constant materials having different insulative properties.
  • the low dielectric material is formed using a liquidus precursor material in a spin-on coating process, while in other embodiments, a chemical vapor deposition (CVD) process is employed to form the low dielectric constant material.
  • CVD chemical vapor deposition
  • a barrier layer is formed overlying a layer of low dielectric constant material prior to forming another layer of dielectric material, in other embodiments, such a barrier layer is not employed. Where a barrier layer is formed, some such layer can also serve as an etch-stop layer for etching another dielectric constant material from the low dielectric constant material.
  • Some embodiments in accordance with the present invention employ at least one refractory metal nitride barrier layer to isolate the interconnect lines from the dielectric material.
  • a refractory metal barrier layer is conductive, in other embodiments it is not conductive.
  • multiple levels of interconnects are formed having multiple low-K region structures formed of one or more low-k materials, where the one or more materials can have different insulative properties.
  • a single type of low-K material is employed for each low-K region, while in some embodiments more that one low-K material and or standard dielectric constant material is employed to form a dielectric region having a dielectric constant less than that which would be obtained if only such standard material are employed.
  • FIGS. 1-14 are cross-sectional views of a portion of an integrated circuit at various stages of a processing method in accordance with embodiments of the present invention.
  • semiconductor substrate is defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon) and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure, including, but not limited to, the semiconductor substrates described above.
  • low dielectric constant material or “low-K material” are used interchangeably herein and refer to materials having a dielectric constant that is lower than that of thermally grown silicon dioxide, or a value of approximately 3.7 or lower
  • standard dielectric material refers to a material having a dielectric constant between that of silicon dioxide and silicon nitride or greater than about 3.7 to 7.0 or higher.
  • a first dielectric stack 50 is show encompassing a layer of a first material 20 disposed over semiconductor substrate 10 .
  • First material 20 encompasses a material having as a characteristic, a low dielectric constant.
  • a low-K material is defined as a material having a dielectric constant that is lower than that of thermally grown silicon dioxide, or a value of approximately 3.7 or less.
  • layer 20 can encompass, any of such materials, for example, cured hydrogen or methyl silsesquioxane compositions.
  • exemplary materials include, but are not limited to, the various Poly Arylene Ether (PAE) polymers such as SiLK® manufactured by The Dow Chemical Company of Midland, Mich. VeloxTM manufactured by Schumacher of Carlsbad, Calif. or FLARETM manufactured by Honeywell of Morristown, N.J.
  • PAE Poly Arylene Ether
  • SiLK® manufactured by The Dow Chemical Company of Midland, Mich. VeloxTM manufactured by Schumacher of Carlsbad, Calif.
  • FLARETM manufactured by Honeywell of Morristown, N.J.
  • Each of the exemplary materials is generally available as a liquid precursor material which is applied to substrate 10 by a spin-coating process and subsequently cured into a solid dielectric material.
  • a thickness in the range of approximately 100 nanometers (nm) to approximately 1000 nm for first layer 20 is appropriate for most low-K materials, where a thickness of approximately 400 nm to 800 nm is typical for the range of materials mentioned above.
  • first low-K layer 20 can be formed using chemical vapor deposition (CVD) methods and materials, for example fluorine or carbon-comprising silicon oxides.
  • CVD methods will employ processing steps different than those employed where the low-K material is formed from a spin-on material precursor, however a range of thickness between approximately 100 nanometers (nm) to approximately 1000 nm for such a low-K CVD formed layer is still generally appropriate. It will be understood then, that any and all of the specific process steps for the forming of low-K layer 20 from a spin-on type of material or a CVD type of material, as well as the materials themselves are design choices and that this range of materials and processing choices is within the scope and spirit of the present invention.
  • first dielectric stack 50 is shown further encompassing a first etch-stop or protective-barrier layer 30 overlying low-K layer 20 .
  • first protective-barrier layer 30 it is advantageous to employ first protective-barrier layer 30 to prevent outgassing from low-K layer 20 during the subsequent formation of a first standard dielectric constant (K) layer 40 .
  • First standard-K layer 40 is depicted in FIG. 1 as being encompassed by dielectric stack 50 .
  • first barrier layer 30 serves primarily in a subsequent process as an etch-stop in addition to or instead of serving as a protective-barrier to prevent the aforementioned out-gassing.
  • barrier layer 30 encompasses one of the common dielectric materials such as silicon nitride, a silicon oxynitride or silicon carbide
  • such layer is formed with a thickness in the range of about 3 nm to about 15 nm, although other thickness for first barrier layer 30 can be utilized where appropriate, as can other appropriate materials.
  • barrier layer 30 can be omitted.
  • the thickness for first barrier layer 30 is dependent on, among other things, the specific material and forming process used for low-K layer 20 as well as the material selected for barrier layer 30 .
  • first barrier layer 30 encompassing silicon nitride and where first layer 20 is cured hydrogen silsesquioxane (HSQ)
  • HSQ hydrogen silsesquioxane
  • FIG. 1 depicts first standard-K layer 40 overlying first low-K layer 20 and first barrier layer 30 .
  • standard-K layer 40 is one of the commonly used, CVD formed, dielectrics such as a silicon oxide material and is selected to be etchable selectively with respect to the material of first layer 30 , if present, or with respect to the material of first layer 20 if barrier layer 30 is not present.
  • typically first standard-K layer 40 is a sacrificial layer, that is to say a layer that will be removed at a subsequent processing step.
  • first sacrificial layer 40 has a thickness in the range of approximately 100 nm to approximately 1000 nm.
  • a total thickness of stack 50 (layers 20 and 40 , as well as layer 30 if present), is generally no more than about 1000 nm although some embodiments in accordance with the present invention can employ a total thickness greater than 1000 nm.
  • the specific thickness employed for layer 40 , and the total thickness of stack 50 is dependent on the specific materials employed for each of the materials encompassed by stack 50 as well as the desired thickness of the dielectric stack.
  • FIG. 2 the structure of FIG. 1 is depicted after a first masking layer 60 is deposited, patterned and dielectric stack 50 ( FIG. 1 ) etched to form first dielectric blocks 52 which define first open regions 54 over underlying substrate 10 .
  • first masking layer 60 typically encompasses photoresist, other appropriate masking materials can be employed.
  • the removing of portions of dielectric stack 50 is typically accomplished using a conventional plasma etch technique, although other methods for removing portions of stack 50 can be employed where appropriate. It will be understood that the specific processing used for removing such portions is tailored to optimize the removal of each of the specific materials within stack 50 . Such an etching process then exposes an upper surface 12 of substrate 10 within each first open region 54 , as well as first sidewalls 56 and first upper surfaces 58 of first dielectric blocks 52 .
  • a first conformal barrier layer 32 is shown formed overlying substrate 10 after masking layer 60 ( FIG. 2 ) is removed. Such first conformal layer 32 overlies upper surface 12 as well as sidewalls 56 and upper surfaces 58 .
  • Conformal barrier layer 32 is generally formed from any of the materials previously mentioned with regard to barrier layer 30 , and serves to protect, or form a barrier, against interaction between the materials of dielectric stacks or regions 52 and a subsequently formed conductive layer within open regions 54 . Thus rather than first sidewalls 56 being adjacent first open regions 54 , conformal barrier layer 32 is disposed therebetween such that an outer surface 34 of layer 32 will be adjacent the subsequently formed conductive layer.
  • first conformal barrier 32 is etched from over surface 12 as well as upper surface 58 prior to forming a first conductive layer 70 .
  • any non-conductive material as might be encompassed by first conformal layer 32 is removed and electrical contact to such a contact region facilitated.
  • such etching leaves conformal barrier layer 32 disposed between first conductive layer 70 and first dielectric blocks 52 , thus serving to form a barrier between the material of conductive layer 70 and the materials of dielectric blocks 52 .
  • embodiments of the present invention serve to prevent the material of conductive layer 70 from interacting with the materials of blocks 52 , or visa versa, during subsequent processing or, upon completion of the semiconductor processing operation, whilst the semiconductor device is in operation.
  • first conductive layer 70 is copper or a copper alloy, and any one of first layers 20 , 30 or 40 encompass silicon oxide
  • copper migration into such silicon oxide layers is known to occur during subsequent processing or over time while the integrated circuit employing such structures is operating.
  • Use of such a barrier is also known to be advantageous where conductive layer 70 is aluminum or an aluminum alloy and any of the materials of dielectric region 52 encompass fluorine.
  • the material of first conformal layer 32 is selected to prevent such fluorine from reaching the aluminum or to prevent the copper migrating into silicon oxide.
  • use of first conformal barrier layer 32 is advantageous for stabilizing the structure of FIG. 4 during a chemical mechanical polishing (CMP) step as is often employed for planarization purposes.
  • CMP chemical mechanical polishing
  • materials such as silicon nitride, silicon oxynitrides and silicon carbide, discussed with regard to first barrier layer 30 are generally used as non-conductive materials for conformal layer 32 . More recently, materials such as nitrogen and hydrogen-comprising amorphous carbon and silicon and nitrogen-comprising amorphous carbon have become available and are also suitable for first conformal barrier layer 32 .
  • films of some refractory metal nitrides such as titanium nitride and tantalum nitride are conductive barrier materials that can be advantageously employed when no material of dielectric blocks 52 include fluorine and or when contact to a region within substrate 10 is desirable.
  • first conformal layer 32 is accomplished by any method appropriate to the specific material selected, where such a method results in the forming an essentially conformal layer, as depicted.
  • a low pressure CVD process is generally advantageously employed.
  • the thickness for conformal barrier 32 will be a function of the specific material from which the barrier is formed, as well as the materials of dielectric region 52 and conductive layer 70 ( FIG. 4 ). It will be noted that conformal barrier 32 initially overlies upper surfaces 12 of substrate 10 as well as sidewalls 56 and upper surfaces 58 of dielectric blocks 52 .
  • conductive layer 70 it is advantageous for conductive layer 70 to electrically contact doped regions (not shown) of substrate 10 at selected portions of surface 12 that provide access to such doped regions.
  • a non-conductive material such as silicon nitride
  • such embodiments generally require removal of such layer from surface 12 , as depicted in FIG. 4 .
  • a process for removal of conformal layer 32 from surface 12 is analogous to well known spacer forming processes and in some embodiments of the present invention, such an analogous process is employed.
  • a conductive barrier material for first conformal layer 32 for example, a refractory metal nitride material.
  • First conductive layer 70 generally encompasses a metal such as copper, aluminum, an alloy of copper or aluminum or some combination thereof, although other appropriate materials can be employed. As depicted in FIG. 4 , layer 70 is formed to completely fill first open regions 54 ( FIG. 3 ) and to overlie first dielectric blocks 52 . Generally, where the material of layer 70 is a metal, the formation of such layer employs a physical vapor deposition (PVD) process such as a sputtering or evaporative process, although a CVD process, if known, can also be advantageously employed. As depicted, after forming conductive layer 70 , a first upper surface 72 of such layer is generally irregular.
  • PVD physical vapor deposition
  • a planarization process is employed to form conductive interconnects 76 having a first planarized upper surface 74 , as depicted in FIG. 5 . It will be noted that as layer 70 is formed to completely fill open regions 54 , the thickness of layer 70 , as deposited, is necessarily greater than the thickness of dielectric blocks 52 .
  • planarized surface 74 advantageously provides for the removal of portions of barrier layer 32 formed overlying dielectric blocks 52 .
  • Such embodiments generally employ a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • portions of first sacrificial layer 40 within such regions are exposed after planarization to facilitate the subsequent removal of such layer.
  • planarized surface 74 is generally formed using a CMP process, other appropriate planarization methods can also be employed.
  • first dielectric blocks 52 can serve as a planarization stop, thus the planarization process results in interconnect portions 76 having a thickness essentially equal to the thickness of the as formed first blocks 52 .
  • the specific thickness of first dielectric blocks 52 that is desired is actually a function, among other things, of the current carrying requirement for first interconnects 76 .
  • interconnects 76 are aluminum-comprising portions of a high performance memory integrated circuit that has a interconnect line width of approximately 0.25 micron
  • a thickness of 800 nm for interconnect 76 is found appropriate.
  • dielectric blocks 52 would also have a thickness of 800 nm.
  • interconnects 76 for such an integrated circuit are also appropriate where metal composition and interconnect line width vary from the above example.
  • an essentially copper-comprising interconnect will generally have a thickness less than an essentially aluminum-comprising interconnect due to copper's higher electrical conductivity.
  • a second conformal barrier layer 132 is shown formed overlying first interconnects 76 , barrier layer 30 and first conformal layer 32 after removal of first sacrificial material 40 .
  • Second barrier layer 132 has second sidewalls 134 which define a lateral dimension of first open regions 42 which result from removing such sacrificial material 40 therefrom.
  • Second barrier layer 132 is formed from the same or similar materials and by using the same or similar methods as described above for first conformal barrier layer 32 , and while generally is of the same thickness as employed for layer 32 , another appropriate thickness can be selected.
  • Removal of sacrificial material 40 to form first open regions 42 is generally accomplished using an etching method that is tailored to the specific materials employed for material 40 as well as barrier layer 30 , if present. For example where material 40 encompasses silicon oxide and barrier layer 30 encompasses silicon nitride, a two part reactive ion etch (RIE) process will appropriately allow removal of both materials in a manner selective to first low-K material 20 . Where barrier layer 30 is not present, the materials of first sacrificial layer 40 and first low-K layer 20 are chosen to be selectively etchable with respect to one another. In some embodiments where layer 30 is employed, as depicted, only the material of layer 40 is removed in the forming of first opening 42 and portions of barrier layer 30 remain. Thus, while FIG. 6 shows a structure having layer 30 overlying regions of low-K layer 20 and underlying second conformal layer 132 , it will be noted that where layer 30 is removed, or not initially formed, second conformal layer 132 will be adjacent first low-K material 20 .
  • second low-K material 120 fills first open regions 42 and extends elevationally above first interconnects 76 .
  • second low-K material layer 120 is formed to have a thickness that provides for such layer to extend above interconnects 76 by at least about 100 nm to about 600 nm, although other thickness can be employed.
  • Second low-K material 120 can have the same composition as first low-K material 20 or can be a different low-K material.
  • first low-K material layer 20 encompasses a carbon-comprising silicon oxide material and second low-K material 120 is a hydrogen silsesquioxane (HSQ) material.
  • HSQ hydrogen silsesquioxane
  • second layer 120 such layer can be planarized prior to forming second barrier layer 130 and second standard K material 140 .
  • second low-K material 120 is formed using a spin-on type material and process, generally, such planarization is not needed to provide an essentially planar structure as depicted in FIG. 7 .
  • the forming of second materials 120 , 130 and 140 is analogous to the forming of first materials 20 , 30 and 40 , although the thickness dielectric stack 150 is generally greater than that of first stack 50 .
  • first dielectric stack 50 is formed having a thickness of about 800 nm
  • second stack 150 will have a thickness of about 1200 nm.
  • the materials and methods described for layers 20 , 30 and 40 are generally applicable to the forming of second layers 120 , 130 and 140 and will therefore not be described again.
  • first barrier layer 30 the forming of second barrier layer 130 is optional.
  • FIG. 8 depicts the structure of FIG. 7 after forming a second masking layer 160 , patterning such layer and forming second openings 154 and second dielectric blocks 152 .
  • the forming of second masking layer 160 , second openings 154 and second blocks 152 is generally accomplished using the same or analogous materials and methods to that of first masking layer 60 , openings 54 and blocks 52 ( FIG. 2 ).
  • Second barrier layer 132 is shown removed from over upper surface 74 of first interconnects 76 . It will be noted that such is optional, and in some embodiments in accordance with the present invention, barrier layer 132 is not so removed. However, where such layer is removed, generally it is removed using the etching process employed for forming second opening 154 .
  • second masking layer 160 is shown removed and a third conformal barrier layer 232 is shown formed overlying first interconnects 76 and second blocks 152 such that third surfaces 234 define a lateral dimension of second openings 154 .
  • Third barrier 232 generally being formed of the same or similar thickness and using the materials and methods as previously described for first conformal barrier 32 .
  • a second conductive layer 170 is shown filling openings 154 ( FIG. 9 ) and extending elevationally above dielectric blocks 152 .
  • Such material is formed in the same or analogous manner to that of first layer 70 .
  • third conformal layer 232 is removed from over interconnects 76 within openings 154 to facilitate electrical contact thereto prior to forming layer 170 , while portions of such conformal layer 232 are left disposed between layer 170 and dielectric blocks 152 to form a barrier therebetween.
  • second conductive layer 170 is formed of a material similar or analogous to the material of first interconnects 76 .
  • second layer 170 is also a copper-encompassing material.
  • the materials of interconnects 76 and layer 170 are different, and where such different materials are selected, generally a conductive interface material (not shown) is employed therebetween.
  • second conductive layer 170 extends elevationally above dielectric blocks 152 , hence the thickness of second conductive layer 170 , as formed, is greater than the thickness of second dielectric blocks 152 .
  • second standard-K or sacrificial layer 140 is removed and second interconnects 176 are formed.
  • second interconnects 176 and removal of second sacrificial layer 140 is accomplished in a manner analogous to that of forming first interconnects 76 and removing first sacrificial layer 40 .
  • second conductive layer 170 can be etched using a commonly known plasma etching process to expose portions of second stand-K layer 140 and layer 140 then subsequently removed using second barrier 130 as an etch stop.
  • third conformal layer 232 and third dielectric stack 250 such encompassing third low-K material layer 220 , third barrier layer 230 and third standard-K or sacrificial layer 240 .
  • the forming of third conformal barrier layer 232 and third dielectric stack 250 is accomplished using methods and materials that are analogous to those employed for the forming of second conformal layer 132 and second dielectric stack 150 depicted in FIG. 7 .
  • the thickness of third barrier 232 is similar to or the same as that of conformal barriers 32 and 132
  • the thickness of third dielectric stack 250 is generally the same as or greater than the thickness of second stack 150 .
  • second dielectric stack 150 is formed having a thickness of approximately 1200 nm
  • third stack 250 has a thickness of approximately 1200 nm to approximately 1600 nm.
  • FIG. 13 the structure of FIG. 12 is shown after forming a third masking layer 260 , patterning such layer and forming third opening 254 and third dielectric blocks 252 .
  • the forming of third masking layer 260 , third opening 254 and third dielectric blocks 252 is generally accomplished using the same or analogous materials and methods to that of first masking layer 60 , openings 54 and blocks 52 ( FIG. 2 ), respectively.
  • third opening 254 encompasses not only second upper surfaces 174 of second interconnects 176 , but also dielectric region 152 ′ disposed therebetween.
  • the process employed to remove portions of third low-K material 220 is selective to the material employed to form second barrier 130 . That is to say that the material of layer 220 is removed preferentially with respect to the material of layer 130 .
  • opening 254 can be employed to form a conductive interconnect 276 between adjacent second interconnects 176 that provides for direct lateral interconnectivity as depicted in FIG. 14 .
  • forming of interconnect 276 is provided in a manner the same as or analogus to the manner employed and described for the forming of second interconnect 176 .
  • embodiments of the present invention include, but are not limited to the exemplary structures depicted in the figures herein. Thus while such figures show the forming of three conductive interconnects 76 , 176 and 276 , embodiments in accordance with the present invention include integrated circuits having less than three such interconnects as well as embodiments having more than three such interconnects.
  • the capacitance between any two adjacent interconnects in an integrated circuit is a function of the dielectric constant (K) of the material therebetween, the area of the electrodes and the distance between the electrodes.
  • K dielectric constant
  • the capacitance will include contributions from barrier layer 30 (if present), conformal barrier layers 32 and 132 and portions of both low-K layers 20 and 120 that are disposed therebetween.
  • the following proportional relationship is known: 1/C total ⁇ 1/C 30 +1/C 32 +1/C 132 +1/C 20 +1/C 120 .
  • each of the various components should have as low a dielectric constant as possible for any given electrode area and any distance or spacing between the electrodes.
  • barrier layer 32 has a relatively high K
  • the thickness of the layer with the lowest K material should be maximized to provide for the maximum contribution of this low dielectric constant to the total capacitance.
  • low-K layer 20 applied in an early processing step (see, FIG. 1 ), is advantageously applied as a layer of a carbon-comprising silicon oxide material employing a CVD process.
  • layer 120 where spacing between interconnects 76 might inhibit filling the space between adjacent electrodes (see, FIG.
  • a liquidus material having excellent fill characteristics such as an HSQ material is advantageously employed to facilitate the filling between interconnects 76 as well as enhance the planarity of the uppermost surface so formed.
  • an HSQ material is advantageously employed to facilitate the filling between interconnects 76 as well as enhance the planarity of the uppermost surface so formed.
  • low-K dielectric materials between adjacent interconnects offer several advantages over previously known methods. For example, where a relatively thick interconnect is needed (for example interconnects 76 , 176 or 276 ), forming a low-K layer from a single material in a single application can often be problematic. Thus low-K materials applied from a liquidus spin-on source, while often offering the lowest dielectric constant are generally not as thermally or physically stable as standard-K dielectric materials such as those formed from a CVD type of process. Thus it is often difficult to apply relatively thick layers of these low-K materials without significant outgassing, layer cracking or dimensional instability problems occurring during curing and subsequent processing.
  • such layers are known to lose fluorine during subsequent processing resulting in contamination problems.
  • Carbon-comprising silicon oxide materials also do not generally have a very low dielectric constant and while typically formed using a CVD method, such films are often prone to particle contamination where thick films are formed.
  • newer carbon containing films such as proprietary carbon, nitrogen, hydrogen films (U.S. Pat. No. 5,946,601) or Applied Materials' of Santa Clara, Calif., BLOk silicon, carbon, hydrogen film seem more applicable to the instant invention as barrier materials for their reportedly superior diffusion barrier properties.

Abstract

An improved method, structure and process flow for reducing line-line capacitance using low dielectric constant (K) materials is provided. Embodiments in accordance with the present invention form structures for semiconductor devices having a single level of interconnection as well as semiconductor devices having multiple levels of interconnection. In embodiments of the present invention, an initial dielectric structure is formed having a first low-K material overlaid with a standard-K material. In subsequent processing, conductive interconnects are formed and the standard-K material replaced with a second low-K material. In some embodiments of the present invention, the first and second low-K materials are the same material, in some embodiments the first and second low-K materials are different materials. Embodiments of the present invention having multiple levels of conductive interconnects are formed by employing methods and materials analogous to those used to form the first level of conductive interconnect and dielectric material disposed there between. Embodiments of the present invention employ low-K materials formed by spin-on processes as well as low-K materials formed by CVD processes.

Description

    TECHNICAL FIELD
  • The present invention relates to an improved method and process flow for integrated circuit manufacture and more particularly, to a method and process flow for reducing line to line capacitance in integrated circuit devices by using low dielectric constant materials.
  • BACKGROUND OF THE INVENTION
  • As advances in processing technology allow for an increasing number of devices to be fabricated on a single integrated circuit (IC), the surface area or size of each individual device on the IC is scaled down or reduced. Conductive lines or interconnects that electrically couple such individual devices, are also scaled. However, the same scaling factor applied to line width and line to line spacing is not generally applied to interconnect line thickness due to the need to maintain minimum current carrying capacity. Thus, interconnect lines are often thicker than that which the scaling factor employed for the line width would predict.
  • Adjacent interconnect lines form a capacitor where the plate area of each plate of the capacitor formed is the product of the length of the line and its thickness, over that length. The capacitance of such a capacitor is directly proportional to area of the capacitor plates and the dielectric constant of the dielectric material disposed between the plates, and inversely proportional to the distance between the capacitor plates (line-line spacing). Thus, as IC's are scaled down in size the line to line spacing decrease and the increased number of lines that are needed to interconnect the increased number of devices, results in an increase in the line to line capacitance. In addition to this line to line capacitance, the capacitance between interconnects of adjacent levels, often referred to as cross-talk, is also a factor in an IC's total interconnect capacitance. In some high speed circuits, this interconnect capacitance can be the limiting factor in the speed at which the IC can function. Thus it would be desirable to be able to reduce this total interconnect capacitance.
  • A significant factor in the value of interconnect capacitance is the dielectric constant of the materials that surround interconnect lines, as capacitance is directly proportional to such material's dielectric constant. For example, where silicon nitride, with a dielectric constant of about 7.0, is used as such a material, the resulting capacitance is higher than if silicon dioxide, with a dielectric constant of about 3.9, were employed. However, as silicon oxide is currently the most commonly used material, reduced interconnect capacitance is dependent on new, lower dielectric constant materials. However, it has been found that use of such low dielectric constant (low-K) materials is often problematic.
  • Thus it would be advantageous to provide improved methods for fabricating advanced IC's that reduce or eliminate this increase in interconnect capacitance as IC's are scaled down in size. It would be desirable if these improved methods provided for forming interconnect lines with low line to line capacitance within a layer of interconnect lines. In addition, it would be desirable if the methods also served to reduce cross-talk between interconnect lines of adjacent layers of such lines. It would also be desirable if this processing method and flow was readily integratable into a standard semiconductor process flow, thus avoiding increased costs and yield losses due to increased process complexity. In this manner, smaller, faster, more complex, and more densely packed integrated circuits such as DRAMs and the like are provided.
  • SUMMARY
  • Methods for forming an integrated circuit having an interconnect structure that employs low dielectric constant materials are provided. Such methods provide for a lower total interconnect capacitance than methods that employ standard dielectric materials with dielectric constants equal to or greater than that of silicon dioxide.
  • In some embodiments in accordance with the present invention, dielectric regions are formed that encompass a low dielectric constant material and another dielectric material having a higher dielectric constant. Such regions are employed to define regions where interconnects are to be formed. In some embodiments of the present invention, such low dielectric constant interconnect structures are formed for a single interconnect layer, while in other embodiments, such low dielectric constant interconnect structures are formed for multiple interconnect layers within the integrated circuit. In some embodiments of the present invention, the dielectric regions are converted into low dielectric constant regions, also referred to as low-K regions, where one or more low dielectric constant materials are employed for forming the low-K region structure, the one or more low dielectric constant materials having different insulative properties.
  • Some embodiments in accordance with the present invention employ a copper or copper alloy metallization for such interconnects while other embodiments employ aluminum or an aluminum alloy metallization for such interconnects. In some embodiments, the low dielectric material is formed using a liquidus precursor material in a spin-on coating process, while in other embodiments, a chemical vapor deposition (CVD) process is employed to form the low dielectric constant material. In some embodiments of the present invention, a barrier layer is formed overlying a layer of low dielectric constant material prior to forming another layer of dielectric material, in other embodiments, such a barrier layer is not employed. Where a barrier layer is formed, some such layer can also serve as an etch-stop layer for etching another dielectric constant material from the low dielectric constant material.
  • Some embodiments in accordance with the present invention employ at least one refractory metal nitride barrier layer to isolate the interconnect lines from the dielectric material. In some embodiments such a refractory metal barrier layer is conductive, in other embodiments it is not conductive.
  • In some embodiments of the present invention, multiple levels of interconnects are formed having multiple low-K region structures formed of one or more low-k materials, where the one or more materials can have different insulative properties. In some multiple level embodiments in accordance with the present invention, a single type of low-K material is employed for each low-K region, while in some embodiments more that one low-K material and or standard dielectric constant material is employed to form a dielectric region having a dielectric constant less than that which would be obtained if only such standard material are employed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the invention are described below with reference to the following accompanying drawings. For ease of understanding and simplicity, common numbering of elements within the drawings is employed where the element is the same between drawings.
  • FIGS. 1-14 are cross-sectional views of a portion of an integrated circuit at various stages of a processing method in accordance with embodiments of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8).
  • Embodiments of the present invention will be described with reference to the aforementioned figures. Various modifications or adaptations of specific methods and or structures may become apparent to those skilled in the art as embodiments of the present invention are described. All such modifications, adaptations or variations that rely upon the teachings of the present invention, and through which these teachings have advanced the art, are considered to be within the spirit and scope of the present invention.
  • To aid in interpretation of the description of the illustrations and claims that follow, the term “semiconductor substrate” is defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon) and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductor substrates described above. In addition, the terms “low dielectric constant material” or “low-K material” are used interchangeably herein and refer to materials having a dielectric constant that is lower than that of thermally grown silicon dioxide, or a value of approximately 3.7 or lower, and the term “standard dielectric material” refers to a material having a dielectric constant between that of silicon dioxide and silicon nitride or greater than about 3.7 to 7.0 or higher.
  • Referring to FIG. 1, a portion of an integrated circuit at an early stage of fabrication in accordance with some embodiments of the present invention is depicted. A first dielectric stack 50 is show encompassing a layer of a first material 20 disposed over semiconductor substrate 10. First material 20 encompasses a material having as a characteristic, a low dielectric constant. Such a material is referred to herein as a low-K material, which, as mentioned above, is defined as a material having a dielectric constant that is lower than that of thermally grown silicon dioxide, or a value of approximately 3.7 or less. Advantageously, a variety of such low-K materials are known, and layer 20 can encompass, any of such materials, for example, cured hydrogen or methyl silsesquioxane compositions. Other exemplary materials include, but are not limited to, the various Poly Arylene Ether (PAE) polymers such as SiLK® manufactured by The Dow Chemical Company of Midland, Mich. Velox™ manufactured by Schumacher of Carlsbad, Calif. or FLARE™ manufactured by Honeywell of Morristown, N.J. Each of the exemplary materials is generally available as a liquid precursor material which is applied to substrate 10 by a spin-coating process and subsequently cured into a solid dielectric material. Generally, a thickness in the range of approximately 100 nanometers (nm) to approximately 1000 nm for first layer 20 is appropriate for most low-K materials, where a thickness of approximately 400 nm to 800 nm is typical for the range of materials mentioned above.
  • Additionally, in some embodiments in accordance with the present invention, first low-K layer 20 can be formed using chemical vapor deposition (CVD) methods and materials, for example fluorine or carbon-comprising silicon oxides. Such CVD methods will employ processing steps different than those employed where the low-K material is formed from a spin-on material precursor, however a range of thickness between approximately 100 nanometers (nm) to approximately 1000 nm for such a low-K CVD formed layer is still generally appropriate. It will be understood then, that any and all of the specific process steps for the forming of low-K layer 20 from a spin-on type of material or a CVD type of material, as well as the materials themselves are design choices and that this range of materials and processing choices is within the scope and spirit of the present invention.
  • Still referring to FIG. 1, first dielectric stack 50 is shown further encompassing a first etch-stop or protective-barrier layer 30 overlying low-K layer 20. In some embodiments in accordance with the present invention, it is advantageous to employ first protective-barrier layer 30 to prevent outgassing from low-K layer 20 during the subsequent formation of a first standard dielectric constant (K) layer 40. First standard-K layer 40 is depicted in FIG. 1 as being encompassed by dielectric stack 50. In some embodiments, first barrier layer 30 serves primarily in a subsequent process as an etch-stop in addition to or instead of serving as a protective-barrier to prevent the aforementioned out-gassing. Advantageously, where barrier layer 30 encompasses one of the common dielectric materials such as silicon nitride, a silicon oxynitride or silicon carbide, such layer is formed with a thickness in the range of about 3 nm to about 15 nm, although other thickness for first barrier layer 30 can be utilized where appropriate, as can other appropriate materials. In some embodiments, barrier layer 30 can be omitted. However, where such layer is present, it will be understood, that the thickness for first barrier layer 30 is dependent on, among other things, the specific material and forming process used for low-K layer 20 as well as the material selected for barrier layer 30. Thus for a first barrier layer 30 encompassing silicon nitride and where first layer 20 is cured hydrogen silsesquioxane (HSQ), a thickness for layer 30 of approximately 3 nm to 8 nm is appropriate and a thickness of approximately 5 nm typical.
  • As previously mentioned, FIG. 1 depicts first standard-K layer 40 overlying first low-K layer 20 and first barrier layer 30. Typically, standard-K layer 40 is one of the commonly used, CVD formed, dielectrics such as a silicon oxide material and is selected to be etchable selectively with respect to the material of first layer 30, if present, or with respect to the material of first layer 20 if barrier layer 30 is not present. As will be discussed below, typically first standard-K layer 40 is a sacrificial layer, that is to say a layer that will be removed at a subsequent processing step. Generally, first sacrificial layer 40 has a thickness in the range of approximately 100 nm to approximately 1000 nm. A total thickness of stack 50 ( layers 20 and 40, as well as layer 30 if present), is generally no more than about 1000 nm although some embodiments in accordance with the present invention can employ a total thickness greater than 1000 nm. The specific thickness employed for layer 40, and the total thickness of stack 50 is dependent on the specific materials employed for each of the materials encompassed by stack 50 as well as the desired thickness of the dielectric stack.
  • Turning now to FIG. 2, the structure of FIG. 1 is depicted after a first masking layer 60 is deposited, patterned and dielectric stack 50 (FIG. 1) etched to form first dielectric blocks 52 which define first open regions 54 over underlying substrate 10. While first masking layer 60 typically encompasses photoresist, other appropriate masking materials can be employed. The removing of portions of dielectric stack 50 is typically accomplished using a conventional plasma etch technique, although other methods for removing portions of stack 50 can be employed where appropriate. It will be understood that the specific processing used for removing such portions is tailored to optimize the removal of each of the specific materials within stack 50. Such an etching process then exposes an upper surface 12 of substrate 10 within each first open region 54, as well as first sidewalls 56 and first upper surfaces 58 of first dielectric blocks 52.
  • In FIG. 3, a first conformal barrier layer 32 is shown formed overlying substrate 10 after masking layer 60 (FIG. 2) is removed. Such first conformal layer 32 overlies upper surface 12 as well as sidewalls 56 and upper surfaces 58. Conformal barrier layer 32 is generally formed from any of the materials previously mentioned with regard to barrier layer 30, and serves to protect, or form a barrier, against interaction between the materials of dielectric stacks or regions 52 and a subsequently formed conductive layer within open regions 54. Thus rather than first sidewalls 56 being adjacent first open regions 54, conformal barrier layer 32 is disposed therebetween such that an outer surface 34 of layer 32 will be adjacent the subsequently formed conductive layer.
  • As seen in FIG. 4, first conformal barrier 32 is etched from over surface 12 as well as upper surface 58 prior to forming a first conductive layer 70. In this manner, where electrical contact between such conductive layer, 70 and a contact region (not shown) in substrate 10 adjacent surface 12 is desired, any non-conductive material as might be encompassed by first conformal layer 32 is removed and electrical contact to such a contact region facilitated. As depicted, such etching leaves conformal barrier layer 32 disposed between first conductive layer 70 and first dielectric blocks 52, thus serving to form a barrier between the material of conductive layer 70 and the materials of dielectric blocks 52. In this manner, embodiments of the present invention serve to prevent the material of conductive layer 70 from interacting with the materials of blocks 52, or visa versa, during subsequent processing or, upon completion of the semiconductor processing operation, whilst the semiconductor device is in operation.
  • For example, where first conductive layer 70 is copper or a copper alloy, and any one of first layers 20, 30 or 40 encompass silicon oxide, copper migration into such silicon oxide layers is known to occur during subsequent processing or over time while the integrated circuit employing such structures is operating. Use of such a barrier is also known to be advantageous where conductive layer 70 is aluminum or an aluminum alloy and any of the materials of dielectric region 52 encompass fluorine. The material of first conformal layer 32 is selected to prevent such fluorine from reaching the aluminum or to prevent the copper migrating into silicon oxide. In addition, regardless of the material selected for first conductive layer 70, use of first conformal barrier layer 32 is advantageous for stabilizing the structure of FIG. 4 during a chemical mechanical polishing (CMP) step as is often employed for planarization purposes.
  • Referring again to FIG. 3, materials such as silicon nitride, silicon oxynitrides and silicon carbide, discussed with regard to first barrier layer 30, are generally used as non-conductive materials for conformal layer 32. More recently, materials such as nitrogen and hydrogen-comprising amorphous carbon and silicon and nitrogen-comprising amorphous carbon have become available and are also suitable for first conformal barrier layer 32. In addition, films of some refractory metal nitrides such as titanium nitride and tantalum nitride are conductive barrier materials that can be advantageously employed when no material of dielectric blocks 52 include fluorine and or when contact to a region within substrate 10 is desirable. The formation of first conformal layer 32 is accomplished by any method appropriate to the specific material selected, where such a method results in the forming an essentially conformal layer, as depicted. For example, where silicon nitride is selected for conformal barrier 32, a low pressure CVD process is generally advantageously employed. In addition, in a manner essentially analogous to that for barrier layer 30, the thickness for conformal barrier 32 will be a function of the specific material from which the barrier is formed, as well as the materials of dielectric region 52 and conductive layer 70 (FIG. 4). It will be noted that conformal barrier 32 initially overlies upper surfaces 12 of substrate 10 as well as sidewalls 56 and upper surfaces 58 of dielectric blocks 52.
  • As previously mentioned in some embodiments in accordance with the present invention, it is advantageous for conductive layer 70 to electrically contact doped regions (not shown) of substrate 10 at selected portions of surface 12 that provide access to such doped regions. Where a non-conductive material such as silicon nitride is selected for first conformal barrier layer 32, such embodiments generally require removal of such layer from surface 12, as depicted in FIG. 4. Advantageously, such a process for removal of conformal layer 32 from surface 12 is analogous to well known spacer forming processes and in some embodiments of the present invention, such an analogous process is employed. Alternatively, it can be advantageous to employ a conductive barrier material for first conformal layer 32, for example, a refractory metal nitride material. In this manner, such a material's conductivity eliminates the need for removing the material from surface 12. Advantageously, as will be seen in FIG. 5, in embodiments in accordance with the present invention, when first conductive layer 70 is planarized, such conductive second barrier material is removed from surface 42 and an electrical short circuit is avoided.
  • First conductive layer 70 generally encompasses a metal such as copper, aluminum, an alloy of copper or aluminum or some combination thereof, although other appropriate materials can be employed. As depicted in FIG. 4, layer 70 is formed to completely fill first open regions 54 (FIG. 3) and to overlie first dielectric blocks 52. Generally, where the material of layer 70 is a metal, the formation of such layer employs a physical vapor deposition (PVD) process such as a sputtering or evaporative process, although a CVD process, if known, can also be advantageously employed. As depicted, after forming conductive layer 70, a first upper surface 72 of such layer is generally irregular. Thus typically a planarization process is employed to form conductive interconnects 76 having a first planarized upper surface 74, as depicted in FIG. 5. It will be noted that as layer 70 is formed to completely fill open regions 54, the thickness of layer 70, as deposited, is necessarily greater than the thickness of dielectric blocks 52.
  • Turning now to FIG. 5, in some embodiments in accordance with the present invention, the formation of planarized surface 74 advantageously provides for the removal of portions of barrier layer 32 formed overlying dielectric blocks 52. Such embodiments generally employ a chemical mechanical polishing (CMP) process. In this manner, portions of first sacrificial layer 40 within such regions are exposed after planarization to facilitate the subsequent removal of such layer. It will be noted that while planarized surface 74 is generally formed using a CMP process, other appropriate planarization methods can also be employed. Finally, it will be noted that where a CMP planarization process is employed, first dielectric blocks 52 can serve as a planarization stop, thus the planarization process results in interconnect portions 76 having a thickness essentially equal to the thickness of the as formed first blocks 52. The specific thickness of first dielectric blocks 52 that is desired is actually a function, among other things, of the current carrying requirement for first interconnects 76. For example, where interconnects 76 are aluminum-comprising portions of a high performance memory integrated circuit that has a interconnect line width of approximately 0.25 micron, a thickness of 800 nm for interconnect 76 is found appropriate. Hence dielectric blocks 52 would also have a thickness of 800 nm. As known, other thickness for interconnects 76 for such an integrated circuit are also appropriate where metal composition and interconnect line width vary from the above example. Thus, an essentially copper-comprising interconnect will generally have a thickness less than an essentially aluminum-comprising interconnect due to copper's higher electrical conductivity.
  • Turning now to FIG. 6, a second conformal barrier layer 132 is shown formed overlying first interconnects 76, barrier layer 30 and first conformal layer 32 after removal of first sacrificial material 40. Second barrier layer 132 has second sidewalls 134 which define a lateral dimension of first open regions 42 which result from removing such sacrificial material 40 therefrom. Second barrier layer 132 is formed from the same or similar materials and by using the same or similar methods as described above for first conformal barrier layer 32, and while generally is of the same thickness as employed for layer 32, another appropriate thickness can be selected. Removal of sacrificial material 40 to form first open regions 42 is generally accomplished using an etching method that is tailored to the specific materials employed for material 40 as well as barrier layer 30, if present. For example where material 40 encompasses silicon oxide and barrier layer 30 encompasses silicon nitride, a two part reactive ion etch (RIE) process will appropriately allow removal of both materials in a manner selective to first low-K material 20. Where barrier layer 30 is not present, the materials of first sacrificial layer 40 and first low-K layer 20 are chosen to be selectively etchable with respect to one another. In some embodiments where layer 30 is employed, as depicted, only the material of layer 40 is removed in the forming of first opening 42 and portions of barrier layer 30 remain. Thus, while FIG. 6 shows a structure having layer 30 overlying regions of low-K layer 20 and underlying second conformal layer 132, it will be noted that where layer 30 is removed, or not initially formed, second conformal layer 132 will be adjacent first low-K material 20.
  • Turning now to FIG. 7, the structure of FIG. 6 is depicted after a second dielectric stack 150 encompassing a low-K constant layer 120, a second barrier layer 130 and a second standard-K layer 140 are formed. As shown, second low-K material 120 fills first open regions 42 and extends elevationally above first interconnects 76. Typically, second low-K material layer 120 is formed to have a thickness that provides for such layer to extend above interconnects 76 by at least about 100 nm to about 600 nm, although other thickness can be employed. Second low-K material 120 can have the same composition as first low-K material 20 or can be a different low-K material. In one exemplary embodiment of the present invention, first low-K material layer 20 encompasses a carbon-comprising silicon oxide material and second low-K material 120 is a hydrogen silsesquioxane (HSQ) material. It will be noted that after forming second layer 120, such layer can be planarized prior to forming second barrier layer 130 and second standard K material 140. However, where second low-K material 120 is formed using a spin-on type material and process, generally, such planarization is not needed to provide an essentially planar structure as depicted in FIG. 7. The forming of second materials 120, 130 and 140 is analogous to the forming of first materials 20, 30 and 40, although the thickness dielectric stack 150 is generally greater than that of first stack 50. For example, where first dielectric stack 50 is formed having a thickness of about 800 nm, second stack 150 will have a thickness of about 1200 nm. However, the materials and methods described for layers 20, 30 and 40 are generally applicable to the forming of second layers 120, 130 and 140 and will therefore not be described again. However, as mentioned for first barrier layer 30, the forming of second barrier layer 130 is optional.
  • FIG. 8 depicts the structure of FIG. 7 after forming a second masking layer 160, patterning such layer and forming second openings 154 and second dielectric blocks 152. The forming of second masking layer 160, second openings 154 and second blocks 152 is generally accomplished using the same or analogous materials and methods to that of first masking layer 60, openings 54 and blocks 52 (FIG. 2). Second barrier layer 132 is shown removed from over upper surface 74 of first interconnects 76. It will be noted that such is optional, and in some embodiments in accordance with the present invention, barrier layer 132 is not so removed. However, where such layer is removed, generally it is removed using the etching process employed for forming second opening 154.
  • In FIG. 9, second masking layer 160 is shown removed and a third conformal barrier layer 232 is shown formed overlying first interconnects 76 and second blocks 152 such that third surfaces 234 define a lateral dimension of second openings 154. Third barrier 232 generally being formed of the same or similar thickness and using the materials and methods as previously described for first conformal barrier 32.
  • Turning to FIG. 10, a second conductive layer 170 is shown filling openings 154 (FIG. 9) and extending elevationally above dielectric blocks 152. Such material is formed in the same or analogous manner to that of first layer 70. Thus, third conformal layer 232 is removed from over interconnects 76 within openings 154 to facilitate electrical contact thereto prior to forming layer 170, while portions of such conformal layer 232 are left disposed between layer 170 and dielectric blocks 152 to form a barrier therebetween. Generally, second conductive layer 170 is formed of a material similar or analogous to the material of first interconnects 76. Thus where interconnects 76 are of a copper-encompassing material, second layer 170 is also a copper-encompassing material. In some embodiments of the present invention, however, the materials of interconnects 76 and layer 170 are different, and where such different materials are selected, generally a conductive interface material (not shown) is employed therebetween. As depicted, second conductive layer 170 extends elevationally above dielectric blocks 152, hence the thickness of second conductive layer 170, as formed, is greater than the thickness of second dielectric blocks 152.
  • Referring now to FIG. 11, the structure depicted in FIG. 10 is shown at a subsequent processing step where second standard-K or sacrificial layer 140 is removed and second interconnects 176 are formed. It will be noted that in some embodiments, such forming of second interconnects 176 and removal of second sacrificial layer 140 is accomplished in a manner analogous to that of forming first interconnects 76 and removing first sacrificial layer 40. However, in some embodiments of the present invention, other methods are employed. For example, second conductive layer 170 can be etched using a commonly known plasma etching process to expose portions of second stand-K layer 140 and layer 140 then subsequently removed using second barrier 130 as an etch stop. Thus it will be understood that the specific method of forming the structure depicted in FIG. 11, nor that of other structures depicted in the other figures herein, is not intended to limit the scope and spirit of embodiments of the present invention.
  • Turning to FIG. 12, the structure of FIG. 11 is shown after forming third conformal layer 232 and third dielectric stack 250, such encompassing third low-K material layer 220, third barrier layer 230 and third standard-K or sacrificial layer 240. The forming of third conformal barrier layer 232 and third dielectric stack 250 is accomplished using methods and materials that are analogous to those employed for the forming of second conformal layer 132 and second dielectric stack 150 depicted in FIG. 7. Generally, however, while the thickness of third barrier 232 is similar to or the same as that of conformal barriers 32 and 132, the thickness of third dielectric stack 250 is generally the same as or greater than the thickness of second stack 150. Thus, for example, where second dielectric stack 150 is formed having a thickness of approximately 1200 nm, third stack 250 has a thickness of approximately 1200 nm to approximately 1600 nm.
  • In FIG. 13, the structure of FIG. 12 is shown after forming a third masking layer 260, patterning such layer and forming third opening 254 and third dielectric blocks 252. The forming of third masking layer 260, third opening 254 and third dielectric blocks 252 is generally accomplished using the same or analogous materials and methods to that of first masking layer 60, openings 54 and blocks 52 (FIG. 2), respectively. However, as shown, and unlike the structure depicted in FIG. 8, third opening 254 encompasses not only second upper surfaces 174 of second interconnects 176, but also dielectric region 152′ disposed therebetween. Thus, it will be understood that the process employed to remove portions of third low-K material 220, is selective to the material employed to form second barrier 130. That is to say that the material of layer 220 is removed preferentially with respect to the material of layer 130. In this manner opening 254 can be employed to form a conductive interconnect 276 between adjacent second interconnects 176 that provides for direct lateral interconnectivity as depicted in FIG. 14. It will be understood, that forming of interconnect 276 is provided in a manner the same as or analogus to the manner employed and described for the forming of second interconnect 176.
  • It will be understood, that embodiments of the present invention include, but are not limited to the exemplary structures depicted in the figures herein. Thus while such figures show the forming of three conductive interconnects 76, 176 and 276, embodiments in accordance with the present invention include integrated circuits having less than three such interconnects as well as embodiments having more than three such interconnects.
  • In addition, it will be understood that the capacitance between any two adjacent interconnects in an integrated circuit, for example such as between any two adjacent interconnects 76 as depicted in FIG. 7, is a function of the dielectric constant (K) of the material therebetween, the area of the electrodes and the distance between the electrodes. Thus for the structure shown in FIG. 7, the capacitance will include contributions from barrier layer 30 (if present), conformal barrier layers 32 and 132 and portions of both low-K layers 20 and 120 that are disposed therebetween. Thus the following proportional relationship is known:
    1/Ctotal∝1/C30+1/C32+1/C132+1/C20+1/C120.
    It can be seen, therefore, that where the lowest possible capacitance is desired, each of the various components should have as low a dielectric constant as possible for any given electrode area and any distance or spacing between the electrodes. In addition, where, for example, barrier layer 32 has a relatively high K, it is desirable for layer 32 to be as thin as possible to minimize its contribution. In a similar manner, where layer 20 and layer 120 are different materials, the thickness of the layer with the lowest K material should be maximized to provide for the maximum contribution of this low dielectric constant to the total capacitance.
  • As different materials, as has been discussed, having low dielectric constants have varying properties in addition to their respective dielectric constants, factors such as ease of use or application are also generally considered with regard to ensuring the most advantageous result. For example, in one embodiment in accordance with the present invention where ease of forming the low-K material layers is considered, low-K layer 20, applied in an early processing step (see, FIG. 1), is advantageously applied as a layer of a carbon-comprising silicon oxide material employing a CVD process. For layer 120, where spacing between interconnects 76 might inhibit filling the space between adjacent electrodes (see, FIG. 6), a liquidus material having excellent fill characteristics such as an HSQ material is advantageously employed to facilitate the filling between interconnects 76 as well as enhance the planarity of the uppermost surface so formed. However, it will be noted that such exemplary selections of materials are illustrative only and other embodiments in accordance with the present invention are advantageously formed of other materials and by other methods.
  • It should also be realized that forming of the low-K dielectric materials between adjacent interconnects in accordance with embodiments of the present invention offer several advantages over previously known methods. For example, where a relatively thick interconnect is needed (for example interconnects 76, 176 or 276), forming a low-K layer from a single material in a single application can often be problematic. Thus low-K materials applied from a liquidus spin-on source, while often offering the lowest dielectric constant are generally not as thermally or physically stable as standard-K dielectric materials such as those formed from a CVD type of process. Thus it is often difficult to apply relatively thick layers of these low-K materials without significant outgassing, layer cracking or dimensional instability problems occurring during curing and subsequent processing. CVD films encompassing fluorine, while more stable than such spin-on materials, generally only have a dielectric constant of about 3.4. In addition, such layers are known to lose fluorine during subsequent processing resulting in contamination problems. Carbon-comprising silicon oxide materials also do not generally have a very low dielectric constant and while typically formed using a CVD method, such films are often prone to particle contamination where thick films are formed. Finally, newer carbon containing films such as proprietary carbon, nitrogen, hydrogen films (U.S. Pat. No. 5,946,601) or Applied Materials' of Santa Clara, Calif., BLOk silicon, carbon, hydrogen film seem more applicable to the instant invention as barrier materials for their reportedly superior diffusion barrier properties.
  • In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Claims (11)

1-40. (Canceled).
41. Integrated circuitry comprising:
a substrate;
a plurality of spaced blocks extending upward from the substrate, the spaced blocks comprising a first portion and a second portion over the first portion, the first portion comprising a low-K material and the second portion comprising sacrificial material, and the second portion comprising an upper surface of the spaced blocks; and
a conductive material disposed between the spaced blocks, the conductive material comprising an upper surface substantially coextensive with the upper surface of the spaced blocks.
42. The circuitry of claim 41 wherein the sacrificial material comprises a dielectric material other than a low-K material.
43. The circuitry of claim 41 wherein the sacrificial material comprises silicon oxide material.
44. The circuitry of claim 41 wherein the sacrificial material comprises oxide material.
45. The circuitry of claim 41 further comprising a barrier layer disposed between the first and second portions of the spaced blocks and disposed elevationally below the upper surface of the spaced blocks.
46. The circuitry of claim 41 further comprising a barrier layer disposed between the conductive material and the spaced blocks.
47. The circuitry of claim 41 wherein the sacrificial material comprises a material having a dielectric constant between that of silicon dioxide and silicon nitride.
48. The circuitry of claim 41 wherein the sacrificial material comprises a material having a dielectric constant greater than about 3.7 to 7.0.
49. The circuitry of claim 41 further comprising a barrier layer disposed between the first and second portions of the spaced blocks, the barrier layer comprising material selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, nitrogen-comprising amorphous carbon, hydrogen-comprising amorphous carbon and silicon, and nitrogen-comprising amorphous carbon.
50. The circuitry of claim 41 wherein the sacrificial material comprises a thickness in the range of approximately 100 nm to approximately 1000 nm.
US10/912,921 2000-08-31 2004-08-06 Method, structure and process flow to reduce line-line capacitance with low-K material Abandoned US20050006775A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/912,921 US20050006775A1 (en) 2000-08-31 2004-08-06 Method, structure and process flow to reduce line-line capacitance with low-K material

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/653,153 US6531407B1 (en) 2000-08-31 2000-08-31 Method, structure and process flow to reduce line-line capacitance with low-K material
US10/039,456 US6600207B2 (en) 2000-08-31 2001-12-31 Structure to reduce line-line capacitance with low K material
US10/625,952 US6919638B2 (en) 2000-08-31 2003-07-23 Method, structure and process flow to reduce line-line capacitance with low-K material
US10/912,921 US20050006775A1 (en) 2000-08-31 2004-08-06 Method, structure and process flow to reduce line-line capacitance with low-K material

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/625,952 Division US6919638B2 (en) 2000-08-31 2003-07-23 Method, structure and process flow to reduce line-line capacitance with low-K material

Publications (1)

Publication Number Publication Date
US20050006775A1 true US20050006775A1 (en) 2005-01-13

Family

ID=24619696

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/653,153 Expired - Lifetime US6531407B1 (en) 2000-08-31 2000-08-31 Method, structure and process flow to reduce line-line capacitance with low-K material
US10/039,456 Expired - Lifetime US6600207B2 (en) 2000-08-31 2001-12-31 Structure to reduce line-line capacitance with low K material
US10/625,952 Expired - Lifetime US6919638B2 (en) 2000-08-31 2003-07-23 Method, structure and process flow to reduce line-line capacitance with low-K material
US10/912,921 Abandoned US20050006775A1 (en) 2000-08-31 2004-08-06 Method, structure and process flow to reduce line-line capacitance with low-K material

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/653,153 Expired - Lifetime US6531407B1 (en) 2000-08-31 2000-08-31 Method, structure and process flow to reduce line-line capacitance with low-K material
US10/039,456 Expired - Lifetime US6600207B2 (en) 2000-08-31 2001-12-31 Structure to reduce line-line capacitance with low K material
US10/625,952 Expired - Lifetime US6919638B2 (en) 2000-08-31 2003-07-23 Method, structure and process flow to reduce line-line capacitance with low-K material

Country Status (1)

Country Link
US (4) US6531407B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080272491A1 (en) * 2007-02-27 2008-11-06 Fujitsu Limited Manufacturing of a semiconductor device and the manufacturing method
US20090243112A1 (en) * 2008-03-25 2009-10-01 Advanced Interconnecte Materials, Llc Copper interconnection structure, semiconductor device, and method for forming copper interconnection structure

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4850332B2 (en) * 2000-10-18 2012-01-11 東京エレクトロン株式会社 Etching method of dual damascene structure
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
TW550642B (en) * 2001-06-12 2003-09-01 Toshiba Corp Semiconductor device with multi-layer interconnect and method fabricating the same
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US20030170992A1 (en) * 2002-03-08 2003-09-11 Farber David Gerald Method of passivating and/or removing contaminants on a low-k dielectric/copper surface
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
KR100562675B1 (en) * 2003-11-04 2006-03-20 주식회사 하이닉스반도체 Method of manufacturing in semiconductor devices
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
JP5036563B2 (en) * 2006-01-17 2012-09-26 スパンション エルエルシー Semiconductor device and manufacturing method thereof
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7816256B2 (en) * 2006-07-17 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving the reliability of interconnect structures and resulting structure
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
KR100859480B1 (en) * 2006-12-29 2008-09-24 동부일렉트로닉스 주식회사 Semiconductor Device and Method of Fabricating the same
US20080179755A1 (en) * 2007-01-31 2008-07-31 International Business Machines Corporation Structure and method for creating reliable deep via connections in a silicon carrier
DE102009039416A1 (en) * 2009-08-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg Lowered interlayer dielectric in a metallization structure of a semiconductor device
US20140001633A1 (en) * 2012-06-27 2014-01-02 Nanya Technology Corporation Copper interconnect structure and method for fabricating thereof
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9558999B2 (en) * 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
EP3029724B1 (en) * 2014-12-01 2017-06-07 IMEC vzw Metallization method for semiconductor structures
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9685368B2 (en) * 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9837309B2 (en) * 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
WO2020086532A1 (en) * 2018-10-22 2020-04-30 Thin Film Electronics Asa Barrier stacks for printed and/or thin film electronics methods of manufacturing the same, and method of controlling a threshold voltage of a thin film transistor
CN112838048A (en) * 2019-11-22 2021-05-25 联华电子股份有限公司 Interconnection structure and manufacturing method thereof
CN113140501A (en) * 2020-01-17 2021-07-20 长鑫存储技术有限公司 Semiconductor device and method for manufacturing the same

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5122483A (en) * 1989-12-29 1992-06-16 Nissin Electric Company, Limited Method of forming a highly insulative thin films
US5850102A (en) * 1996-04-03 1998-12-15 Kabushiki Kaisha Toshiba Semiconductor device having a second insulating layer which includes carbon or fluorine at a density lower than a first insulating layer
US5946601A (en) * 1997-12-31 1999-08-31 Intel Corporation Unique α-C:N:H/α-C:Nx film liner/barrier to prevent fluorine outdiffusion from α-FC chemical vapor deposition dielectric layers
US6033979A (en) * 1994-09-12 2000-03-07 Nec Corporation Method of fabricating a semiconductor device with amorphous carbon layer
US6037664A (en) * 1997-08-20 2000-03-14 Sematech Inc Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6046104A (en) * 1998-05-15 2000-04-04 Advanced Micro Devices, Inc. Low pressure baked HSQ gap fill layer following barrier layer deposition for high integrity borderless vias
US6057417A (en) * 1999-05-27 2000-05-02 The United States Of America As Represented By The Secretary Of The Air Force High temperature polymers with low dielectric properties
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
US6211061B1 (en) * 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6297155B1 (en) * 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6372632B1 (en) * 2000-01-24 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
US6380078B1 (en) * 2000-05-11 2002-04-30 Conexant Systems, Inc. Method for fabrication of damascene interconnects and related structures
US6391761B1 (en) * 1999-09-20 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to form dual damascene structures using a linear passivation
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6503827B1 (en) * 2000-06-28 2003-01-07 International Business Machines Corporation Method of reducing planarization defects
US6600333B1 (en) * 2000-02-10 2003-07-29 Advanced Micro Devices, Inc. Method and test structure for characterizing sidewall damage in a semiconductor device
US20030205815A1 (en) * 1999-06-09 2003-11-06 Henry Chung Fabrication method of integrated circuits with borderless vias and low dielectric constant inter-metal dielectrics
US20040051178A1 (en) * 2000-11-01 2004-03-18 International Business Machines Corporation Semiconductor recessed mask interconnect technology

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2860937B2 (en) * 1996-08-08 1999-02-24 レオン自動機株式会社 Method and apparatus for producing bordered product

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5122483A (en) * 1989-12-29 1992-06-16 Nissin Electric Company, Limited Method of forming a highly insulative thin films
US6033979A (en) * 1994-09-12 2000-03-07 Nec Corporation Method of fabricating a semiconductor device with amorphous carbon layer
US5850102A (en) * 1996-04-03 1998-12-15 Kabushiki Kaisha Toshiba Semiconductor device having a second insulating layer which includes carbon or fluorine at a density lower than a first insulating layer
US6037664A (en) * 1997-08-20 2000-03-14 Sematech Inc Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US5946601A (en) * 1997-12-31 1999-08-31 Intel Corporation Unique α-C:N:H/α-C:Nx film liner/barrier to prevent fluorine outdiffusion from α-FC chemical vapor deposition dielectric layers
US6046104A (en) * 1998-05-15 2000-04-04 Advanced Micro Devices, Inc. Low pressure baked HSQ gap fill layer following barrier layer deposition for high integrity borderless vias
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
US6297155B1 (en) * 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6057417A (en) * 1999-05-27 2000-05-02 The United States Of America As Represented By The Secretary Of The Air Force High temperature polymers with low dielectric properties
US20030205815A1 (en) * 1999-06-09 2003-11-06 Henry Chung Fabrication method of integrated circuits with borderless vias and low dielectric constant inter-metal dielectrics
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6391761B1 (en) * 1999-09-20 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to form dual damascene structures using a linear passivation
US6211061B1 (en) * 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
US6372632B1 (en) * 2000-01-24 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
US6600333B1 (en) * 2000-02-10 2003-07-29 Advanced Micro Devices, Inc. Method and test structure for characterizing sidewall damage in a semiconductor device
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6380078B1 (en) * 2000-05-11 2002-04-30 Conexant Systems, Inc. Method for fabrication of damascene interconnects and related structures
US6503827B1 (en) * 2000-06-28 2003-01-07 International Business Machines Corporation Method of reducing planarization defects
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US20040051178A1 (en) * 2000-11-01 2004-03-18 International Business Machines Corporation Semiconductor recessed mask interconnect technology

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080272491A1 (en) * 2007-02-27 2008-11-06 Fujitsu Limited Manufacturing of a semiconductor device and the manufacturing method
US8513805B2 (en) * 2007-02-27 2013-08-20 Fujitsu Limited Manufacturing of a semiconductor device and the manufacturing method
US20090243112A1 (en) * 2008-03-25 2009-10-01 Advanced Interconnecte Materials, Llc Copper interconnection structure, semiconductor device, and method for forming copper interconnection structure
US7755192B2 (en) * 2008-03-25 2010-07-13 Tohoku University Copper interconnection structure, barrier layer including carbon and hydrogen

Also Published As

Publication number Publication date
US20050077595A1 (en) 2005-04-14
US6919638B2 (en) 2005-07-19
US6531407B1 (en) 2003-03-11
US6600207B2 (en) 2003-07-29
US20020058405A1 (en) 2002-05-16

Similar Documents

Publication Publication Date Title
US6600207B2 (en) Structure to reduce line-line capacitance with low K material
JP4414221B2 (en) Method for forming MIM capacitor
US5851870A (en) Method for making a capacitor
US6800549B2 (en) Method of fabricating semiconductor device including forming contact hole with anisotropic and isotropic etching and forming discontinuous barrier layer
US7601604B2 (en) Method for fabricating conducting plates for a high-Q MIM capacitor
US7189615B2 (en) Single mask MIM capacitor and resistor with in trench copper drift barrier
US7250334B2 (en) Metal insulator metal (MIM) capacitor fabrication with sidewall spacers and aluminum cap (ALCAP) top electrode
US7329939B2 (en) Metal-insulator-metal capacitor and method of fabricating same
US6762120B2 (en) Semiconductor device and method for fabricating the same
KR100468069B1 (en) Self-aligned metal caps for interlevel metal connections
US6617689B1 (en) Metal line and method of suppressing void formation therein
US20080185684A1 (en) Method and structure for integrating mim capacitors within dual damascene processing techniques
EP1182708A2 (en) High capacitance damascene capacitor
CN115295530B (en) Semiconductor structure and manufacturing method thereof
US20020167090A1 (en) Dual damascene structure having capacitors
US20020055243A1 (en) Gap-type metallic interconnect and method of manufacture
JP3525788B2 (en) Method for manufacturing semiconductor device
US20200303239A1 (en) Semiconductor device with selective insulator for improved capacitance
US6894364B2 (en) Capacitor in an interconnect system and method of manufacturing thereof
US7087520B2 (en) Method for fabricating metal wiring
JP2002270689A (en) Manufacturing method for semiconductor device
KR100652358B1 (en) A method of forming dual damascene
US6455426B1 (en) Method for making a semiconductor device having copper conductive layers
JPH11354638A (en) Wiring forming method and wiring structure
US20040009640A1 (en) High capacitance damascene capacitors

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION