US20050091618A1 - Method and apparatus for decomposing and verifying configurable hardware - Google Patents

Method and apparatus for decomposing and verifying configurable hardware Download PDF

Info

Publication number
US20050091618A1
US20050091618A1 US10/976,456 US97645604A US2005091618A1 US 20050091618 A1 US20050091618 A1 US 20050091618A1 US 97645604 A US97645604 A US 97645604A US 2005091618 A1 US2005091618 A1 US 2005091618A1
Authority
US
United States
Prior art keywords
units
unit
configurable hardware
implemented method
configuration data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/976,456
Inventor
Jeffrey Ebert
Ravi Venugopalan
Scott Evans
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Meta Platforms Technologies LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=32229703&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US20050091618(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Individual filed Critical Individual
Priority to US10/976,456 priority Critical patent/US20050091618A1/en
Publication of US20050091618A1 publication Critical patent/US20050091618A1/en
Priority to US11/118,044 priority patent/US7299155B2/en
Assigned to PARTNERS FOR GROWTH, L.P. reassignment PARTNERS FOR GROWTH, L.P. SECURITY AGREEMENT Assignors: SONICS, INC.
Assigned to PARTNERS FOR GROWTH, L.P. reassignment PARTNERS FOR GROWTH, L.P. SECURITY AGREEMENT Assignors: SONICS, INC.
Assigned to FACEBOOK TECHNOLOGIES, LLC reassignment FACEBOOK TECHNOLOGIES, LLC MERGER AND CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: FACEBOOK TECHNOLOGIES, LLC, SONICS, INC.
Assigned to META PLATFORMS TECHNOLOGIES, LLC reassignment META PLATFORMS TECHNOLOGIES, LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: FACEBOOK TECHNOLOGIES, LLC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318314Tools, e.g. program interfaces, test suite, test bench, simulation hardware, test compiler, test program languages
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21CNUCLEAR REACTORS
    • G21C17/00Monitoring; Testing ; Maintaining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E30/00Energy generation of nuclear origin
    • Y02E30/30Nuclear fission reactors

Definitions

  • the present invention pertains to hardware verification. More particularly, the present invention relates to verifying configurable hardware.
  • Configurable hardware or “parameterized hardware” describes hardware systems that are customized automatically at design creation time by using specified values for a set of parameters or attributes. Such hardware may also support changes at run-time depending on parameter settings. Configurable hardware systems typically provide better performance than software running on a general-purpose computer system and greater flexibility than conventional application specific integrated circuits (ASICs) without increasing circuit size and cost.
  • ASICs application specific integrated circuits
  • Configurable hardware systems can be verified using this type of conventional hierarchical decomposition. However, because each instance of a configurable hardware system is different, each time a configuration parameter is modified, the system and its components must be manually verified. The cost of repeatedly manually verifying a system and its components often offsets the advantages of configurable hardware.
  • the present invention includes a method and apparatus for decomposing and verifying configurable hardware.
  • the method includes automatically decomposing a hardware system into a set of one or more units, creating a testbench for each of the sets of units, and verifying each of the set of units before verifying the hardware system design.
  • FIG. 1 is a block diagram for decomposing and verifying configurable hardware according to one embodiment of the invention
  • FIG. 2 is a block diagram illustrating a system for creating and verifying configurable hardware according to one embodiment of the invention
  • FIG. 3 is a flow diagram illustrating the creation of a system, according to embodiments of the invention.
  • FIG. 4 is a conceptual block diagram of a system design according to embodiments of the invention.
  • FIG. 5 is a flow diagram illustrating operations for decomposing and verifying a configurable hardware system according to embodiments of the invention
  • FIG. 6 is a block diagram illustrating a testbench for verifying a unit according to embodiments of the invention.
  • FIG. 7 illustrates an exemplary system for decomposing and verifying configurable hardware, according to embodiments of the invention.
  • references to “one embodiment” or “an embodiment” mean that the feature being referred to is included in at least one embodiment of the invention. Further, separate references to “one embodiment” in this description do not necessarily refer to the same embodiment; however, neither are such embodiments mutually exclusive, unless so stated and except as will be readily apparent to those skilled in the art. Thus, the present invention can include any variety of combinations and/or integrations of the embodiments described herein.
  • block diagrams illustrate exemplary embodiments of the invention.
  • flow diagrams illustrate operations of the exemplary embodiments of the invention. The operations of the flow diagrams, will be described with reference to the exemplary embodiments shown in the block diagrams. However, it should be understood that the operations of the flow diagrams could be performed by embodiments of the invention other than those discussed with reference to the block diagrams, and embodiments discussed with references to the block diagrams could perform operations different than those discussed with reference to the flow diagrams.
  • a generation and verification unit generates a configurable hardware system based on configuration data and a configurable hardware library.
  • the configurable hardware system is made up of a number of units.
  • the generation and verification unit hierarchically decomposes a configurable hardware system into units that make up the system design. Configuration data is applied to each unit so that it can be removed and verified or analyzed outside of the system without changing the unit itself.
  • the generation and verification unit creates a testbench, tests, and controlling scripts for each unit.
  • FIG. 1 is a block diagram for decomposing and verifying configurable hardware according to one embodiment of the invention.
  • FIG. 1 includes a generation and verification unit 103 , which further includes a generation module 107 , decomposition module 109 , and verification module 111 .
  • the generation and verification unit 103 is connected to a configurable hardware library 101 , and a configuration data storage unit 105 .
  • the configuration data storage unit 105 includes configuration data 113 .
  • the generation and verification unit 103 generates a configurable hardware system 104 and its constituent units (illustrated as units 1 -N).
  • system refers to the composition of units at a particular hierarchy level, where details of the units are hidden. Therefore, at a particular level in a configurable hardware system design hierarchy, units are indivisible components. However, at lower hierarchy levels, the units from a higher level have their details and internal components exposed. For example, referring to FIG. 1 , at one design hierarchy level, the system 104 is viewed as a “black box” unit of a larger system, where the details about units 1 -N are concealed. However, at a lower design hierarchy level, the system 104 is viewed as including units 1 -N, where the unit connection details are exposed. At even lower levels of the configurable hardware system design hierarchy, the internal details of units 1 -N are exposed. At the lowest hierarchy level, a unit cannot be decomposed. The generation of system 104 and units 1 -N will be described in more detail below in FIG. 4 .
  • the configuration data storage unit 105 includes configuration data 113 , which hierarchically describes a configurable hardware system.
  • the configuration data 113 specifies the system and unit parameters at all relevant hierarchy levels. While the end user sets most parameters in the configuration data 113 , the generation and verification unit 103 sets some parameters during the hardware integration and/or decomposition process.
  • the configuration data 113 may be represented by any suitable electronic design automation scripting language, according to embodiments of the invention. In one embodiment of the invention, the configuration data 113 is represented in the tool control language (TCL) scripting language.
  • TCL tool control language
  • the configuration data 113 may include a TCL text file defining a system design name, system-level parameters, unit-level names and parameters, unit-level connection parameters (e.g., number of wires in a signal bundle, handshaking protocols, pipelining behavior, etc.), and interface statements for binding unit instances to particular connections.
  • this system information could be represented in the extensible markup language (XML) format or in a relational database.
  • each unit instance is uniquely named in the configuration data 113 .
  • different instances of the same unit can be configured differently. For example, one instance of a FIFO may be configured to have a depth of 10 bytes, while another instance of a FIFO may be configured to have a depth of 100 bytes.
  • the configurable hardware library 101 describes all possible configurations of the system's hardware components.
  • the configuration hardware library 101 may describe all possible configurations of a FIFO, including its depth, width, and other configurable parameters.
  • the configurable hardware library includes hardware description language (HDL) code (e.g. Verilog or VHDL) embedded with preprocessing statements that describe how to interpret the configuration data 113 .
  • HDL hardware description language
  • FIG. 2 is a flow diagram illustrating the creation, decomposition, and verification of a configurable hardware system, according to embodiments of the invention.
  • the operations of the flow diagram 200 will be described with reference to the block diagram of FIG. 1 .
  • the configuration data is read.
  • the generation module 107 of the generation and verification unit 103 reads the configuration data 113 from the configuration data storage unit 105 .
  • the configuration data 113 may be a TCL file that hierarchically defines a configurable hardware system. Control continues at block 204 .
  • the configurable hardware library is analyzed.
  • the generation module 107 analyzes the configurable hardware library 101 to determine the possible configurations of the hardware components necessary for generating the hardware system defined by the configuration data 113 .
  • Control continues at block 206 .
  • a configurable hardware system is created.
  • the generation module 107 creates a configurable hardware system based on the configuration data 113 and the configurable hardware library 101 .
  • the operation of block 206 is further described below with reference to FIG. 3 .
  • Control continues at block 208 .
  • the system is decomposed and the system and its components are verified.
  • the decomposition module 109 and the verification module 111 decompose and verify the system components. The operation in block 208 will be described in more detail below in the discussion of FIG. 5 .
  • the operations described in the flow diagram 200 could be repeated for generating and verifying hardware at any level in the hierarchical system design. For example, to verify a system at a particular hierarchy level, all of the system's components must be verified. This may require verifying lower level systems, which may in turn require verifying even lower level systems. Once the lowest level system is verified, the higher level systems may in turn be verified. Hence, the operations set forth in the flow diagram 200 can be repeated for creating and verifying systems and/or components at any design hierarchy level.
  • FIG. 3 is a flow diagram illustrating the creation of a system, according to embodiments of the invention. The operations of the flow diagram 300 will be described with reference to the exemplary embodiment illustrated in FIG. 1 .
  • decision block 302 it is determined whether the configuration data conforms to rules for syntax and semantics.
  • the integration module 109 determines whether configuration data 113 from the configuration data storage unit 105 conforms to rules for syntax and semantics.
  • the integration module 109 determines whether the TCL file conforms to the syntax and semantics rules of the HDL used by the configurable hardware library 101 .
  • the integration module 109 employs a high-level language program (e.g., a C++, Python, or Java program) to analyze a TCL file for syntax and semantics. If the configuration data file conforms to the syntax and semantics rules, control continues at block 304 . Otherwise, the flow ends with an error report. Control continues at block 304 .
  • a high-level language program e.g., a C++, Python, or Java program
  • parameters are derived.
  • the integration module 109 derives system parameters from the configuration data 113 .
  • the integration module 109 derives the system's parameters by analyzing a TCL file, which defines a configurable hardware system.
  • a system parameter may specify the minimum bandwidth required for an internal communications path. From this setting, parameters for specifying the number of wires used at various connection points in the system are derived according to the rules in the configuration data. Control continues at block 306 .
  • the preprocessing statements are configured based on the derived parameters.
  • the integration module 109 configures HDL code preprocessing statements (stored in the configurable hardware library 101 ) that are affected by the specified and derived parameters. In doing this, the integration module 109 may impart particular values or control structures to preprocessor statements embedded in the HDL code. Control continues at block 308 .
  • the HDL source code is preprocessed.
  • the integration module 109 preprocesses the HDL source code that was configured according to the derived parameters.
  • the integration module 109 includes a macro language preprocessor (e.g., a C preprocessor, an M4 preprocessor, or a SIMPLE preprocessor) for preprocessing the embedded HDL source code.
  • a macro language preprocessor e.g., a C preprocessor, an M4 preprocessor, or a SIMPLE preprocessor
  • the HDL code for the configurable hardware system specified in the configuration data is generated.
  • the integration module 109 generates the HDL code for the system specified in the configuration data 113 using HDL code from the configurable hardware library 101 . From block 310 , control ends.
  • FIG. 4 is a conceptual block diagram of a system design according to embodiments of the invention. As described above, according to an embodiment of the invention, the operations of FIG. 3 produce a system design represented in HDL code. FIG. 4 provides a graphical representation of such a system.
  • FIG. 4 includes system 400 , which includes unit 1 , unit 2 , unit 3 , and unit 4 .
  • unit 1 communicates to systems outside of the system 400 over a communication path 410 .
  • Unit 1 is coupled to unit 2 and unit 3 with communication paths 401 and 403 , respectively.
  • Unit 2 communicates with systems outside of system 400 through communication path 411 .
  • Unit 3 is coupled to unit 4 with communication paths 405 and 408 .
  • Unit 3 is also coupled to unit 2 with a communication path 409 .
  • Unit 2 is an optional unit in the system 400 .
  • Connection paths 401 and 409 are also optional.
  • a unit or connection path is optional when it is unknown whether factors external to the system will require the optional unit's functionality (e.g., a system at a higher level in the design hierarchy).
  • unit 2 would be optional if it's functionality were required by the first mode, but not by the second mode.
  • FIGS. 5 and 6 illustrate how system 400 is decomposed and verified according to embodiments of the invention.
  • FIG. 5 is a flow diagram illustrating operations for decomposing and verifying a configurable hardware system according to embodiments of the invention.
  • FIG. 6 is a block diagram illustrating a testbench for verifying a unit according to embodiments of the invention. FIGS. 5 and 6 will be described with reference to the exemplary system of FIG. 4 and the exemplary embodiment of FIG. 1 .
  • the configuration data 113 is mapped onto a selected unit's parameters.
  • the decomposition module 109 maps the configuration data 113 defining system 400 onto the parameters of a unit of system 400 (e.g., unit 1 ).
  • the decomposition module 109 analyzes the configuration data 113 to determine how unit 1 's parameters should be configured to meet the requirements of system 400 . Control continues at block 504 .
  • the verification module 111 analyzes the configuration data 113 to determine the connections for the selected unit (e.g., unit 1 ).
  • the decomposition module 109 couples a model to the unit, which may include an interface driver model, an interface monitor, and/or a protocol checker. This operation is conceptually illustrated in FIG. 6 .
  • model A is connected to unit 1 through communication path 403
  • model B is connected to unit 1 through communication path 401
  • Model C is connected to unit 1 through communication path 410 .
  • the test stimulus and response checking unit 415 is connected to models A, B, and C.
  • the test stimulus and response checking unit 415 monitors and facilitates testing operations.
  • models are used for sending and receiving information to the unit being verified.
  • models A and B will receive streams of data from unit 1 according to unit 1 's parameters (e.g., according to the particular communication protocol defined for the particular communication path).
  • model C will transmit data to unit 1 according to unit 1 's parameters.
  • the particular data to be transmitted to and from the unit will be determined by the tests used for verifying the unit. These tests will be discussed in more detail below. From block 504 , control continues at block 506 .
  • the configuration data is generated.
  • decomposition module 109 generates configuration data 113 specifying the selected unit's parameters.
  • the decomposition module 109 generates configuration data 113 in the form of a TCL file, as described above in the discussion of FIG. 1 .
  • the decomposition module 109 generates configuration data 113 in the form of an XML file.
  • a design based on the configuration data 113 is generated.
  • the generation module 107 uses the configurable hardware library 101 to generate a configurable hardware system design based on the configuration data 113 . This operation is described in more detail above, in the discussion of FIG. 3 .
  • the design is represented by HDL code. Control continues at block 510 .
  • tests and scripts and/or inputs to an analysis tool are generated.
  • the verification module 111 generates tests and scripts for running the tests and/or inputs to analysis tools. From block 510 , control continues at block 512 .
  • the verification module 111 may use preexisting tests that are known to verify the functionality of a particular unit or it may generate customized tests based on an analysis of the unit configuration. These tests will exercise and verify the functionality of the configured unit being tested.
  • the verification module 111 generates tests that are capable of verifying any configuration of the unit. In this embodiment, the tests read the configuration data 113 and modify their stimulus accordingly while the test is running, rather than before testing begins.
  • the verification module 111 can also generate scripts for automatically performing the tests.
  • the verification module 111 provides the design to an analysis tool, which performs a static analysis of the design.
  • the verification module 111 provides the unit design represented by HDL code to a static verification tool that analyzes the HDL code for errors.
  • the static verification tool generates warnings and or error messages based on its analysis of the HDL code.
  • the scripts are executed.
  • the verification module 111 executes the scripts, which automatically test and verify the selected unit.
  • the generation and verification unit 103 , configurable hardware library 101 , and configuration data storage unit 105 may be implemented in the form of a conventional computing platform, including one or more processors, application specific integrated circuits (ASICs), memories, and/or machine readable media whereon instructions are stored for performing operations according to embodiments of the invention.
  • Machine readable media includes any mechanism that provides (i.e., stores and/or transmits) information in a form readable by a machine (e.g., a computer).
  • a machine-readable medium includes read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.); etc.
  • the units shown in FIG. 1 are machine readable media executing on a processor to carryout the operations described herein.
  • the units of FIG. 1 are other types of logic (e.g., digital logic) for executing the operations described herein.
  • the generation and verification unit 103 , configurable hardware library 101 , and configuration data storage unit 105 can include one or more separate computer systems. It should also be understood that, according to embodiments of the invention, the components illustrated in FIG. 1 could be distributed over a number of networked computers, wherein they could be remotely controlled and operated.
  • FIG. 7 illustrates an exemplary system for decomposing and verifying configurable hardware, according to embodiments of the invention.
  • computer system 700 comprises processor(s) 702 .
  • Computer system 700 also includes a memory 732 , processor bus 710 and input/output controller hub (ICH) 740 .
  • the processor(s) 702 , memory 732 and ICH 740 are coupled to the processor bus 710 .
  • the processor(s) 702 may comprise any suitable processor architecture.
  • computer system 700 may comprise one, two, three, or more processors, any of which may execute a set of instructions that are in accordance with embodiments of the present invention.
  • the memory 732 stores data and/or instructions, and may comprise any suitable memory, such as a dynamic random access memory (DRAM), for example.
  • DRAM dynamic random access memory
  • the configuration hardware library 101 , generation and verification unit 103 , and configuration data storage unit 105 are stored in memory 732 . However, they may be stored in any or all IDE drive(s) 742 , memory 732 , and/or other suitable storage devices.
  • a graphics controller 734 controls the display of information on a display device 737 , according to embodiments of the invention.
  • the input/output controller hub (ICH) 740 provides an interface to I/O devices or peripheral components for computer system 700 .
  • the ICH 740 may comprise any suitable interface controllers to provide for any suitable communication link to the processor(s) 702 , memory 732 and/or to any suitable device or component in communication with the ICH 740 .
  • the ICH 740 provides suitable arbitration and buffering for each interface.
  • the ICH 740 provides an interface to one or more suitable integrated drive electronics (IDE) drives 742 , such as a hard disk drive (HDD) or compact disc read only memory (CD ROM) drive for example, to store data and/or instructions for example, one or more suitable universal serial bus (USB) devices through one or more USB ports 744 .
  • IDE integrated drive electronics
  • the ICH 740 also provides an interface to a keyboard 751 , a mouse 752 , a floppy disk drive 755 , one or more suitable devices through one or more parallel ports 753 (e.g., a printer), and one or more suitable devices through one or more serial ports 754 .
  • the ICH 740 also provides a network interface 756 though which the computer system 700 can communicate with other computer and/or devices.
  • computer system 700 includes a machine-readable medium on which is stored a set of instructions (i.e., software) embodying any one, or all, of the methodologies described herein.
  • software can reside, completely or at least partially, within memory 732 and/or within processor(s) 702 .

Abstract

The present invention includes a method and apparatus for decomposing and verifying configurable hardware. In one embodiment, the method includes automatically decomposing a hardware system into a set of one or more units, creating a testbench for each of the set of units, and verifying each of the set of units before verifying the hardware system design.

Description

    FIELD OF THE INVENTION
  • The present invention pertains to hardware verification. More particularly, the present invention relates to verifying configurable hardware.
  • BACKGROUND OF THE INVENTION
  • “Configurable hardware” or “parameterized hardware” describes hardware systems that are customized automatically at design creation time by using specified values for a set of parameters or attributes. Such hardware may also support changes at run-time depending on parameter settings. Configurable hardware systems typically provide better performance than software running on a general-purpose computer system and greater flexibility than conventional application specific integrated circuits (ASICs) without increasing circuit size and cost.
  • In conventional hardware systems, it is necessary to verify a system's functionality by testing the system and its components. Typically, the complexity of verifying a system's functionality increases with the number of components that make up the system. Therefore, the conventional approach is to manually verify each unit individually and then to assemble the “known good units” into a system. If hardware is hierarchically arranged, verification must be performed for each level in the hierarchy. If each individual unit has been verified before assembling the system, verifying system functionality can focus on potential problems with interactions between components rather than on each component's capabilities.
  • Configurable hardware systems can be verified using this type of conventional hierarchical decomposition. However, because each instance of a configurable hardware system is different, each time a configuration parameter is modified, the system and its components must be manually verified. The cost of repeatedly manually verifying a system and its components often offsets the advantages of configurable hardware.
  • SUMMARY OF THE INVENTION
  • The present invention includes a method and apparatus for decomposing and verifying configurable hardware. In one embodiment, the method includes automatically decomposing a hardware system into a set of one or more units, creating a testbench for each of the sets of units, and verifying each of the set of units before verifying the hardware system design.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example and not limitation in the Figures of the accompanying drawings, in which like references indicate similar elements and in which:
  • FIG. 1 is a block diagram for decomposing and verifying configurable hardware according to one embodiment of the invention;
  • FIG. 2 is a block diagram illustrating a system for creating and verifying configurable hardware according to one embodiment of the invention;
  • FIG. 3 is a flow diagram illustrating the creation of a system, according to embodiments of the invention;
  • FIG. 4 is a conceptual block diagram of a system design according to embodiments of the invention;
  • FIG. 5 is a flow diagram illustrating operations for decomposing and verifying a configurable hardware system according to embodiments of the invention;
  • FIG. 6 is a block diagram illustrating a testbench for verifying a unit according to embodiments of the invention;
  • FIG. 7 illustrates an exemplary system for decomposing and verifying configurable hardware, according to embodiments of the invention;
  • DETAILED DESCRIPTION
  • A method and apparatus for decomposing and verifying configurable hardware are described. Note that in this description, references to “one embodiment” or “an embodiment” mean that the feature being referred to is included in at least one embodiment of the invention. Further, separate references to “one embodiment” in this description do not necessarily refer to the same embodiment; however, neither are such embodiments mutually exclusive, unless so stated and except as will be readily apparent to those skilled in the art. Thus, the present invention can include any variety of combinations and/or integrations of the embodiments described herein.
  • Herein, block diagrams illustrate exemplary embodiments of the invention. Also herein, flow diagrams illustrate operations of the exemplary embodiments of the invention. The operations of the flow diagrams, will be described with reference to the exemplary embodiments shown in the block diagrams. However, it should be understood that the operations of the flow diagrams could be performed by embodiments of the invention other than those discussed with reference to the block diagrams, and embodiments discussed with references to the block diagrams could perform operations different than those discussed with reference to the flow diagrams.
  • Overview
  • In one embodiment of the invention, a generation and verification unit generates a configurable hardware system based on configuration data and a configurable hardware library. The configurable hardware system is made up of a number of units. In one embodiment of the invention, the generation and verification unit hierarchically decomposes a configurable hardware system into units that make up the system design. Configuration data is applied to each unit so that it can be removed and verified or analyzed outside of the system without changing the unit itself. The generation and verification unit creates a testbench, tests, and controlling scripts for each unit.
  • Exemplary Architecture
  • FIG. 1 is a block diagram for decomposing and verifying configurable hardware according to one embodiment of the invention. FIG. 1 includes a generation and verification unit 103, which further includes a generation module 107, decomposition module 109, and verification module 111. The generation and verification unit 103 is connected to a configurable hardware library 101, and a configuration data storage unit 105. The configuration data storage unit 105 includes configuration data 113. The generation and verification unit 103 generates a configurable hardware system 104 and its constituent units (illustrated as units 1-N).
  • In a configurable hardware system design hierarchy, the term “system” refers to the composition of units at a particular hierarchy level, where details of the units are hidden. Therefore, at a particular level in a configurable hardware system design hierarchy, units are indivisible components. However, at lower hierarchy levels, the units from a higher level have their details and internal components exposed. For example, referring to FIG. 1, at one design hierarchy level, the system 104 is viewed as a “black box” unit of a larger system, where the details about units 1-N are concealed. However, at a lower design hierarchy level, the system 104 is viewed as including units 1-N, where the unit connection details are exposed. At even lower levels of the configurable hardware system design hierarchy, the internal details of units 1-N are exposed. At the lowest hierarchy level, a unit cannot be decomposed. The generation of system 104 and units 1-N will be described in more detail below in FIG. 4.
  • The configuration data storage unit 105 includes configuration data 113, which hierarchically describes a configurable hardware system. For example, the configuration data 113 specifies the system and unit parameters at all relevant hierarchy levels. While the end user sets most parameters in the configuration data 113, the generation and verification unit 103 sets some parameters during the hardware integration and/or decomposition process. The configuration data 113 may be represented by any suitable electronic design automation scripting language, according to embodiments of the invention. In one embodiment of the invention, the configuration data 113 is represented in the tool control language (TCL) scripting language. In particular, the configuration data 113 may include a TCL text file defining a system design name, system-level parameters, unit-level names and parameters, unit-level connection parameters (e.g., number of wires in a signal bundle, handshaking protocols, pipelining behavior, etc.), and interface statements for binding unit instances to particular connections. In an alternative embodiment of the invention, this system information could be represented in the extensible markup language (XML) format or in a relational database.
  • Because multiple instances of any particular hardware unit can be included in a hardware system, each unit instance is uniquely named in the configuration data 113. Moreover, different instances of the same unit can be configured differently. For example, one instance of a FIFO may be configured to have a depth of 10 bytes, while another instance of a FIFO may be configured to have a depth of 100 bytes.
  • The configurable hardware library 101 describes all possible configurations of the system's hardware components. For example, the configuration hardware library 101 may describe all possible configurations of a FIFO, including its depth, width, and other configurable parameters. In one embodiment of the invention, the configurable hardware library includes hardware description language (HDL) code (e.g. Verilog or VHDL) embedded with preprocessing statements that describe how to interpret the configuration data 113.
  • FIG. 2 is a flow diagram illustrating the creation, decomposition, and verification of a configurable hardware system, according to embodiments of the invention. The operations of the flow diagram 200 will be described with reference to the block diagram of FIG. 1. At process block 202, the configuration data is read. For example, according to the embodiment of the invention illustrated in FIG. 1, the generation module 107 of the generation and verification unit 103 reads the configuration data 113 from the configuration data storage unit 105. As noted above, the configuration data 113 may be a TCL file that hierarchically defines a configurable hardware system. Control continues at block 204.
  • At block 204, the configurable hardware library is analyzed. For example, the generation module 107 analyzes the configurable hardware library 101 to determine the possible configurations of the hardware components necessary for generating the hardware system defined by the configuration data 113. Control continues at block 206.
  • As shown in block 206, a configurable hardware system is created. For example, the generation module 107 creates a configurable hardware system based on the configuration data 113 and the configurable hardware library 101. The operation of block 206 is further described below with reference to FIG. 3. Control continues at block 208.
  • At block 208, the system is decomposed and the system and its components are verified. For example, the decomposition module 109 and the verification module 111 decompose and verify the system components. The operation in block 208 will be described in more detail below in the discussion of FIG. 5.
  • It should be evident to one of ordinary skill in the art that the operations described in the flow diagram 200 could be repeated for generating and verifying hardware at any level in the hierarchical system design. For example, to verify a system at a particular hierarchy level, all of the system's components must be verified. This may require verifying lower level systems, which may in turn require verifying even lower level systems. Once the lowest level system is verified, the higher level systems may in turn be verified. Hence, the operations set forth in the flow diagram 200 can be repeated for creating and verifying systems and/or components at any design hierarchy level.
  • FIG. 3 is a flow diagram illustrating the creation of a system, according to embodiments of the invention. The operations of the flow diagram 300 will be described with reference to the exemplary embodiment illustrated in FIG. 1. At decision block 302, it is determined whether the configuration data conforms to rules for syntax and semantics. For example, the integration module 109 determines whether configuration data 113 from the configuration data storage unit 105 conforms to rules for syntax and semantics. As a more specific example, in an embodiment where the configuration data 113 is represented by a TCL text file, the integration module 109 determines whether the TCL file conforms to the syntax and semantics rules of the HDL used by the configurable hardware library 101. In one embodiment, the integration module 109 employs a high-level language program (e.g., a C++, Python, or Java program) to analyze a TCL file for syntax and semantics. If the configuration data file conforms to the syntax and semantics rules, control continues at block 304. Otherwise, the flow ends with an error report. Control continues at block 304.
  • At block 304, parameters are derived. For example, the integration module 109 derives system parameters from the configuration data 113. As a more specific example, in one embodiment, the integration module 109 derives the system's parameters by analyzing a TCL file, which defines a configurable hardware system. For example, a system parameter may specify the minimum bandwidth required for an internal communications path. From this setting, parameters for specifying the number of wires used at various connection points in the system are derived according to the rules in the configuration data. Control continues at block 306.
  • As shown in block 306, the preprocessing statements are configured based on the derived parameters. For example, in one embodiment of the invention, the integration module 109 configures HDL code preprocessing statements (stored in the configurable hardware library 101) that are affected by the specified and derived parameters. In doing this, the integration module 109 may impart particular values or control structures to preprocessor statements embedded in the HDL code. Control continues at block 308.
  • As shown in block 308, the HDL source code is preprocessed. For example, the integration module 109 preprocesses the HDL source code that was configured according to the derived parameters. In one embodiment of the invention, the integration module 109 includes a macro language preprocessor (e.g., a C preprocessor, an M4 preprocessor, or a SIMPLE preprocessor) for preprocessing the embedded HDL source code. Control continues at block 310.
  • At block 310, the HDL code for the configurable hardware system specified in the configuration data is generated. For example, the integration module 109 generates the HDL code for the system specified in the configuration data 113 using HDL code from the configurable hardware library 101. From block 310, control ends.
  • FIG. 4 is a conceptual block diagram of a system design according to embodiments of the invention. As described above, according to an embodiment of the invention, the operations of FIG. 3 produce a system design represented in HDL code. FIG. 4 provides a graphical representation of such a system. FIG. 4 includes system 400, which includes unit 1, unit 2, unit 3, and unit 4. In system 400, unit 1 communicates to systems outside of the system 400 over a communication path 410. Unit 1 is coupled to unit 2 and unit 3 with communication paths 401 and 403, respectively. Unit 2 communicates with systems outside of system 400 through communication path 411. Unit 3 is coupled to unit 4 with communication paths 405 and 408. Unit 3 is also coupled to unit 2 with a communication path 409.
  • Unit 2, illustrated with broken lines, is an optional unit in the system 400. Connection paths 401 and 409 are also optional. For a given level of the system design hierarchy, a unit (or connection path) is optional when it is unknown whether factors external to the system will require the optional unit's functionality (e.g., a system at a higher level in the design hierarchy). For example, if system 400 could be configured to operate in two different modes, unit 2 would be optional if it's functionality were required by the first mode, but not by the second mode.
  • FIGS. 5 and 6 illustrate how system 400 is decomposed and verified according to embodiments of the invention. FIG. 5 is a flow diagram illustrating operations for decomposing and verifying a configurable hardware system according to embodiments of the invention. FIG. 6 is a block diagram illustrating a testbench for verifying a unit according to embodiments of the invention. FIGS. 5 and 6 will be described with reference to the exemplary system of FIG. 4 and the exemplary embodiment of FIG. 1.
  • Referring to the flow diagram of FIG. 5, at block 502, the configuration data 113 is mapped onto a selected unit's parameters. For example, the decomposition module 109 maps the configuration data 113 defining system 400 onto the parameters of a unit of system 400 (e.g., unit 1). As a more specific example, the decomposition module 109 analyzes the configuration data 113 to determine how unit 1's parameters should be configured to meet the requirements of system 400. Control continues at block 504.
  • At block 504, for each connection to other units, interface models, monitors, and/or protocol checkers are added to the unit. For example, the verification module 111 analyzes the configuration data 113 to determine the connections for the selected unit (e.g., unit 1). For each connection (e.g., communication path), the decomposition module 109 couples a model to the unit, which may include an interface driver model, an interface monitor, and/or a protocol checker. This operation is conceptually illustrated in FIG. 6. In FIG. 6, model A is connected to unit 1 through communication path 403, while model B is connected to unit 1 through communication path 401. Model C is connected to unit 1 through communication path 410. The test stimulus and response checking unit 415 is connected to models A, B, and C. The test stimulus and response checking unit 415 monitors and facilitates testing operations. In the testbench, models are used for sending and receiving information to the unit being verified. For example, models A and B will receive streams of data from unit 1 according to unit 1's parameters (e.g., according to the particular communication protocol defined for the particular communication path). Similarly, model C will transmit data to unit 1 according to unit 1's parameters. The particular data to be transmitted to and from the unit will be determined by the tests used for verifying the unit. These tests will be discussed in more detail below. From block 504, control continues at block 506.
  • At block 506, the configuration data is generated. For example, decomposition module 109 generates configuration data 113 specifying the selected unit's parameters. According to one embodiment of the invention, the decomposition module 109 generates configuration data 113 in the form of a TCL file, as described above in the discussion of FIG. 1. According to an alternative embodiment, the decomposition module 109 generates configuration data 113 in the form of an XML file.
  • At block 508, a design based on the configuration data 113 is generated. For example, the generation module 107 uses the configurable hardware library 101 to generate a configurable hardware system design based on the configuration data 113. This operation is described in more detail above, in the discussion of FIG. 3. In one embodiment, the design is represented by HDL code. Control continues at block 510.
  • At block 510, tests and scripts and/or inputs to an analysis tool are generated. For example, the verification module 111 generates tests and scripts for running the tests and/or inputs to analysis tools. From block 510, control continues at block 512. In generating the tests, the verification module 111 may use preexisting tests that are known to verify the functionality of a particular unit or it may generate customized tests based on an analysis of the unit configuration. These tests will exercise and verify the functionality of the configured unit being tested. According to an embodiment of the invention, the verification module 111 generates tests that are capable of verifying any configuration of the unit. In this embodiment, the tests read the configuration data 113 and modify their stimulus accordingly while the test is running, rather than before testing begins. The verification module 111 can also generate scripts for automatically performing the tests.
  • As an additional or alternative form of testing, the verification module 111 provides the design to an analysis tool, which performs a static analysis of the design. For example, according to one embodiment of the invention, the verification module 111 provides the unit design represented by HDL code to a static verification tool that analyzes the HDL code for errors. In one embodiment, the static verification tool generates warnings and or error messages based on its analysis of the HDL code.
  • As shown in block 512, the scripts are executed. For example, the verification module 111 executes the scripts, which automatically test and verify the selected unit.
  • It should be apparent to one of ordinary skill in the art that the operations described in the flow diagram of FIG. 5 can be repeated to verify any unit/system at any level in a design hierarchy.
  • Referring to FIG. 1, the generation and verification unit 103, configurable hardware library 101, and configuration data storage unit 105 may be implemented in the form of a conventional computing platform, including one or more processors, application specific integrated circuits (ASICs), memories, and/or machine readable media whereon instructions are stored for performing operations according to embodiments of the invention. Machine readable media includes any mechanism that provides (i.e., stores and/or transmits) information in a form readable by a machine (e.g., a computer). For example, a machine-readable medium includes read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.); etc. In one embodiment, the units shown in FIG. 1 are machine readable media executing on a processor to carryout the operations described herein. However, in alternative embodiments, the units of FIG. 1 are other types of logic (e.g., digital logic) for executing the operations described herein. Alternatively, according to one embodiment of the invention, the generation and verification unit 103, configurable hardware library 101, and configuration data storage unit 105 can include one or more separate computer systems. It should also be understood that, according to embodiments of the invention, the components illustrated in FIG. 1 could be distributed over a number of networked computers, wherein they could be remotely controlled and operated.
  • FIG. 7 illustrates an exemplary system for decomposing and verifying configurable hardware, according to embodiments of the invention. As illustrated in FIG. 7, computer system 700 comprises processor(s) 702. Computer system 700 also includes a memory 732, processor bus 710 and input/output controller hub (ICH) 740. The processor(s) 702, memory 732 and ICH 740 are coupled to the processor bus 710. The processor(s) 702 may comprise any suitable processor architecture. For other embodiments of the invention, computer system 700 may comprise one, two, three, or more processors, any of which may execute a set of instructions that are in accordance with embodiments of the present invention.
  • The memory 732 stores data and/or instructions, and may comprise any suitable memory, such as a dynamic random access memory (DRAM), for example. In one embodiment of the invention, the configuration hardware library 101, generation and verification unit 103, and configuration data storage unit 105 are stored in memory 732. However, they may be stored in any or all IDE drive(s) 742, memory 732, and/or other suitable storage devices. A graphics controller 734 controls the display of information on a display device 737, according to embodiments of the invention.
  • The input/output controller hub (ICH) 740 provides an interface to I/O devices or peripheral components for computer system 700. The ICH 740 may comprise any suitable interface controllers to provide for any suitable communication link to the processor(s) 702, memory 732 and/or to any suitable device or component in communication with the ICH 740. For one embodiment of the invention, the ICH 740 provides suitable arbitration and buffering for each interface.
  • For one embodiment of the invention, the ICH 740 provides an interface to one or more suitable integrated drive electronics (IDE) drives 742, such as a hard disk drive (HDD) or compact disc read only memory (CD ROM) drive for example, to store data and/or instructions for example, one or more suitable universal serial bus (USB) devices through one or more USB ports 744. For one embodiment of the invention, the ICH 740 also provides an interface to a keyboard 751, a mouse 752, a floppy disk drive 755, one or more suitable devices through one or more parallel ports 753 (e.g., a printer), and one or more suitable devices through one or more serial ports 754. For one embodiment of the invention, the ICH 740 also provides a network interface 756 though which the computer system 700 can communicate with other computer and/or devices.
  • Accordingly, computer system 700 includes a machine-readable medium on which is stored a set of instructions (i.e., software) embodying any one, or all, of the methodologies described herein. For example, software can reside, completely or at least partially, within memory 732 and/or within processor(s) 702.
  • While the invention has been described in terms of several embodiments, those skilled in the art will recognize that the invention is not limited to the embodiments described. The method and apparatus of the invention can be practiced with modification and alteration within the spirit and scope of the appended claims. The description is thus to be regarded as illustrative instead of limiting on the invention.

Claims (14)

1. A computer implemented method comprising:
automatically decomposing a hardware system into a set of one or more units;
creating a testbench for each of the set of units; and
verifying each of the set of units before verifying the hardware system.
2. The computer implemented method of claim 1, wherein said set of units is defined in a configurable hardware library, and wherein said system is specified in a configuration data storage unit.
3. The computer implemented method of claim 2, wherein said configuration data is represented in a hierarchical language and wherein said configurable hardware library is represented in hardware design language (HDL).
4. The computer implemented method of claim 1, wherein said testbenches include models attached to each unit connection, wherein said models send data to and receive data from said unit according to parameters of the unit.
5. A computer implemented method comprising:
automatically decomposing a set of one or more units at a first level of a configurable hardware system design hierarchy, into a set of one or more units of a lowest level of the hardware system design hierarchy, wherein the configurable hardware system design hierarchy includes a set of one or more hierarchy levels; and
individually verifying units of each hierarchy level of the hardware system design hierarchy successively from the lowest level to the first level with test benches dynamically built for each unit of each successive level.
6. The computer implemented method of claim 5, wherein said automatically decomposing is based on configuration data and the contents of a configurable hardware library.
7. The computer implemented method of claim 6, wherein said configuration data specifies parameters for the units of each of the set of configurable hardware system design levels.
8. The computer implemented method of claim 6, wherein said configurable hardware library defines the units of each of the set of hierarchy levels.
9. A computer implemented method comprising:
mapping a set of configuration data onto a corresponding configurable unit definition selected from a configurable hardware library to generate a set of one or more configurable hardware units; dynamically generating a test bench for each of the set of configurable hardware units based on the configuration data;
verifying each of the set of configurable hardware units with their corresponding test bench; integrating the verified set of configurable hardware units into a configurable hardware system; and verifying the configurable hardware system.
10. The computer implemented method of claim 9 further comprising:
generating tests to be run on said testbenches;
generating scripts for executing said tests; and
generating inputs to an analysis tool.
11. The computer implemented method of claim 9, wherein said corresponding configurable unit definition is represented in hardware design language (HDL).
12. The computer implemented method of claim 9, wherein said configuration data is represented in tool control language (TCL), and wherein said configuration data defines parameters for each of the set of configurable hardware units.
13. The computer implemented method of claim 9 wherein said testbenches include models connected to each communication path of the unit.
14-39. (canceled)
US10/976,456 2002-11-12 2004-10-29 Method and apparatus for decomposing and verifying configurable hardware Abandoned US20050091618A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/976,456 US20050091618A1 (en) 2002-11-12 2004-10-29 Method and apparatus for decomposing and verifying configurable hardware
US11/118,044 US7299155B2 (en) 2002-11-12 2005-04-29 Method and apparatus for decomposing and verifying configurable hardware

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/293,734 US6816814B2 (en) 2002-11-12 2002-11-12 Method and apparatus for decomposing and verifying configurable hardware
US10/976,456 US20050091618A1 (en) 2002-11-12 2004-10-29 Method and apparatus for decomposing and verifying configurable hardware

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/293,734 Continuation US6816814B2 (en) 2002-11-12 2002-11-12 Method and apparatus for decomposing and verifying configurable hardware

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/118,044 Continuation-In-Part US7299155B2 (en) 2002-11-12 2005-04-29 Method and apparatus for decomposing and verifying configurable hardware

Publications (1)

Publication Number Publication Date
US20050091618A1 true US20050091618A1 (en) 2005-04-28

Family

ID=32229703

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/293,734 Expired - Lifetime US6816814B2 (en) 2002-11-12 2002-11-12 Method and apparatus for decomposing and verifying configurable hardware
US10/976,456 Abandoned US20050091618A1 (en) 2002-11-12 2004-10-29 Method and apparatus for decomposing and verifying configurable hardware
US11/118,044 Expired - Lifetime US7299155B2 (en) 2002-11-12 2005-04-29 Method and apparatus for decomposing and verifying configurable hardware

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/293,734 Expired - Lifetime US6816814B2 (en) 2002-11-12 2002-11-12 Method and apparatus for decomposing and verifying configurable hardware

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/118,044 Expired - Lifetime US7299155B2 (en) 2002-11-12 2005-04-29 Method and apparatus for decomposing and verifying configurable hardware

Country Status (6)

Country Link
US (3) US6816814B2 (en)
EP (1) EP1563386A1 (en)
JP (1) JP2006506710A (en)
KR (1) KR20050086587A (en)
AU (1) AU2003291248A1 (en)
WO (1) WO2004044749A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080113806A1 (en) * 2006-11-15 2008-05-15 Alderucci Dean P Accessing known information via a devicve to determine if the device is communicating with a server
US20090327992A1 (en) * 2008-06-30 2009-12-31 Rockwell Automation Technologies, Inc. Industry template abstracting and creation for use in industrial automation and information solutions
US20090327991A1 (en) * 2008-06-30 2009-12-31 Rockwell Automation Technologies, Inc. Industry template customization and transclusion for use in industrial automation and information solutions
US20100169715A1 (en) * 2008-12-29 2010-07-01 Dedicated Computing Llc Process for Verifying Computers

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU5805300A (en) 1999-06-10 2001-01-02 Pact Informationstechnologie Gmbh Sequence partitioning in cell structures
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US9552047B2 (en) 2001-03-05 2017-01-24 Pact Xpp Technologies Ag Multiprocessor having runtime adjustable clock and clock dependent power supply
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
US9411532B2 (en) 2001-09-07 2016-08-09 Pact Xpp Technologies Ag Methods and systems for transferring data between a processing device and external devices
US9250908B2 (en) 2001-03-05 2016-02-02 Pact Xpp Technologies Ag Multi-processor bus and cache interconnection system
US10031733B2 (en) 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
US9170812B2 (en) 2002-03-21 2015-10-27 Pact Xpp Technologies Ag Data processing system having integrated pipelined array data processor
US7356633B2 (en) * 2002-05-03 2008-04-08 Sonics, Inc. Composing on-chip interconnects with configurable interfaces
US7254603B2 (en) * 2002-05-03 2007-08-07 Sonics, Inc. On-chip inter-network performance optimization using configurable performance parameters
US7194566B2 (en) * 2002-05-03 2007-03-20 Sonics, Inc. Communication system and method with configurable posting points
AU2003289844A1 (en) 2002-09-06 2004-05-13 Pact Xpp Technologies Ag Reconfigurable sequencer structure
US6816814B2 (en) * 2002-11-12 2004-11-09 Sonics, Inc. Method and apparatus for decomposing and verifying configurable hardware
US7603441B2 (en) * 2002-12-27 2009-10-13 Sonics, Inc. Method and apparatus for automatic configuration of multiple on-chip interconnects
US7028283B1 (en) * 2003-01-30 2006-04-11 Xilinx, Inc. Method of using a hardware library in a programmable logic device
US7665069B2 (en) * 2003-10-31 2010-02-16 Sonics, Inc. Method and apparatus for establishing a quality of service model
US9087036B1 (en) 2004-08-12 2015-07-21 Sonics, Inc. Methods and apparatuses for time annotated transaction level modeling
US8504992B2 (en) 2003-10-31 2013-08-06 Sonics, Inc. Method and apparatus for establishing a quality of service model
US20060225015A1 (en) * 2005-03-31 2006-10-05 Kamil Synek Various methods and apparatuses for flexible hierarchy grouping
US7694249B2 (en) * 2005-10-07 2010-04-06 Sonics, Inc. Various methods and apparatuses for estimating characteristics of an electronic system's design
US8250503B2 (en) * 2006-01-18 2012-08-21 Martin Vorbach Hardware definition method including determining whether to implement a function as hardware or software
US7386828B1 (en) * 2006-02-23 2008-06-10 Altera Corporation SAT-based technology mapping framework
US7403027B2 (en) * 2006-10-30 2008-07-22 Intel Corporation Apparatuses and methods for outputting signals during self-heat burn-in modes of operation
US8020124B2 (en) * 2006-11-20 2011-09-13 Sonics, Inc. Various methods and apparatuses for cycle accurate C-models of components
US8868397B2 (en) 2006-11-20 2014-10-21 Sonics, Inc. Transaction co-validation across abstraction layers
US20080120082A1 (en) * 2006-11-20 2008-05-22 Herve Jacques Alexanian Transaction Co-Validation Across Abstraction Layers
US8296430B2 (en) 2007-06-18 2012-10-23 International Business Machines Corporation Administering an epoch initiated for remote memory access
US9065839B2 (en) 2007-10-02 2015-06-23 International Business Machines Corporation Minimally buffered data transfers between nodes in a data communications network
US8190562B2 (en) 2007-10-31 2012-05-29 Microsoft Corporation Linking framework for information technology management
FR2939532B1 (en) * 2008-12-10 2011-01-21 Airbus France METHOD AND DEVICE FOR DETECTING NON-REGRESSION OF AN INPUT / OUTPUT SYSTEM IN A SIMULATION ENVIRONMENT
US8204711B2 (en) * 2009-03-25 2012-06-19 GM Global Technology Operations LLC System and apparatus for managing test procedures within a hardware-in-the-loop simulation system
US8363367B2 (en) * 2009-03-27 2013-01-29 International Business Machines Corporation Electrical overstress protection circuit
US8831925B1 (en) 2009-06-09 2014-09-09 Jasper Design Automation, Inc. Indexing behaviors and recipes of a circuit design
US8606979B2 (en) * 2010-03-29 2013-12-10 International Business Machines Corporation Distributed administration of a lock for an operational group of compute nodes in a hierarchical tree structured network
US8365186B2 (en) 2010-04-14 2013-01-29 International Business Machines Corporation Runtime optimization of an application executing on a parallel computer
US8504730B2 (en) 2010-07-30 2013-08-06 International Business Machines Corporation Administering connection identifiers for collective operations in a parallel computer
US8397188B1 (en) 2010-09-21 2013-03-12 Altera Corporation Systems and methods for testing a component by using encapsulation
US8565120B2 (en) 2011-01-05 2013-10-22 International Business Machines Corporation Locality mapping in a distributed processing system
US9317637B2 (en) 2011-01-14 2016-04-19 International Business Machines Corporation Distributed hardware device simulation
US8689228B2 (en) 2011-07-19 2014-04-01 International Business Machines Corporation Identifying data communications algorithms of all other tasks in a single collective operation in a distributed processing system
US8572527B1 (en) 2011-09-13 2013-10-29 Jasper Design Automation, Inc. Generating properties for circuit designs
US9250948B2 (en) 2011-09-13 2016-02-02 International Business Machines Corporation Establishing a group of endpoints in a parallel computer
US8739092B1 (en) * 2012-04-25 2014-05-27 Jasper Design Automation, Inc. Functional property ranking
US10152566B1 (en) * 2016-09-27 2018-12-11 Altera Corporation Constraint based bit-stream compression in hardware for programmable devices
US10467202B2 (en) 2017-07-21 2019-11-05 Bank Of America Corporation System for multi-release and parallel development of a database
DE102018009143A1 (en) * 2018-11-20 2020-05-20 Frank Schuhmacher Method for authenticating a device by a host system
US10922462B1 (en) * 2019-11-22 2021-02-16 SiFive, Inc. Intellectual property block validation and design integration for integrated circuits

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5801956A (en) * 1993-12-13 1998-09-01 Nec Corporation Method for deciding the feasibility of logic circuit prior to performing logic synthesis
US20020091979A1 (en) * 2000-06-28 2002-07-11 Cadence Design Systems, Inc. System and method for testing integrated circuits
US20020161568A1 (en) * 1997-05-30 2002-10-31 Quickturn Design Systems, Inc. Memory circuit for use in hardware emulation system
US20020171449A1 (en) * 1999-11-19 2002-11-21 Hitachi, Ltd. Test system and manufacturing of semiconductor device
US20030067319A1 (en) * 2001-08-16 2003-04-10 Kwang-Bo Cho On-chip ADC test for image sensors
US6816814B2 (en) * 2002-11-12 2004-11-09 Sonics, Inc. Method and apparatus for decomposing and verifying configurable hardware

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5801956A (en) * 1993-12-13 1998-09-01 Nec Corporation Method for deciding the feasibility of logic circuit prior to performing logic synthesis
US20020161568A1 (en) * 1997-05-30 2002-10-31 Quickturn Design Systems, Inc. Memory circuit for use in hardware emulation system
US20020171449A1 (en) * 1999-11-19 2002-11-21 Hitachi, Ltd. Test system and manufacturing of semiconductor device
US20020091979A1 (en) * 2000-06-28 2002-07-11 Cadence Design Systems, Inc. System and method for testing integrated circuits
US20030067319A1 (en) * 2001-08-16 2003-04-10 Kwang-Bo Cho On-chip ADC test for image sensors
US6816814B2 (en) * 2002-11-12 2004-11-09 Sonics, Inc. Method and apparatus for decomposing and verifying configurable hardware

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080113806A1 (en) * 2006-11-15 2008-05-15 Alderucci Dean P Accessing known information via a devicve to determine if the device is communicating with a server
US20090327992A1 (en) * 2008-06-30 2009-12-31 Rockwell Automation Technologies, Inc. Industry template abstracting and creation for use in industrial automation and information solutions
US20090327991A1 (en) * 2008-06-30 2009-12-31 Rockwell Automation Technologies, Inc. Industry template customization and transclusion for use in industrial automation and information solutions
US8255869B2 (en) * 2008-06-30 2012-08-28 Rockwell Automation Technologies, Inc. Industry template customization and transclusion for use in industrial automation and information solutions
US8677310B2 (en) 2008-06-30 2014-03-18 Rockwell Automation Technologies, Inc. Industry template abstracting and creation for use in industrial automation and information solutions
US20100169715A1 (en) * 2008-12-29 2010-07-01 Dedicated Computing Llc Process for Verifying Computers

Also Published As

Publication number Publication date
WO2004044749A1 (en) 2004-05-27
US20040093186A1 (en) 2004-05-13
US7299155B2 (en) 2007-11-20
KR20050086587A (en) 2005-08-30
EP1563386A1 (en) 2005-08-17
JP2006506710A (en) 2006-02-23
US6816814B2 (en) 2004-11-09
US20050198611A1 (en) 2005-09-08
AU2003291248A1 (en) 2004-06-03

Similar Documents

Publication Publication Date Title
US6816814B2 (en) Method and apparatus for decomposing and verifying configurable hardware
US7340693B2 (en) System for designing re-programmable digital hardware platforms
US7650316B2 (en) Automatic generation of help information for specified systems
US8856726B2 (en) Verification of computer-executable code generated from a slice of a model
EP1966689B1 (en) Non-graphical model dependencies in graphical modeling environments
US8984496B2 (en) Extensible internal representation of systems with parallel and sequential implementations
Thompson et al. Specification-based prototyping for embedded systems
US8219923B2 (en) Automatic generation of documentation for specified systems
US7680632B1 (en) Test precondition items for automated analysis and test generation
US7127641B1 (en) System and method for software testing with extensible markup language and extensible stylesheet language
US6868508B2 (en) System and method enabling hierarchical execution of a test executive subsequence
US20110145784A1 (en) Automatic generation of code for component interfaces in models
US7974823B1 (en) Test postcondition items for automated analysis and test generation
US20060136863A1 (en) Applying coding standards in graphical programming environments
JP2010140487A (en) Use of statistical representations of traffic flow in data processing system
US20070214178A1 (en) Multi-project verification environment
KR20080055913A (en) Development of assertions for integrated circuit design simulation
EP1314045B1 (en) Method for providing bitwise constraints for test generation
US7231338B2 (en) Distributed simulation system having phases of a timestep
US20030145280A1 (en) Test executive system having XML reporting capabilities
US7451358B2 (en) Test executive system with automatic expression logging and parameter logging
US6970816B1 (en) Method and system for efficiently generating parameterized bus transactions
US8260597B1 (en) Accessing time driven environment data stores from a state driven environment
Posse et al. Processing causal block diagrams with graphgrammars in atom3
US8176450B1 (en) Method and apparatus for parameterizing hardware description language code in a system level design environment

Legal Events

Date Code Title Description
AS Assignment

Owner name: PARTNERS FOR GROWTH, L.P.,CALIFORNIA

Free format text: SECURITY AGREEMENT;ASSIGNOR:SONICS, INC.;REEL/FRAME:016069/0063

Effective date: 20050526

Owner name: PARTNERS FOR GROWTH, L.P., CALIFORNIA

Free format text: SECURITY AGREEMENT;ASSIGNOR:SONICS, INC.;REEL/FRAME:016069/0063

Effective date: 20050526

AS Assignment

Owner name: PARTNERS FOR GROWTH, L.P.,CALIFORNIA

Free format text: SECURITY AGREEMENT;ASSIGNOR:SONICS, INC.;REEL/FRAME:016886/0376

Effective date: 20050526

Owner name: PARTNERS FOR GROWTH, L.P., CALIFORNIA

Free format text: SECURITY AGREEMENT;ASSIGNOR:SONICS, INC.;REEL/FRAME:016886/0376

Effective date: 20050526

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: FACEBOOK TECHNOLOGIES, LLC, CALIFORNIA

Free format text: MERGER AND CHANGE OF NAME;ASSIGNORS:SONICS, INC.;FACEBOOK TECHNOLOGIES, LLC;REEL/FRAME:051139/0421

Effective date: 20181227

AS Assignment

Owner name: META PLATFORMS TECHNOLOGIES, LLC, CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:FACEBOOK TECHNOLOGIES, LLC;REEL/FRAME:061356/0166

Effective date: 20220318