US20050109461A1 - Chamber cleaning via rapid thermal process during a cleaning period - Google Patents

Chamber cleaning via rapid thermal process during a cleaning period Download PDF

Info

Publication number
US20050109461A1
US20050109461A1 US10/972,523 US97252304A US2005109461A1 US 20050109461 A1 US20050109461 A1 US 20050109461A1 US 97252304 A US97252304 A US 97252304A US 2005109461 A1 US2005109461 A1 US 2005109461A1
Authority
US
United States
Prior art keywords
chamber
cleaning
disposed
source
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/972,523
Inventor
Sheng Sun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/972,523 priority Critical patent/US20050109461A1/en
Publication of US20050109461A1 publication Critical patent/US20050109461A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Definitions

  • the present invention relates generally to the field of semiconductor manufacturing. More specifically, the present invention relates to an improved chamber cleaning method via a rapid thermal process during the cleaning period.
  • process gases are evacuated from the process chamber and one or more cleaning gases are introduced. Energy is then applied to promote a reaction between the gases and any residues which may have accumulated on the process chamber's interior surfaces. Those residues react with the cleaning gases, forming gaseous by-products which are then exhausted from the process chamber, along with non-reacted portions of the cleaning gases.
  • the cleaning process is followed by the resumption of normal wafer processing.
  • a wet cleaning procedure is performed by breaking the process chamber's vacuum seal and manually wiping down the chamber's interior surfaces.
  • a wet cleaning procedure is normally performed to remove residues which are not entirely removed by the in-situ cleaning process, and thus slowly accumulate over time. A solvent is sometimes used to dissolve these residues. Once cleaned, the process chamber is sealed and normal processing is resumed.
  • cleaning operations affect a substrate processing system's throughput in a variety of ways.
  • system throughput is reduced by the time involved in performing cleaning operations.
  • time is spent evacuating process gases from, and introducing/evacuating the cleaning gases into/from the process chamber.
  • Flow rates, plasma power levels, temperature, pressure, and other cleaning process conditions must also be reset to desired levels after the cleaning process is completed.
  • opening the process chamber and physically wiping the chamber's interior surfaces results in even more downtime because the process must subsequently be re-stabilized. It is thus desirable to reduce the frequency with which such cleaning operations are performed.
  • in-situ cleaning is typically performed using fluoridated carbons (e.g., CF.sub.4, C.sub.2 or F.sub.6) or similar fluorine-containing gases (e.g., NF.sub.3) due to their highly reactive nature.
  • fluoridated carbons e.g., CF.sub.4, C.sub.2 or F.sub.6
  • similar fluorine-containing gases e.g., NF.sub.3
  • exposure to plasmas created from such gases often causes the deterioration of process chamber components. This increased wear can lead to component failure; thereby causing extended downtime, and adversely affecting processing system throughput.
  • residues generated by cleaning gases is the cleaning residues often formed by the use of fluoridated compounds in certain cleaning processes. These compounds may react with the aluminum or anodized aluminum which makes up many of the standard process chamber's components to form an aluminum fluoride residue on the interior surfaces of the chamber and the chamber's components.
  • the reaction between the aluminum and the fluorine-containing compounds often occurs because the residues within the process chamber vary in thickness and therefore have different cleaning times.
  • certain areas of the process chamber's interior may become residue-free (i.e., exposed) before others, resulting in the formation of an aluminum fluoride residue on the exposed portions of the chamber's interior.
  • the prior art is deficient in the lack of effective means of cleaning a process chamber in chemical vapor deposition (CVD) or etching processes.
  • the cleaning means should be capable of removing the residues created during substrate processing operations, while reducing or eliminating the subsequent formation of cleaning residues such as polymers and aluminum fluoride.
  • the prior art is deficient in the lack of effective means of chamber cleaning via rapid thermal process during the cleaning period.
  • the present invention fulfills these long-standing needs and desires in the art.
  • a method for cleaning a process chamber comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • a method for cleaning a process chamber comprising the steps of introducing at least one halogen-containing gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • a method for cleaning a process chamber comprising the steps of introducing at least one fluorine-containing gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • a method for cleaning a process chamber comprising the steps of introducing at least one precursor gas to the process chamber; applying a plasma to the precursor gas in the process chamber, wherein the plasma activates the precursor gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • a method for cleaning a process chamber comprising the steps of introducing at least one halogen-containing gas to the process chamber; applying a plasma to the halogen-containing gas in the process chamber, wherein the plasma activates the halogen-containing gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • a method for cleaning a process chamber comprising the steps of introducing at least one fluorine-containing gas to the process chamber; applying a plasma to the fluorine-containing gas in the process chamber, wherein the plasma activates the fluorine-containing gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • a method for cleaning a process chamber comprising the steps of introducing at least one precursor gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the precursor gas in the remote chamber wherein the plasma activates the precursor gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • a method for cleaning a process chamber comprising the steps of introducing at least one halogen-containing gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the halogen-containing gas in the remote chamber wherein the plasma activates the halogen-containing gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • a method for cleaning a process chamber comprising the steps of introducing at least one fluorine-containing gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the fluorine-containing gas in the remote chamber wherein the plasma activates the fluorine-containing gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • FIG. 1 shows an AKT CVD-5500 chamber wall and liner temperature measurement.
  • One eighth inch thick ceramic spacers were added between the liners and wall. Heat transfer and liner temperature was studied.
  • FIG. 2 shows a schematic drawing of a rapid thermal process (RTP) module installed in a process chamber.
  • the RTP module can be a resistive heater assembly, a high-power lamp array, or a combination of both.
  • FIG. 3 shows a schematic drawing of a rapid thermal process (RTP) module installed in a process chamber, wherein remote plasma source cleaning (RPSC) is employed to assist the cleaning.
  • RTP rapid thermal process
  • RPSC remote plasma source cleaning
  • the RTP module can be a resistive heater assembly, a high-power lamp array, or a combination of both.
  • a method for cleaning a process chamber comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • the cleaning gas may be a fluorine-containing gas, a chlorine-containing gas or a halogen-containing gas.
  • fluorine-containing gas include HF, F.sub.2, NF.sub.3, SF.sub.6, C.sub.2 F.sub.6, CF.sub.4, and C.sub.3F.sub.8.
  • the rapid heating module may be a high power lamp assembly placed at the bottom of the chamber, a resistive heater or an inductive heater assembly embedded in the chamber wall next to the liner, or a combination of any two or three.
  • the process chamber may be a CVD or etch chamber.
  • a method for cleaning a process chamber comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • the halogen-containing gas may be a fluorine-containing gas or a chlorine-containing gas.
  • the process chamber may be a CVD or etch chamber.
  • a method for cleaning a process chamber comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • Representative examples of the fluorine-containing gas and the process chamber are as disclosed supra.
  • a method for cleaning a process chamber comprising the steps of introducing at least one precursor gas to the process chamber; applying a plasma to the precursor gas in the process chamber, wherein the plasma activates the precursor gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • the precursor gas may be the same as disclosed supra.
  • the rapid heating module may comprise those assemblies and optionally in those combinations also disclosed supra.
  • the process chamber may be a CVD or etch chamber.
  • a method for cleaning a process chamber comprising the steps of introducing at least one halogen-containing gas to the process chamber; applying a plasma to the halogen-containing gas in the process chamber, wherein the plasma activates the halogen-containing gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • the halogen-containing gas and the process chamber are as disclosed supra.
  • the rapid heating module may be located as disclosed supra.
  • a method for cleaning a process chamber comprising the steps of introducing at least one fluorine-containing gas to the process chamber; applying a plasma to the fluorine-containing gas in the process chamber, wherein the plasma activates the fluorine-containing gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • Representative examples of the fluorine-containing gas and the process chamber are as disclosed supra.
  • a method for cleaning a process chamber comprising the steps of introducing at least one precursor gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the precursor gas in the remote chamber wherein the plasma activates the precursor gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • the precursor gas may be the same as the gases disclosed supra.
  • the rapid heating module may comprise those assemblies and optionally in those combinations also disclosed supra.
  • the process chamber may be a CVD or etch chamber.
  • a method for cleaning a process chamber comprising the steps of introducing at least one halogen-containing gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the halogen-containing gas in the remote chamber wherein the plasma activates the halogen-containing gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • the halogen-containing gas and the process chamber are as disclosed supra.
  • the rapid heating module may be located as disclosed supra.
  • a method for cleaning a process chamber comprising the steps of introducing at least one fluorine-containing gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the fluorine-containing gas in the remote chamber wherein the plasma activates the fluorine-containing gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • Representative examples of the fluorine-containing gas and the process chamber are as disclosed supra.
  • a method for cleaning a process chamber by employing a rapid thermal process (RTP) module to quickly increase the chamber parts temperature during the process chamber cleaning period is provided herein.
  • RTP rapid thermal process
  • PECVD AKT plasma enhanced chemical vapor deposition
  • the deposition process temperature is optimized to be around 300° C. for the large-size glass substrates. Therefore, the process chamber is designed to achieve this temperature (Tsub) with the best substrate temperature uniformity.
  • Tsub temperature
  • the chamber parts, especially those exposed to the deposition plasma exhibit a strong surface temperature variation as shown in FIG. 1 .
  • An RTP module either a high power lamp or embedded resistive heating system, can be employed during the chamber cleaning period to quickly increase the chamber parts' temperature and achieve a better surface temperature uniformity among all parts exposed to the deposition plasma. Since the film dry etch rate increases with the surface temperature, such rapid heating method will increase the cleaning rate significantly and reduce the consumption of cleaning gases.
  • This RTP module can be applied with either in-situ plasma cleaning process or the remote plasma source cleaning (RPSC) process.
  • RPSC remote plasma source cleaning
  • thermocouples In AKT CVD-5500 chamber system, 1 ⁇ 8′′ thick ceramic spacers were added between the liners and chamber wall to study heat transfer and liner temperature ( FIG. 1 ). Eleven thermocouples (TCs) were installed, six of which survived (i.e., TC1, TC2, TC5, TC6, TC10 and TC11). The six surviving TCs were Kepton-taped to different places on the chamber wall, liners and shadow frame (for substrate clamping purpose).
  • TC1 was attached to the middle of the left-side liner, while TC2 was placed underneath on the chamber wall.
  • TC5 was attached to the middle of the slit valve side liner, while TC6 was embedded underneath on the chamber wall.
  • TC10 was placed on the corner elbow-shaped liner, while TC11 was laid on the shadow frame top surface.
  • the susceptor temperature was maintained at 350/360° C. for inner/outer heater combination. With this configuration, the substrate temperature can be maintained at roughly 320° C. across the entire deposition area.
  • the susceptor heater was the only active heating device in the process chamber.
  • Fat-Belly 45-degree liners did achieve faster clean rate in the ⁇ -Si cleaning ( ⁇ 20% for AH) case, but in the SiN (GH) case, the clean rate is the same (Table 3). Additionally, Fat-Belly 45-degree liners achieved ⁇ 2-3% better deposition uniformity in ⁇ -Si and SiN films.
  • the fat-belly liners are modified liners which are in closer proximity to the active heating device (i.e., the susceptor) and have a larger thermal mass compared with the standard liners. Though there were no active heating elements embedded, the potential of raising the chamber part's surface temperature and thus promoting cleaning rate in the AH film cleaning case with the addition of an RTP module is obvious.
  • the rapid heating module can be a high power lamp placed at the bottom of the chamber, or a resistive heater embedded in the wall next to the liner, or a combination of both. It is contemplated that an inductive heater may also be embedded in the wall next to the liner and may be used singly or in combination with the high power lamp and/or the resistive heater.
  • the rapid heating module is turned off and, due to the effective heat conduction to the huge thermal mass of the chamber body wall, the chamber parts' temperatures quickly return to the equilibrated process temperature. As this process can coincide with the film seasoning period, no throughput loss occurs. During the film deposition period, all chamber parts remain at the normal temperature to provide the optimized substrate temperature.
  • a cleaning gas is flowed to the chamber ( FIG. 2 ).
  • a fluorine-containing gas, a chlorine-containing gas or a halogen-containing gas may be used as the cleaning gas.
  • a fluorine-containing gas e.g., HF, F.sub.2, NF.sub.3, SF.sub.6, C.sub.2 F.sub.6, CF.sub.4, and C.sub.3F.sub.8, or other fluorocarbon gases of the general formula C.sub.X, F.sub.Y is commonly used for cleaning.
  • a rapid heating module e.g., a high power lamp placed at the bottom of the chamber, or a resistive heater embedded in the wall next to the liner, or a combination of both, is applied to the chamber to heat up the liners and other chamber parts.
  • the rapid thermal process may be applied together with either in-situ plasma cleaning process, or the remote plasma source cleaning (RPSC) process during the cleaning period.
  • in-situ plasma cleaning systems precursor gases are supplied to the chamber. Then, by locally applying a glow discharge plasma to the precursor gases within the chamber, reactive species are generated. The reactive species clean the chamber surfaces by forming volatile compounds with the process residues on those surfaces.
  • a rapid heating module e.g., a high power lamp placed at the bottom of the chamber, or a resistive heater embedded in the wall next to the liner, or a combination of both, is applied to the chamber to heat up the liners and other chamber parts.
  • a remote plasma source cleaning system comprises a cleaning gas source connected to a remote activation chamber.
  • the cleaning gas source includes a source of a precursor gas, an electronically-operated valve and flow control mechanism for controlling the flow of precursor gas and a conduit for flowing the gas into the remote activation chamber located outside and at a distance from the process chamber.
  • a power activation source for example a high-power microwave generator, is used to activate the precursor gas within the remote activation chamber.
  • the remote chamber may be a sapphire tube and the power source a 2.54 GHz microwave energy source with its output aimed at the sapphire tube.
  • the precursor gas may be a fluorine-containing gas, a chlorine-containing gas or a halogen-containing gas, for example, NF.sub.3.
  • the flow rate of activated species is about 2 liters per minute and the process chamber pressure is about 0.5 Torr.
  • the microwave source delivers about 3,000-12,000 Watts to the remote activation chamber. A value of 5,000 Watts may be used for many applications.
  • reactive species e.g. F radicals
  • these reactive species are flowed into the process chamber wherein cleaning of the chamber occurs as in an in-situ plasma cleaning process.

Abstract

A method for cleaning a process chamber, comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 09/866,225, filed May 24, 2001, which patent application is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to the field of semiconductor manufacturing. More specifically, the present invention relates to an improved chamber cleaning method via a rapid thermal process during the cleaning period.
  • 2. Description of the Related Art
  • An important way to improve quality and overall efficiency in fabricating devices is to clean the chamber effectively and economically. During processing, reactive gases released inside the process chamber form layers such as silicon oxides or nitrides on the surface of a substrate being processed. Undesirable deposition occurs elsewhere in the process apparatus, such as in the area between the gas mixing box and gas distribution manifold. Undesired residues also may be deposited in or around the exhaust channel, the liners and walls of the process chamber during such processes. Over time, failure to clean the residue from the process apparatus often results in degraded, unreliable processes and defective substrates. Without frequent cleaning procedures, impurities from the residue built-up in the process apparatus can migrate onto the substrate. The problem of impurities causing damage to the devices on the substrate is of particular concern with today's increasingly small device dimensions. Thus, process system maintenance is important for the smooth operation of substrate processing, as well as resulting in improved device yield and better product performance.
  • Frequently, periodic chamber cleaning between processing of every N substrates is desired to improve process system performance in producing high quality devices. Providing an efficient, non-damaging clean of the chamber and/or substrate often is able to enhance performance and quality of the devices produced. Two methods of cleaning a process chamber in the art are in-situ cleaning (also known as dry-etch cleaning) and wet cleaning.
  • In an in-situ cleaning operation, process gases are evacuated from the process chamber and one or more cleaning gases are introduced. Energy is then applied to promote a reaction between the gases and any residues which may have accumulated on the process chamber's interior surfaces. Those residues react with the cleaning gases, forming gaseous by-products which are then exhausted from the process chamber, along with non-reacted portions of the cleaning gases. The cleaning process is followed by the resumption of normal wafer processing.
  • In contrast to an in-situ cleaning procedure, in which the process chamber remains sealed, a wet cleaning procedure is performed by breaking the process chamber's vacuum seal and manually wiping down the chamber's interior surfaces. A wet cleaning procedure is normally performed to remove residues which are not entirely removed by the in-situ cleaning process, and thus slowly accumulate over time. A solvent is sometimes used to dissolve these residues. Once cleaned, the process chamber is sealed and normal processing is resumed.
  • Unfortunately, such cleaning operations affect a substrate processing system's throughput in a variety of ways. For example, system throughput is reduced by the time involved in performing cleaning operations. In an in-situ cleaning process, time is spent evacuating process gases from, and introducing/evacuating the cleaning gases into/from the process chamber. Flow rates, plasma power levels, temperature, pressure, and other cleaning process conditions must also be reset to desired levels after the cleaning process is completed. When a wet clean is performed, opening the process chamber and physically wiping the chamber's interior surfaces results in even more downtime because the process must subsequently be re-stabilized. It is thus desirable to reduce the frequency with which such cleaning operations are performed.
  • Additionally, frequent cleaning operations tend to increase wear on the process chamber components. For example, in-situ cleaning is typically performed using fluoridated carbons (e.g., CF.sub.4, C.sub.2 or F.sub.6) or similar fluorine-containing gases (e.g., NF.sub.3) due to their highly reactive nature. Unfortunately, exposure to plasmas created from such gases often causes the deterioration of process chamber components. This increased wear can lead to component failure; thereby causing extended downtime, and adversely affecting processing system throughput.
  • The use of reactive gases in cleaning process chambers, however, also suffers from a further disadvantage. The same radicals that provide desirable cleaning characteristics may themselves cause the formation of residues. For example, the use of such gases can cause the accumulation of polymer residues, which also exhibit undesirable qualities. The addition of oxygen to the cleaning process gas may reduce the formation of such polymer residues. In particular, ozone or an oxygen/ozone mixture may provide the desired reduction in polymer formation while speeding the cleaning process, due to ozone's greater reactivity.
  • Another example of residues generated by cleaning gases is the cleaning residues often formed by the use of fluoridated compounds in certain cleaning processes. These compounds may react with the aluminum or anodized aluminum which makes up many of the standard process chamber's components to form an aluminum fluoride residue on the interior surfaces of the chamber and the chamber's components. The reaction between the aluminum and the fluorine-containing compounds often occurs because the residues within the process chamber vary in thickness and therefore have different cleaning times. Thus, certain areas of the process chamber's interior may become residue-free (i.e., exposed) before others, resulting in the formation of an aluminum fluoride residue on the exposed portions of the chamber's interior.
  • Therefore, the prior art is deficient in the lack of effective means of cleaning a process chamber in chemical vapor deposition (CVD) or etching processes. In particular, the cleaning means should be capable of removing the residues created during substrate processing operations, while reducing or eliminating the subsequent formation of cleaning residues such as polymers and aluminum fluoride. Specifically, the prior art is deficient in the lack of effective means of chamber cleaning via rapid thermal process during the cleaning period. The present invention fulfills these long-standing needs and desires in the art.
  • SUMMARY OF THE INVENTION
  • In one embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • In one embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one halogen-containing gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • In one embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one fluorine-containing gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • In another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one precursor gas to the process chamber; applying a plasma to the precursor gas in the process chamber, wherein the plasma activates the precursor gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • In another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one halogen-containing gas to the process chamber; applying a plasma to the halogen-containing gas in the process chamber, wherein the plasma activates the halogen-containing gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • In another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one fluorine-containing gas to the process chamber; applying a plasma to the fluorine-containing gas in the process chamber, wherein the plasma activates the fluorine-containing gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • In yet another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one precursor gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the precursor gas in the remote chamber wherein the plasma activates the precursor gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • In yet another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one halogen-containing gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the halogen-containing gas in the remote chamber wherein the plasma activates the halogen-containing gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • In yet another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one fluorine-containing gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the fluorine-containing gas in the remote chamber wherein the plasma activates the fluorine-containing gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned.
  • Other and further aspects, features, and advantages of the present invention will be apparent from the following description of the embodiments of the invention given for the purpose of disclosure.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the matter in which the above-recited features, advantages and objects of the invention, as well as others which will become clear, are attained and can be understood in detail, more particular descriptions of the invention briefly summarized above may be had by reference to certain embodiments thereof which are illustrated in the appended drawings. These drawings form a part of the specification. It is to be noted, however, that the appended drawings illustrate embodiments of the invention and therefore are not to be considered limiting in their scope.
  • FIG. 1 shows an AKT CVD-5500 chamber wall and liner temperature measurement. One eighth inch thick ceramic spacers were added between the liners and wall. Heat transfer and liner temperature was studied.
  • FIG. 2 shows a schematic drawing of a rapid thermal process (RTP) module installed in a process chamber. The RTP module can be a resistive heater assembly, a high-power lamp array, or a combination of both.
  • FIG. 3 shows a schematic drawing of a rapid thermal process (RTP) module installed in a process chamber, wherein remote plasma source cleaning (RPSC) is employed to assist the cleaning. The RTP module can be a resistive heater assembly, a high-power lamp array, or a combination of both.
  • DETAILED DESCRIPTION
  • In one embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned.
  • Specifically, the cleaning gas may be a fluorine-containing gas, a chlorine-containing gas or a halogen-containing gas. Representative examples of fluorine-containing gas include HF, F.sub.2, NF.sub.3, SF.sub.6, C.sub.2 F.sub.6, CF.sub.4, and C.sub.3F.sub.8. The rapid heating module may be a high power lamp assembly placed at the bottom of the chamber, a resistive heater or an inductive heater assembly embedded in the chamber wall next to the liner, or a combination of any two or three. The process chamber may be a CVD or etch chamber.
  • In one embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned. Specifically, the halogen-containing gas may be a fluorine-containing gas or a chlorine-containing gas. Representative examples of the fluorine-containing gas include HF, F.sub.2, NF.sub.3, SF.sub.6, C.sub.2 F.sub.6, CF.sub.4, and C.sub.3F.sub.8. The process chamber may be a CVD or etch chamber.
  • In one embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one cleaning gas to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the cleaning gas such that the process chamber is cleaned. Representative examples of the fluorine-containing gas and the process chamber are as disclosed supra.
  • In another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one precursor gas to the process chamber; applying a plasma to the precursor gas in the process chamber, wherein the plasma activates the precursor gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned. The precursor gas may be the same as disclosed supra. The rapid heating module may comprise those assemblies and optionally in those combinations also disclosed supra. The process chamber may be a CVD or etch chamber.
  • In another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one halogen-containing gas to the process chamber; applying a plasma to the halogen-containing gas in the process chamber, wherein the plasma activates the halogen-containing gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned. Representative examples of the halogen-containing gas and the process chamber are as disclosed supra. The rapid heating module may be located as disclosed supra.
  • In another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one fluorine-containing gas to the process chamber; applying a plasma to the fluorine-containing gas in the process chamber, wherein the plasma activates the fluorine-containing gas to generate reactive species; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned. Representative examples of the fluorine-containing gas and the process chamber are as disclosed supra.
  • In yet another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one precursor gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the precursor gas in the remote chamber wherein the plasma activates the precursor gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned. The precursor gas may be the same as the gases disclosed supra. The rapid heating module may comprise those assemblies and optionally in those combinations also disclosed supra. The process chamber may be a CVD or etch chamber.
  • In yet another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one halogen-containing gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the halogen-containing gas in the remote chamber wherein the plasma activates the halogen-containing gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly, a resistive heater assembly, an inductive heater assembly, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned. Representative examples of the halogen-containing gas and the process chamber are as disclosed supra. The rapid heating module may be located as disclosed supra.
  • In yet another embodiment of the present invention there is provided a method for cleaning a process chamber, comprising the steps of introducing at least one fluorine-containing gas to a remote chamber, wherein the remote chamber is connected to the interior of the process chamber; applying a plasma to the fluorine-containing gas in the remote chamber wherein the plasma activates the fluorine-containing gas to generate reactive species; introducing the reactive species to the process chamber; and employing a rapid heating module located in the process chamber, wherein the rapid heating module comprises a high power lamp assembly placed at the bottom of the process chamber, a resistive heater assembly or an inductive heater assembly embedded in the chamber wall next to the liners, or a combination of two or more of the assemblies, wherein the rapid heating module increases the temperature of chamber parts and improves the surface temperature uniformity of chamber parts when the module is turned on, thereby assisting the cleaning activity of the reactive species such that the process chamber is cleaned. Representative examples of the fluorine-containing gas and the process chamber are as disclosed supra.
  • Provided herein is a method for cleaning a process chamber by employing a rapid thermal process (RTP) module to quickly increase the chamber parts temperature during the process chamber cleaning period. In AKT plasma enhanced chemical vapor deposition (PECVD) systems, the deposition process temperature is optimized to be around 300° C. for the large-size glass substrates. Therefore, the process chamber is designed to achieve this temperature (Tsub) with the best substrate temperature uniformity. However, due to the single active heater source, the susceptor, and different heat transfer mechanisms, the chamber parts, especially those exposed to the deposition plasma, exhibit a strong surface temperature variation as shown in FIG. 1.
  • An RTP module, either a high power lamp or embedded resistive heating system, can be employed during the chamber cleaning period to quickly increase the chamber parts' temperature and achieve a better surface temperature uniformity among all parts exposed to the deposition plasma. Since the film dry etch rate increases with the surface temperature, such rapid heating method will increase the cleaning rate significantly and reduce the consumption of cleaning gases.
  • Table 1 shows a typical relationship of clean rate vs. surface temperature. The data were obtained in an actual AKT CVD-3500 chamber. The film etch rate was calculated using the formula: Etch rate=(the final thickness−the initial thickness)/etch time.
    TABLE 1
    Approx. Tsub Etch Rate
    Film Type Tsusc (° C.) (° C.) (A/min)
    SiN 120/130 90 18448
    180/190 150 19224
    240/250 200 21036
    α-Si 120/130 90 23100
    180/190 150 26172
    240/250 200 29370
    SiO 120/130 90 976
    180/190 150 1144
    240/250 200 1842

    Tsusc: susceptor set temperature (inner heater/outer heater combination); Approx.

    Tsub: the estimated substrate surface temperature.
  • This RTP module can be applied with either in-situ plasma cleaning process or the remote plasma source cleaning (RPSC) process. This methodology can be expanded easily by a person having ordinary skill in this art to other semiconductor processes in the cleaning of CVD or etch chambers.
  • The following examples are given for the purpose of illustrating various embodiments of the invention and are not meant to limit the present invention in any fashion.
  • EXAMPLE 1
  • Chamber Wall and Liner Temperature Measurement
  • In AKT CVD-5500 chamber system, ⅛″ thick ceramic spacers were added between the liners and chamber wall to study heat transfer and liner temperature (FIG. 1). Eleven thermocouples (TCs) were installed, six of which survived (i.e., TC1, TC2, TC5, TC6, TC10 and TC11). The six surviving TCs were Kepton-taped to different places on the chamber wall, liners and shadow frame (for substrate clamping purpose).
  • TC1 was attached to the middle of the left-side liner, while TC2 was placed underneath on the chamber wall. Similarly, TC5 was attached to the middle of the slit valve side liner, while TC6 was embedded underneath on the chamber wall. TC10 was placed on the corner elbow-shaped liner, while TC11 was laid on the shadow frame top surface.
  • All the temperature readings were recorded at different process conditions though the susceptor temperature was maintained at 350/360° C. for inner/outer heater combination. With this configuration, the substrate temperature can be maintained at roughly 320° C. across the entire deposition area. The susceptor heater was the only active heating device in the process chamber.
  • The results are shown in Table 2. Under the normal configuration (left column of Table 2), there exists a great degree of temperature non-uniformity in the process chamber. For example, shadow frame (TC11) shows the highest reading due to the fact that it has direct contact with the substrate that lies right on top of the susceptor. However, the elbow liner at the chamber corner (TC10) has the lowest temperature reading among all the TCs that are exposed to the plasma. Though the chamber wall temperature readings (TC2 & TC6) are even lower, it is effectively shielded by the liners and thus has no film deposition. The liners, which are made of anodized aluminum, have achieved the designed purpose of raising the surface temperature significantly, i.e., TC1>>TC2, TC5>>TC6.
  • Under different process conditions, there exists a large variation of the temperature changes for different chamber parts. Gas flow is the most critical parameter in inducing the temperature change. H.sub.2 gas flow, for example, induces the greatest temperature decrease. Other parameters, such as the pressure and electrode spacing, may also induce temperature changes to different extents.
  • When ⅛″ thick ceramic spacers are inserted between the liners and chamber wall (right column of Table 2), a vacuum gap is created therein and thus the heat conduction is reduced from the liners to the chamber walls. It is shown that the degree of temperature non-uniformity in the process chamber is not as great as that shown in the left column. Thus using ceramic spacers can create some temperature uniformity in the chamber, although ceramic spacers only achieve limited success in raising the temperature, as identified in TC5 and TC10, with N.sub.2 and H.sub.2 flow. However, such approach is not quite effective, as the heat loss from the gas flow is much stronger than other heat loss mechanisms. Therefore it would be advantageous to have another active heating device to effectively perform an RTP cleaning of the chamber.
    TABLE 2
    AKT CVD-5500 Chamber Wall & Liner
    Temperature Measurement
    ⅛″ thick
    Normal ceramic spacer
    Configuration Under liners
    Process TC1 TC2 TC5 TC6 TC10 TC11 TC1 TC2 TC5 TC6 TC10 TC11
    No gas, xchg 250 154 240 149 228 279
    No gas, 1500 mil 268 156 254 159 244 296 264 147 255 237? 225 294
    10 slm N2/1.5T/ 202 141 186 135 156 298 200 119 200 119  171 292
    1500 mil
    10 slm N2/1.5T/ 199 139 183 131 154 296
    750 mil
    4 slm H2/1.2T/ 172 131 159 118 140 293 173 124 170 115  140 294
    1000 mil
    4 slm H2/3.0T/ 155 127 145 114 123 298
    1000 mil
    12 slm 196 140 184 130 152 283
    N2/TVO(580 mT)/
    1600 mil

    (Tsusc = 350/360° C., unit: ° C.)
  • EXAMPLE 2
  • AKT Fat-Belly-Liner Chamber
  • In AKT CVD-5500 alpha (A) chamber, 45-degree liners were changed to Fat-Belly type on all sides except the window side (view limited). Table 3 shows the clean rate comparison between the original and Fat-Belly type 45-degree liners.
    TABLE 3
    SiH4 Dep. Time Dep. Rate Cln. Time Cln. Rate
    (sccm) (sec) (20 mm) (sec) (A/min)
    GH
    Orig. Liner 670 180 1851 48 6941
    Fat-Belly 670 180 1813 48.7 6701
    45-deg
    Liners
    AH
    Orig. Liner 1310 60 1250 13 5769
    Fat-Belly 1310 110 1263 20 6947
    45-deg
    Liners

    Dep.: deposition; Cln: clean; GH: high-deposition rate SiNx film; and AH: high-deposition rate amorphous silicon (α-Si) film.
  • It is shown that Fat-Belly 45-degree liners did achieve faster clean rate in the α-Si cleaning (−20% for AH) case, but in the SiN (GH) case, the clean rate is the same (Table 3). Additionally, Fat-Belly 45-degree liners achieved ˜2-3% better deposition uniformity in α-Si and SiN films. The fat-belly liners are modified liners which are in closer proximity to the active heating device (i.e., the susceptor) and have a larger thermal mass compared with the standard liners. Though there were no active heating elements embedded, the potential of raising the chamber part's surface temperature and thus promoting cleaning rate in the AH film cleaning case with the addition of an RTP module is obvious.
  • In addition, considering that the corners are still the last place to clean, and that the corners are of much lower surface temperature as measured and shown in FIG. 1, it can be concluded that further raising the corner liners' temperatures is the key to promoting the overall cleaning rate. Embedded active heating device in these corner liners may be employed to achieve this goal.
  • EXAMPLE 3
  • Rapid Thermal Process
  • In AKT PECVD systems, a significant amount of cleaning time is spent cleaning the chamber peripheral parts, such as liners which have the lower surface temperatures due to the close proximity to the wall. The rapid heating module can be a high power lamp placed at the bottom of the chamber, or a resistive heater embedded in the wall next to the liner, or a combination of both. It is contemplated that an inductive heater may also be embedded in the wall next to the liner and may be used singly or in combination with the high power lamp and/or the resistive heater.
  • When the module heats up, liners and other chamber parts experience a higher surface temperature, which facilitates faster cleaning of the film residues. As was shown in Table 1, a higher surface temperature results in a higher dry etch rate; by extension, further raising the surface temperature of the chamber parts through the action of an RTP module will increase the cleaning rate.
  • Once the cleaning is effectively done, the rapid heating module is turned off and, due to the effective heat conduction to the huge thermal mass of the chamber body wall, the chamber parts' temperatures quickly return to the equilibrated process temperature. As this process can coincide with the film seasoning period, no throughput loss occurs. During the film deposition period, all chamber parts remain at the normal temperature to provide the optimized substrate temperature.
  • Specifically, during the cleaning period, a cleaning gas is flowed to the chamber (FIG. 2). A fluorine-containing gas, a chlorine-containing gas or a halogen-containing gas may be used as the cleaning gas. For example, a fluorine-containing gas, e.g., HF, F.sub.2, NF.sub.3, SF.sub.6, C.sub.2 F.sub.6, CF.sub.4, and C.sub.3F.sub.8, or other fluorocarbon gases of the general formula C.sub.X, F.sub.Y is commonly used for cleaning. A rapid heating module, e.g., a high power lamp placed at the bottom of the chamber, or a resistive heater embedded in the wall next to the liner, or a combination of both, is applied to the chamber to heat up the liners and other chamber parts.
  • EXAMPLE 4
  • Rapid Thermal Process Combined with Other Cleaning Process
  • The rapid thermal process may be applied together with either in-situ plasma cleaning process, or the remote plasma source cleaning (RPSC) process during the cleaning period. In in-situ plasma cleaning systems, precursor gases are supplied to the chamber. Then, by locally applying a glow discharge plasma to the precursor gases within the chamber, reactive species are generated. The reactive species clean the chamber surfaces by forming volatile compounds with the process residues on those surfaces. A rapid heating module, e.g., a high power lamp placed at the bottom of the chamber, or a resistive heater embedded in the wall next to the liner, or a combination of both, is applied to the chamber to heat up the liners and other chamber parts.
  • Alternatively, the plasma may be provided remotely (FIG. 3). A remote plasma source cleaning system comprises a cleaning gas source connected to a remote activation chamber. The cleaning gas source includes a source of a precursor gas, an electronically-operated valve and flow control mechanism for controlling the flow of precursor gas and a conduit for flowing the gas into the remote activation chamber located outside and at a distance from the process chamber. A power activation source, for example a high-power microwave generator, is used to activate the precursor gas within the remote activation chamber. The remote chamber may be a sapphire tube and the power source a 2.54 GHz microwave energy source with its output aimed at the sapphire tube. The precursor gas may be a fluorine-containing gas, a chlorine-containing gas or a halogen-containing gas, for example, NF.sub.3. The flow rate of activated species is about 2 liters per minute and the process chamber pressure is about 0.5 Torr.
  • To activate the precursor gas, the microwave source delivers about 3,000-12,000 Watts to the remote activation chamber. A value of 5,000 Watts may be used for many applications. Upon activation, reactive species are generated in the remote chamber, and these reactive species (e.g. F radicals) are flowed into the process chamber wherein cleaning of the chamber occurs as in an in-situ plasma cleaning process.
  • One skilled in the art will readily appreciate that the present invention is well adapted to carry out the objects and obtain the ends and advantages mentioned, as well as those inherent therein. It will be apparent to those skilled in the art that various modifications and variations can be made in practicing the present invention without departing from the spirit or scope of the invention. Changes therein and other uses will occur to those skilled in the art which are encompassed within the spirit of the invention as defined by the scope of the claims.

Claims (27)

1. An apparatus for processing a substrate, comprising:
a rectangular chamber body defined by a chamber wall, chamber bottom and top chamber portion;
a lamp assembly disposed in the chamber body; and
a substrate support disposed on the chamber bottom.
2. The apparatus of claim 1, further comprising a resistive heater assembly, an inductive heater assembly, or a combination thereof.
3. The apparatus of claim 1, further comprising a remote activation source coupled to the rectangular chamber body.
4. The apparatus of claim 3, further comprising a cleaning gas source connected to the remote activation source.
5. The apparatus of claim 2, wherein the process chamber further comprises one or more liners disposed adjacent the chamber walls.
6. The apparatus of claim 2, wherein the resistive heater assembly or the inductive heater assembly is embedded in the chamber wall.
7. The apparatus of claim 1, further comprising an RF power source coupled to the showerhead.
8. The apparatus of claim 1, wherein the substrate support comprises a resistive heated substrate support.
9. The apparatus of claim 1, further comprising a slit valve disposed in one chamber wall and a dry pump coupled to one chamber wall.
10. The apparatus of claim 5, further comprising one or more thermocouples disposed on the chamber wall or one or more liners.
11. The apparatus of claim 5, further comprising one or more spacers disposed between the one or more liners and the chamber walls.
12. The apparatus of claim 5, further comprising a showerhead disposed in the top chamber portion.
13. An apparatus for processing a substrate, comprising:
a rectangular chamber body defined by a chamber wall, chamber bottom, and top chamber portion;
a rapid heating module comprising at least a lamp assembly disposed in the rectangular chamber body;
a substrate support disposed on the chamber bottom; and
a remote plasma source cleaning system fluidly connected to the rectangular chamber body.
14. The apparatus of claim 12, wherein the remote plasma source cleaning system comprises a cleaning gas source connected to a remote activation chamber.
15. The apparatus of claim 13, wherein the cleaning gas source comprises a cleaning gas source comprises a source of a precursor gas, an electronically-operated valve and flow controlled mechanism coupled to the source of the precursor gas, and a conduit disposed between the source of precursor gas and the remote activation chamber.
16. The apparatus of claim 13, further comprising a power activation source disposed adjacent the remote activation chamber.
17. The apparatus of claim 12 further comprising a resistive heater assembly, an inductive heater assembly, or a combination thereof.
18. The apparatus of claim 16, wherein the process chamber further comprises one or more liners disposed adjacent the chamber walls.
19. The apparatus of claim 16, wherein the resistive heater assembly or the inductive heater assembly is embedded in the chamber wall.
20. The apparatus of claim 12, wherein the substrate support comprises a resistive heated substrate support.
21. The apparatus of claim 12, further comprising a slit valve disposed in one chamber wall and a dry pump coupled to one chamber wall.
22. The apparatus of claim 17, further comprising one or more thermocouples disposed on the chamber wall or one or more liners.
23. The apparatus of claim 17, further comprising one or more spacers disposed between the one or more liners and the chamber walls.
24. The apparatus of claim 12, further comprising a showerhead disposed in the top chamber portion.
25. An apparatus for processing a substrate, comprising:
a rectangular chamber body defined by a chamber wall, chamber bottom, and top chamber portion;
a showerhead disposed in the top chamber portion;
a lamp assembly disposed in the rectangular chamber body;
a substrate support disposed on the chamber bottom; and
a remote plasma source cleaning system fluidly connected to the rectangular chamber body, and the remote plasma source cleaning system comprising:
a cleaning gas source comprising:
a source of a precursor gas and
an electronically-operated valve and flow controlled mechanism coupled to the source of the precursor gas;
a remote activation chamber connected to the cleaning gas source by a conduit; and
a power activation source disposed adjacent the remote activation chamber.
26. The apparatus of claim 23, wherein the substrate support comprises a resistive heated substrate support.
27. The apparatus of claim 23, further comprising a slit valve disposed in one chamber wall and a dry pump coupled to one chamber wall.
US10/972,523 2001-05-24 2004-10-25 Chamber cleaning via rapid thermal process during a cleaning period Abandoned US20050109461A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/972,523 US20050109461A1 (en) 2001-05-24 2004-10-25 Chamber cleaning via rapid thermal process during a cleaning period

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/866,225 US6810886B2 (en) 2001-05-24 2001-05-24 Chamber cleaning via rapid thermal process during a cleaning period
US10/972,523 US20050109461A1 (en) 2001-05-24 2004-10-25 Chamber cleaning via rapid thermal process during a cleaning period

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/866,225 Continuation US6810886B2 (en) 2001-05-24 2001-05-24 Chamber cleaning via rapid thermal process during a cleaning period

Publications (1)

Publication Number Publication Date
US20050109461A1 true US20050109461A1 (en) 2005-05-26

Family

ID=25347188

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/866,225 Expired - Fee Related US6810886B2 (en) 2001-05-24 2001-05-24 Chamber cleaning via rapid thermal process during a cleaning period
US10/972,523 Abandoned US20050109461A1 (en) 2001-05-24 2004-10-25 Chamber cleaning via rapid thermal process during a cleaning period

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/866,225 Expired - Fee Related US6810886B2 (en) 2001-05-24 2001-05-24 Chamber cleaning via rapid thermal process during a cleaning period

Country Status (1)

Country Link
US (2) US6810886B2 (en)

Cited By (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115590A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
WO2008106634A2 (en) * 2007-03-01 2008-09-04 Applied Materials, Inc. Floating slit valve for transfer chamber interface
US7985295B1 (en) * 2006-04-06 2011-07-26 Structured Materials Inc. RF heater arrangement for substrate heating apparatus
US20190003052A1 (en) * 2017-06-28 2019-01-03 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US20040231695A1 (en) * 2001-12-13 2004-11-25 Hiromoto Ohno Cleaning gas for semiconductor production equipment and cleaning method using the gas
US7140374B2 (en) 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
CN104704141B (en) * 2012-10-18 2020-08-28 应用材料公司 Covering frame support

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4820377A (en) * 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5478401A (en) * 1994-03-10 1995-12-26 Hitachi, Ltd. Apparatus and method for surface treatment
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5609689A (en) * 1995-06-09 1997-03-11 Tokyo Electron Limited Vacuum process apparaus
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5685949A (en) * 1995-01-13 1997-11-11 Seiko Epson Corporation Plasma treatment apparatus and method
US5709757A (en) * 1994-08-25 1998-01-20 Tokyo Electron Limited Film forming and dry cleaning apparatus and method
US5792261A (en) * 1993-12-17 1998-08-11 Tokyo Electron Limited Plasma process apparatus
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6236023B1 (en) * 1998-07-13 2001-05-22 Mattson Technology, Inc. Cleaning process for rapid thermal processing system
US20030029473A1 (en) * 2001-05-24 2003-02-13 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6785796B1 (en) * 2000-08-01 2004-08-31 Sun Microsystems, Inc. Method and apparatus for software prefetching using non-faulting loads

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4820377A (en) * 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5792261A (en) * 1993-12-17 1998-08-11 Tokyo Electron Limited Plasma process apparatus
US5478401A (en) * 1994-03-10 1995-12-26 Hitachi, Ltd. Apparatus and method for surface treatment
US5709757A (en) * 1994-08-25 1998-01-20 Tokyo Electron Limited Film forming and dry cleaning apparatus and method
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5685949A (en) * 1995-01-13 1997-11-11 Seiko Epson Corporation Plasma treatment apparatus and method
US5609689A (en) * 1995-06-09 1997-03-11 Tokyo Electron Limited Vacuum process apparaus
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6236023B1 (en) * 1998-07-13 2001-05-22 Mattson Technology, Inc. Cleaning process for rapid thermal processing system
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6785796B1 (en) * 2000-08-01 2004-08-31 Sun Microsystems, Inc. Method and apparatus for software prefetching using non-faulting loads
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US20030029473A1 (en) * 2001-05-24 2003-02-13 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period

Cited By (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115590A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
US7985295B1 (en) * 2006-04-06 2011-07-26 Structured Materials Inc. RF heater arrangement for substrate heating apparatus
CN102618840A (en) * 2007-03-01 2012-08-01 应用材料公司 Floating slit valve for transfer chamber interface
WO2008106634A3 (en) * 2007-03-01 2008-11-06 Applied Materials Inc Floating slit valve for transfer chamber interface
US20080258091A1 (en) * 2007-03-01 2008-10-23 Applied Materials, Inc. Floating slit valve for transfer chamber interface
US7988129B2 (en) 2007-03-01 2011-08-02 Applied Materials, Inc. Floating slit valve for transfer chamber interface
WO2008106634A2 (en) * 2007-03-01 2008-09-04 Applied Materials, Inc. Floating slit valve for transfer chamber interface
TWI416025B (en) * 2007-03-01 2013-11-21 Applied Materials Inc Floating slit valve for transfer chamber interface
CN102618840B (en) * 2007-03-01 2014-08-06 应用材料公司 Floating slit valve for transfer chamber interface
US8877553B2 (en) 2007-03-01 2014-11-04 Applied Materials, Inc. Floating slit valve for transfer chamber interface
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20190003052A1 (en) * 2017-06-28 2019-01-03 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
US20030029473A1 (en) 2003-02-13
US6810886B2 (en) 2004-11-02

Similar Documents

Publication Publication Date Title
US6810886B2 (en) Chamber cleaning via rapid thermal process during a cleaning period
JP4417362B2 (en) CVD chamber cleaning method
JP4916119B2 (en) Equipment for reducing white powder during silicon nitride deposition using remote plasma source cleaning technology
US5403434A (en) Low-temperature in-situ dry cleaning process for semiconductor wafer
US6164295A (en) CVD apparatus with high throughput and cleaning method therefor
KR100447284B1 (en) Method of cleaning chemical vapor deposition chamber
US9230796B2 (en) A-Si seasoning effect to improve SiN run-to-run uniformity
US20020185067A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US20080118663A1 (en) Contamination reducing liner for inductively coupled chamber
JP2006121073A (en) End point detector and particle monitor
KR100755804B1 (en) Cleaning method of apparatus for depositing Al-containing metal film and Al-containing metal nitride film
JP2961000B2 (en) Self-cleaning method for reactor
KR20210009366A (en) Techniques to enable high temperature cleaning for rapid processing of wafers
US20050082002A1 (en) Method of cleaning a film-forming apparatus and film-forming apparatus
US7815738B2 (en) Deposition tool cleaning process having a moving plasma zone
US6435197B2 (en) Method of cleaning a semiconductor fabricating apparatus
JP3820212B2 (en) Method for conditioning a CVD chamber after CVD chamber cleaning
KR100639517B1 (en) Chemical vapor deposition equipment having a diffuser
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
US20070054045A1 (en) Method for conditioning chemical vapor deposition chamber
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
KR20030021692A (en) Chemical vapor deposition instrument and method of removing residue
WO1999006611A1 (en) Method and apparatus for chamber cleaning
KR20010039168A (en) Cleaning method for plasma process chamber

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION