US20050118832A1 - Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations - Google Patents

Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations Download PDF

Info

Publication number
US20050118832A1
US20050118832A1 US10/724,791 US72479103A US2005118832A1 US 20050118832 A1 US20050118832 A1 US 20050118832A1 US 72479103 A US72479103 A US 72479103A US 2005118832 A1 US2005118832 A1 US 2005118832A1
Authority
US
United States
Prior art keywords
composition
scf
silicon
etching
solvent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/724,791
Inventor
Michael Korzenski
Thomas Baum
Eliodor Ghenciu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to US10/724,791 priority Critical patent/US20050118832A1/en
Priority to US10/782,355 priority patent/US7160815B2/en
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GHENCIU, ELIODOR G., BAUM, THOMAS H., KORZENSKI, MICHAEL B., XU, CHONGYING
Priority to JP2006542670A priority patent/JP2007513522A/en
Priority to EP04812516A priority patent/EP1689825A4/en
Priority to KR1020067011411A priority patent/KR20060121168A/en
Priority to CNA2004800396268A priority patent/CN1902297A/en
Priority to CA002589168A priority patent/CA2589168A1/en
Priority to PCT/US2004/040015 priority patent/WO2005054405A1/en
Priority to TW093136806A priority patent/TWI346645B/en
Publication of US20050118832A1 publication Critical patent/US20050118832A1/en
Priority to US11/620,902 priority patent/US7517809B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00912Treatments or methods for avoiding stiction of flexible or moving parts of MEMS
    • B81C1/0092For avoiding stiction during the manufacturing process of the device, e.g. during wet etching
    • B81C1/00936Releasing the movable structure without liquid etchant
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0102Surface micromachining
    • B81C2201/0105Sacrificial layer
    • B81C2201/0108Sacrificial polymer, ashing of organics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/11Treatments for avoiding stiction of elastic or moving parts of MEMS
    • B81C2201/117Using supercritical fluid, e.g. carbon dioxide, for removing sacrificial layers

Definitions

  • the present invention relates to supercritical fluid-based compositions useful in semiconductor manufacturing for the removal of sacrificial layers, e.g., silicon or silicon oxide, from Micro Electro Mechanical System (MEMS) substrates having such sacrificial layers.
  • the compositions also have utility for removing post-ash and post-etch residue.
  • Micro Electro Mechanical Systems are devices that integrate mechanical and electrical components on a single silicon wafer.
  • the electrical and mechanical components are fabricated using traditional integrated circuit (IC) techniques and “micromachining” processes, respectively.
  • Micromachining is used to produce a number of mechanical devices on the wafer that are able to sense and control the environment, including cantilever beams, hinges, accelerometers, microsensors, microactuators and micromirrors.
  • the mechanical components on a MEMS wafer are created by depositing sacrificial and structural layers onto a substrate followed by selective etching of the sacrificial layer relative to the structural layer, leaving behind a suspended or freestanding micromechanical structure, such as a beam or a lever.
  • a major problem with fabricating MEMS structures is that as aqueous based etching of the sacrificial layer proceeds, stiction may occur, wherein the surface adhesion forces are higher than the mechanical restoring force of the microstructure. In effect, the microstructure bends down toward the substrate and sticks to it, generally permanently.
  • Proposed causes of stiction include; van der Waals forces, hydrogen bridging and/or electrostatic attractions between the microstructure and the substrate, surface tension forces generated from diminishing liquid menisci trapped in the etched space, and etch by-products precipitating out of solution during drying steps.
  • etching with HF wet etching with HF, increasing surface roughness to minimize the surface tension energy, and eliminating water by drying the structures with a liquid that has no or little surface tension, e.g., isopropanol (IPA).
  • Proposed alternative water-free etching compositions include anhydrous HF gas, which does not leave residues.
  • etching with neat anhydrous HF can require up to ten hours to form complex microstructures and as such, the presence of some water is necessary to initiate the etch reaction thereby eliminating the advantages of using a water-free etchant.
  • SCF supercritical fluids
  • SCFs can be used to etch MEMS devices. Because of low viscosity and near zero surface tension, SCFs avoid many of the problems associated with typical wet processes. For example, because SCFs exhibit a gas-like density, surface tension forces are low and thus the microstructure does not stick to the substrate. Because of high diffusion rates, SCFs can generally penetrate a solid sample faster than liquid solvents. Further, SCFs can rapidly transport dissolved solutes because of their low viscosity. However, SCFs are highly non-polar and as such, many contaminant species are not adequately solubilized therein.
  • the present invention relates to supercritical fluid-based compositions useful in semiconductor manufacturing for the etching of sacrificial silicon-containing layers from semiconductor substrates, and methods of using such compositions for removal of same.
  • the present invention relates to supercritical fluid-based compositions useful in semiconductor manufacturing for the removal of post-ash and post-etch residue from semiconductor surfaces, and methods of using such compositions for removal of same.
  • the invention relates to a sacrificial silicon-containing layer etching composition, comprising a supercritical fluid, at least one co-solvent, at least one etchant species, and optionally at least one surfactant.
  • the invention in another aspect, relates to a method of removing silicon-containing substances from a substrate having same thereon, said method comprising contacting the substrate with a SCF-based composition comprising a SCF, at least one co-solvent, at least one etchant species, and optionally at least one surfactant, for sufficient time and under sufficient contacting conditions to remove the silicon-containing substances from the substrate.
  • FIG. 1 is a control sample before SCF-based etching composition processing including a silicon substrate, a 100 nm thick silicon oxide film on the substrate and a 100 nm thick polysilicon film on the oxide.
  • FIG. 2 is the control sample in FIG. 1 after the sacrificial silicon oxide layer was etched with a SCF-based etching composition of the present invention, illustrating a free standing microstructure.
  • FIG. 3 is a control sample before SCF-based etching composition processing including a silicon substrate, a 100 nm thick silicon oxide film on the substrate and a 100 nm thick polysilicon film on the oxide.
  • FIG. 4 is the control sample in FIG. 3 after the sacrificial silicon oxide layer was etched with a SCF-based etching composition of the present invention, illustrating a free standing microstructure.
  • FIG. 5 is a sample etched with a SCF-based etching composition of the present invention, illustrating a free standing microstructure.
  • the present invention is based on the discovery of supercritical fluid (SCF)-based etching compositions that are highly efficacious for the etching of sacrificial silicon-containing layers from semiconductor substrates.
  • SCF supercritical fluid
  • the compositions and methods of the invention are effective for etching sacrificial layers, including silicon and silicon oxide layers, and related post-etch residue removal from patterned wafers.
  • SCCO 2 supercritical carbon dioxide
  • SCCO 2 might at first glance be regarded as an attractive reagent for removal of oxides and residue contaminants, since SCCO 2 has the characteristics of both a liquid and a gas. Like a gas, it diffuses rapidly, has low viscosity, near-zero surface tension, and penetrates easily into deep trenches and vias. Like a liquid, it has bulk flow capability as a “wash” medium.
  • SCCO 2 is non-polar. Accordingly, it will not solubilize many polar species, including ionic etchant species comprising fluoride or inorganic salts and polar organic compounds that are present in many post-etch and post-ash residues.
  • ionic etchant species comprising fluoride or inorganic salts and polar organic compounds that are present in many post-etch and post-ash residues.
  • the non-polar character of SCCO 2 thus poses an impediment to its use for etching sacrificial layers and the subsequent cleaning of wafer surfaces of contaminant residues.
  • the present invention is based on the discovery that disadvantages associated with the non-polarity of SCCO 2 and other SCFs can be overcome by appropriate formulation of SCF-based etching compositions with additives as hereinafter more fully described, and the accompanying discovery that etching a sacrificial silicon-containing layer with a SCF-based medium is highly effective and achieves damage-free, residue-free etching of the substrate having such sacrificial silicon-containing layer thereon.
  • the invention relates to SCF-based etching compositions useful in removing sacrificial silicon-containing layers from a semiconductor substrate.
  • the formulation of the present invention comprises a SCF, at least one co-solvent, at least one etchant, and optionally at least one surfactant, present in the following ranges, based on the total weight of the composition: component of % by weight SCF about 75.0% to about 99.5% co-solvent about 0.3% to about 22.5% etchant about 0.01% to about 5.0% surfactant about 0.01% to about 5.0%
  • the SCF-based etching formulations may comprise, consist of, or consist essentially of a SCF, at least one co-solvent, at least one etchant and optionally at least one surfactant.
  • the inclusion of the co-solvent with the SCF serves to increase the solubility of the composition for sacrificial silicon-containing species.
  • the specific proportions and amounts of SCF, co-solvent, etchant, and optionally surfactant, in relation to each other may be suitably varied to provide the desired etching action of the SCF-based etching composition for the silicon oxide species and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • the co-solvent used in the SCF-based etching composition is preferably an alcohol.
  • such alcohol includes a straight-chain or branched C 1 -C 6 alcohol (i.e., methanol, ethanol, isopropanol, etc.), or a mixture of two or more of such alcohol species.
  • the alcohol is methanol or isopropanol (IPA).
  • the etchant of choice is HF, which dissociates in water to form the etchant species F ⁇ , H 2 F ⁇ and H 2 F 2 .
  • HF HF
  • the ionization of HF to form etchant species does not readily occur because the water reacts with the CO 2 (to form carbonic acid (H 2 CO 3 )) or is removed by the alcohol co-solvent.
  • the silicon oxide etchant used in the SCF-based etching composition of the present invention includes a pre-ionized fluoride source, such as a bifluoride species, including ammonium difluoride and tetraalkylammonium difluorides, such as those produced by the following reaction: (R) 4 NOH+2HF ⁇ (R) 4 NHF 2 +H 2 O where R is methyl, ethyl, butyl, phenyl or fluorinated C 1 -C 4 alkyl groups.
  • a pre-ionized fluoride source such as a bifluoride species, including ammonium difluoride and tetraalkylammonium difluorides, such as those produced by the following reaction: (R) 4 NOH+2HF ⁇ (R) 4 NHF 2 +H 2 O where R is methyl, ethyl, butyl, phenyl or fluorinated C 1 -C 4 alkyl groups.
  • XeF 2 is particularly well suited to MEMS applications.
  • XeF 2 etchants exhibit nearly infinite selectivity of silicon to photoresist, silicon oxides, silicon nitrides and aluminum. Being a vapor phase etchant, XeF 2 avoids many of the problems typically associated with wet processes. For example, XeF 2 surface tension forces are negligible and thus stiction between the microstructure and the substrate is less likely. In addition, etching rates using XeF 2 are much faster.
  • XeF 2 etching of silicon involves the physisorption of XeF 2 onto the silicon surface. Because the bond energies of both the F atoms to the Xe atoms and the Si atoms to other Si atoms are sufficiently weak, and the attraction forces between Si and F are relatively strong, F will dissociate from Xe and bond to Si to form various silicon fluoride products, as illustrated in the following reactions: XeF 2 ( g )+Si( s ) ⁇ Xe( g )+SiF 2 ( s ) XeF 2 ( g )+SiF 2 ( s ) ⁇ Xe( g )+SiF 4 ( s ) An etching reaction occurs when volatile SiF 4 is formed, which leaves the surface spontaneously, thus removing sacrificial silicon material.
  • the XeF 2 etch rate is highly dependent on the dryness of the silicon surface. If water is present on the surface of the silicon, a thin silicon fluoride polymer layer forms. Accordingly, the broad practice of the invention includes wafer surface drying prior to exposure to XeF 2 . SCCO 2 provides an efficient and environmentally safe way to dehydrate the wafer surface, thus eliminating the formation of the unwanted silicon fluoride polymer layer. Further, pre-drying the silicon surface with SCCO 2 is also a necessary safety measure since most XeF 2 contains small amounts of XeF 4 , which upon reaction with water forms the contact explosive XeO 3 .
  • Species such as XeF 2 are largely insoluble in the non-polar SCF solvents. Accordingly, co-solvents are added to the composition to increase the solubility of XeF 2 in the silicon SCF-based etching composition of the present invention.
  • the surfactant used in the SCF-based etching composition may include nonionic surfactants, such as fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, and alkylammonium or modified alkylammonium salts, as well as combinations comprising at least one of the foregoing surfactants.
  • the surfactant is a modified acetylenic diol.
  • the silicon dioxide etching composition of the invention includes SCCO 2 , methanol, ammonium bifluoride, and a modified acetylenic diol.
  • the silicon etching composition of the invention includes SCCO 2 , methanol and XeF 2 .
  • the invention relates to methods of removal of sacrificial silicon-containing layers including, but not limited to, silicon, silicon oxide and post-ash and post-etch residues, from a semiconductor substrate using the appropriate SCF-based etching composition.
  • the sacrificial silicon-containing layers and/or post-ash and post-etch residues may be removed using a SCF-based etching composition including a SCF, at least one co-solvent, at least one etchant, and optionally at least one surfactant, as described herein.
  • SCF-based etching composition including a SCF, at least one co-solvent, at least one etchant, and optionally at least one surfactant, as described herein.
  • Another possible application is removal of SiO 2 particles via reaction or dissolution.
  • Plasma ashing involves exposing the photoresist-covered wafer to oxygen plasma in order to oxidatively decompose the unexposed photoresist film from the substrate surface.
  • plasma etching usually results in the formation of plasma-ash and plasma-etch residue, and this residue must subsequently be removed.
  • the SCF-based compositions of the present invention overcome the disadvantages of the prior art post-ash and post-etch residue removal treatments for Si and SiO 2 based residues.
  • the appropriate SCF-based etching composition can be employed to contact a substrate having a sacrificial layer, e.g., silicon oxide or silicon, and/or post-ash and post-etch residue, at a pressure in a range of from about 1400 to about 4400 psi for sufficient time to effect the desired etching of the sacrificial layer and/or residue, e.g., for a contacting time in a range of from about 30 seconds to about 30 minutes and a temperature of from about 40 to about 70° C., although greater or lesser contacting durations and temperatures may be advantageously employed in the broad practice of the present invention, where warranted.
  • a sacrificial layer e.g., silicon oxide or silicon
  • post-ash and post-etch residue e.g., a sacrificial layer, e.g., silicon oxide or silicon, and/or post-ash and post-etch residue
  • the removal process in a particularly preferred embodiment includes sequential processing steps including dynamic flow of the SCF-based etching composition over the substrate having the sacrificial layer and/or residue, followed by a static soak of the substrate in the SCF-based etching composition, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.
  • a “dynamic” contacting mode involves continuous flow of the cleaning composition over the wafer surface, to maximize the mass transfer gradient and effect complete removal of the sacrificial layer and/or residue from the substrate.
  • a “static soak” contacting mode involves contacting the wafer surface with a static volume of the etching composition, and maintaining contact therewith for a continued (soaking) period of time.
  • the dynamic flow/static soak steps may be carried out for four successive cycles in the aforementioned illustrative embodiment, as including a sequence of 30 sec-10 min dynamic flow, 30 sec-5 min high pressure static soak, e.g., about 3000 psi to about 4400 psi, 30 sec-10 min dynamic flow, and 30 sec-10 min low pressure static soak, e.g., about 1400 psi to about 2800 psi.
  • the wafer surface should be dehydrated prior to the etching process.
  • SCFs can be used as drying media for patterned wafers in drying compositions that include one or more water-reactive agents that chemically react with water on the patterned wafer to form reaction product species that are more soluble in the SCF than water.
  • HFA hexafluoroacetone
  • the product diol, CH 3 C(OH) 2 CF 3 is highly soluble in SCCO 2 and is readily dissolved by the SCF, thereby effectively removing water from the patterned wafer substrate with which the SCF composition, containing SCCO 2 and HFA, is contacted.
  • the water-reactive agent in the SCF-based wafer drying composition can be of any suitable type, including for example, other halogenated aldehydes and ketones; halogenated diketones, e.g., 1,1,1,5,5,5-hexafluoro-2,4-pentanedione, alternatively denoted as (hfac)H; halogenated esters; carboxylic anhydrides, e.g., (CH 3 CO) 2 O; siloxanes, halogenated silanes; and any other compounds and materials that easily react with water and form derivatives soluble in SCCO 2 or other SCF species.
  • halogenated aldehydes and ketones e.g., 1,1,1,5,5,5-hexafluoro-2,4-pentanedione, alternatively denoted as (hfac)H
  • halogenated esters e.g., 1,1,1,5,5,5-hexafluoro-2,4-p
  • the water-reactive agent can be formulated in the SCF-based wafer drying composition at any suitable concentration that is effective for water removal from the patterned wafer substrate.
  • the concentration of the water-reactive agent can be a concentration in a range of from about 0.01 to about 10.0% by weight, based on the total weight of the supercritical fluid and the water-reactive agent, with concentrations of from about 0.1 to about 7.5% by weight, on the same total weight basis being more preferred, and from about 0.1 to about 5.0% by weight, on the same total weight basis being most preferred.
  • the contacting of the patterned substrate with the drying composition is carried out for a suitable period of time, which in a specific embodiment can for example be on the order of from about 20 to about 60 seconds, although other (longer or shorter) periods of contacting may be usefully employed depending on the nature and amount of the water to be removed from the patterned substrate, and the process conditions employed for drying.
  • the contacting vessel in which the SCF-based wafer drying composition is contacted with the patterned substrate can be rapidly decompressed to separate the SCF composition from the patterned substrate and exhaust the regasified SCF from the contacting vessel, so that the non-supercritical component(s), such as the soluble water reaction product(s), can be entrained in the regasified SCF and likewise be removed from the drying locus. Thereafter, the contacting vessel can be compressed and the SCF-based etching composition may be introduced to the vessel to remove the sacrificial layer and/or residue.
  • the substrate thereafter preferably is washed with copious amounts of SCF/methanol/deionized water solution in a first washing step, to remove any residual precipitated chemical additives from the substrate region in which etching and/or residue removal has been effected, and finally with copious amounts of pure SCF, in a second washing step, to remove any residual methanol co-solvent and/or precipitated chemical additives from the substrate region.
  • the SCF used for washing is SCCO 2 .
  • SCF-based etching compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel under gentle agitation.
  • such etching compositions are applied to the substrate for contacting with the sacrificial layer and/or residue thereon, at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the etching composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation for removal of the sacrificial layer and/or residue.
  • the sample wafers examined in this study included a substrate, a 100 nm thick silicon oxide film on the substrate and a 100 nm polysilicon film on top of the oxide layer.
  • the samples were processed to etch the sacrificial silicon oxide layer using the SCF-based etching composition of the following formulation: Component Weight Percent ammonium bifluoride (32.3 wt %) 1.0% surfynol-104 0.05% methanol 4.0% SCCO 2 94.95%
  • the sample wafers may include a substrate, a 380 nm thick silicon film on the substrate, a 30 nm silicon oxide film on the silicon film, and a 300 nm silicon nitride film on top of the oxide layer.
  • the samples may be processed to etch the sacrificial silicon oxide layer using the SCF-based etching composition of the following formulation: Component Weight Percent ammonium bifluoride (32.3 wt %) 1.0% surfynol-104 0.05% methanol 4.0% SCCO 2 94.95%
  • the temperature was maintained at 50° C. throughout the cleaning/rinsing procedure.
  • the optimal process conditions are dynamic flow of the SCF-based etching composition for 45 sec at 4000 psi followed by a 1 min SCCO 2 rinse.
  • the samples were then thoroughly rinsed with copious amounts of SCCO 2 /methanol/deionized water and pure SCCO 2 in order to remove any residual co-solvent and/or precipitated chemical additives.
  • FIGS. 1 and 3 are optical microscope photographs of control wafers prior to etching, showing unremoved sacrificial silicon oxide layers.
  • FIGS. 2 and 4 show the optical image of the FIGS. 1 and 3 wafers after sacrificial silicon oxide layer removal, respectively, using the composition and method described herein. Following removal of the sacrificial silicon oxide layer, the free standing, stiction-free microstructures can be clearly seen.
  • FIG. 5 is an optical image of a free-standing microstructure produced using the composition and method of the present invention.

Abstract

A method and composition for removing silicon-containing sacrificial layers from Micro Electro Mechanical System (MEMS) substrates having such sacrificial layers is described. The etching compositions include a supercritical fluid, an etchant species, a co-solvent, and optionally a surfactant. Such etching compositions overcome the intrinsic deficiency of SCFs as cleaning reagents, viz., the non-polar character of SCFs and their associated inability to solubilize polar species that must be removed from the semiconductor substrate. The resultant etched MEMS substrates experience lower incidents of stiction relative to MEMS substrates etched using conventional wet etching techniques.

Description

    FIELD OF THE INVENTION
  • The present invention relates to supercritical fluid-based compositions useful in semiconductor manufacturing for the removal of sacrificial layers, e.g., silicon or silicon oxide, from Micro Electro Mechanical System (MEMS) substrates having such sacrificial layers. The compositions also have utility for removing post-ash and post-etch residue.
  • DESCRIPTION OF THE RELATED ART
  • Micro Electro Mechanical Systems (MEMS) are devices that integrate mechanical and electrical components on a single silicon wafer. The electrical and mechanical components are fabricated using traditional integrated circuit (IC) techniques and “micromachining” processes, respectively. Micromachining is used to produce a number of mechanical devices on the wafer that are able to sense and control the environment, including cantilever beams, hinges, accelerometers, microsensors, microactuators and micromirrors.
  • The mechanical components on a MEMS wafer are created by depositing sacrificial and structural layers onto a substrate followed by selective etching of the sacrificial layer relative to the structural layer, leaving behind a suspended or freestanding micromechanical structure, such as a beam or a lever. A major problem with fabricating MEMS structures is that as aqueous based etching of the sacrificial layer proceeds, stiction may occur, wherein the surface adhesion forces are higher than the mechanical restoring force of the microstructure. In effect, the microstructure bends down toward the substrate and sticks to it, generally permanently. Proposed causes of stiction include; van der Waals forces, hydrogen bridging and/or electrostatic attractions between the microstructure and the substrate, surface tension forces generated from diminishing liquid menisci trapped in the etched space, and etch by-products precipitating out of solution during drying steps.
  • Several methods of minimizing stiction have been proposed, including wet etching with HF, increasing surface roughness to minimize the surface tension energy, and eliminating water by drying the structures with a liquid that has no or little surface tension, e.g., isopropanol (IPA). Proposed alternative water-free etching compositions include anhydrous HF gas, which does not leave residues. However, etching with neat anhydrous HF can require up to ten hours to form complex microstructures and as such, the presence of some water is necessary to initiate the etch reaction thereby eliminating the advantages of using a water-free etchant.
  • Alternatively, supercritical fluids (SCF) can be used to etch MEMS devices. Because of low viscosity and near zero surface tension, SCFs avoid many of the problems associated with typical wet processes. For example, because SCFs exhibit a gas-like density, surface tension forces are low and thus the microstructure does not stick to the substrate. Because of high diffusion rates, SCFs can generally penetrate a solid sample faster than liquid solvents. Further, SCFs can rapidly transport dissolved solutes because of their low viscosity. However, SCFs are highly non-polar and as such, many contaminant species are not adequately solubilized therein.
  • There is therefore a continuing need in the field for improved etching compositions, since the etching of sacrificial layers from semiconductor substrates is critical to ensure proper production and operation of MEMS devices and emerging integrated circuits.
  • SUMMARY OF THE INVENTION
  • The present invention relates to supercritical fluid-based compositions useful in semiconductor manufacturing for the etching of sacrificial silicon-containing layers from semiconductor substrates, and methods of using such compositions for removal of same.
  • Further, the present invention relates to supercritical fluid-based compositions useful in semiconductor manufacturing for the removal of post-ash and post-etch residue from semiconductor surfaces, and methods of using such compositions for removal of same.
  • In one aspect, the invention relates to a sacrificial silicon-containing layer etching composition, comprising a supercritical fluid, at least one co-solvent, at least one etchant species, and optionally at least one surfactant.
  • In another aspect, the invention relates to a method of removing silicon-containing substances from a substrate having same thereon, said method comprising contacting the substrate with a SCF-based composition comprising a SCF, at least one co-solvent, at least one etchant species, and optionally at least one surfactant, for sufficient time and under sufficient contacting conditions to remove the silicon-containing substances from the substrate.
  • Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a control sample before SCF-based etching composition processing including a silicon substrate, a 100 nm thick silicon oxide film on the substrate and a 100 nm thick polysilicon film on the oxide.
  • FIG. 2 is the control sample in FIG. 1 after the sacrificial silicon oxide layer was etched with a SCF-based etching composition of the present invention, illustrating a free standing microstructure.
  • FIG. 3 is a control sample before SCF-based etching composition processing including a silicon substrate, a 100 nm thick silicon oxide film on the substrate and a 100 nm thick polysilicon film on the oxide.
  • FIG. 4 is the control sample in FIG. 3 after the sacrificial silicon oxide layer was etched with a SCF-based etching composition of the present invention, illustrating a free standing microstructure.
  • FIG. 5 is a sample etched with a SCF-based etching composition of the present invention, illustrating a free standing microstructure.
  • DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
  • The present invention is based on the discovery of supercritical fluid (SCF)-based etching compositions that are highly efficacious for the etching of sacrificial silicon-containing layers from semiconductor substrates. The compositions and methods of the invention are effective for etching sacrificial layers, including silicon and silicon oxide layers, and related post-etch residue removal from patterned wafers.
  • Because of its readily manufactured character and its lack of toxicity and negligible environmental effects, supercritical carbon dioxide (SCCO2) is a preferred SCF in the broad practice of the present invention, although the invention may be practiced with any suitable SCF species, with the choice of a particular SCF depending on the specific application involved. Other preferred SCF species useful in the practice of the invention include oxygen, argon, krypton, xenon, and ammonia. Specific reference to SCCO2 hereinafter in the broad description of the invention is meant to provide an illustrative example of the present invention and is not meant to limit the same in any way.
  • SCCO2 might at first glance be regarded as an attractive reagent for removal of oxides and residue contaminants, since SCCO2 has the characteristics of both a liquid and a gas. Like a gas, it diffuses rapidly, has low viscosity, near-zero surface tension, and penetrates easily into deep trenches and vias. Like a liquid, it has bulk flow capability as a “wash” medium.
  • However, despite these ostensible advantages, SCCO2 is non-polar. Accordingly, it will not solubilize many polar species, including ionic etchant species comprising fluoride or inorganic salts and polar organic compounds that are present in many post-etch and post-ash residues. The non-polar character of SCCO2 thus poses an impediment to its use for etching sacrificial layers and the subsequent cleaning of wafer surfaces of contaminant residues.
  • The present invention, however, is based on the discovery that disadvantages associated with the non-polarity of SCCO2 and other SCFs can be overcome by appropriate formulation of SCF-based etching compositions with additives as hereinafter more fully described, and the accompanying discovery that etching a sacrificial silicon-containing layer with a SCF-based medium is highly effective and achieves damage-free, residue-free etching of the substrate having such sacrificial silicon-containing layer thereon.
  • In one aspect, the invention relates to SCF-based etching compositions useful in removing sacrificial silicon-containing layers from a semiconductor substrate. The formulation of the present invention comprises a SCF, at least one co-solvent, at least one etchant, and optionally at least one surfactant, present in the following ranges, based on the total weight of the composition:
    component of % by weight
    SCF about 75.0% to about 99.5%
    co-solvent about 0.3% to about 22.5%
    etchant about 0.01% to about 5.0%
    surfactant about 0.01% to about 5.0%
  • In the broad practice of the invention, the SCF-based etching formulations may comprise, consist of, or consist essentially of a SCF, at least one co-solvent, at least one etchant and optionally at least one surfactant.
  • The inclusion of the co-solvent with the SCF serves to increase the solubility of the composition for sacrificial silicon-containing species. In general, the specific proportions and amounts of SCF, co-solvent, etchant, and optionally surfactant, in relation to each other may be suitably varied to provide the desired etching action of the SCF-based etching composition for the silicon oxide species and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • The co-solvent used in the SCF-based etching composition is preferably an alcohol. In one embodiment of the invention, such alcohol includes a straight-chain or branched C1-C6 alcohol (i.e., methanol, ethanol, isopropanol, etc.), or a mixture of two or more of such alcohol species. In a preferred embodiment, the alcohol is methanol or isopropanol (IPA).
  • With regards to conventional silicon oxide etching solutions, the etchant of choice is HF, which dissociates in water to form the etchant species F, H2F and H2F2. However, in a CO2 rich environment, the ionization of HF to form etchant species does not readily occur because the water reacts with the CO2 (to form carbonic acid (H2CO3)) or is removed by the alcohol co-solvent.
  • As such, the silicon oxide etchant used in the SCF-based etching composition of the present invention includes a pre-ionized fluoride source, such as a bifluoride species, including ammonium difluoride and tetraalkylammonium difluorides, such as those produced by the following reaction:
    (R)4NOH+2HF→(R)4NHF2+H2O
    where R is methyl, ethyl, butyl, phenyl or fluorinated C1-C4 alkyl groups.
  • With regards to conventional silicon etching solutions, XeF2 is particularly well suited to MEMS applications. XeF2 etchants exhibit nearly infinite selectivity of silicon to photoresist, silicon oxides, silicon nitrides and aluminum. Being a vapor phase etchant, XeF2 avoids many of the problems typically associated with wet processes. For example, XeF2 surface tension forces are negligible and thus stiction between the microstructure and the substrate is less likely. In addition, etching rates using XeF2 are much faster.
  • It has been proposed that XeF2 etching of silicon involves the physisorption of XeF2 onto the silicon surface. Because the bond energies of both the F atoms to the Xe atoms and the Si atoms to other Si atoms are sufficiently weak, and the attraction forces between Si and F are relatively strong, F will dissociate from Xe and bond to Si to form various silicon fluoride products, as illustrated in the following reactions:
    XeF2(g)+Si(s)→Xe(g)+SiF2(s)
    XeF2(g)+SiF2(s)→Xe(g)+SiF4(s)
    An etching reaction occurs when volatile SiF4 is formed, which leaves the surface spontaneously, thus removing sacrificial silicon material.
  • Notably, the XeF2 etch rate is highly dependent on the dryness of the silicon surface. If water is present on the surface of the silicon, a thin silicon fluoride polymer layer forms. Accordingly, the broad practice of the invention includes wafer surface drying prior to exposure to XeF2. SCCO2 provides an efficient and environmentally safe way to dehydrate the wafer surface, thus eliminating the formation of the unwanted silicon fluoride polymer layer. Further, pre-drying the silicon surface with SCCO2 is also a necessary safety measure since most XeF2 contains small amounts of XeF4, which upon reaction with water forms the contact explosive XeO3.
  • Species such as XeF2 are largely insoluble in the non-polar SCF solvents. Accordingly, co-solvents are added to the composition to increase the solubility of XeF2 in the silicon SCF-based etching composition of the present invention.
  • Surfactants are optionally added when the sacrificial silicon-containing layer includes silicon oxide. The surfactant used in the SCF-based etching composition may include nonionic surfactants, such as fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, and alkylammonium or modified alkylammonium salts, as well as combinations comprising at least one of the foregoing surfactants. In a preferred embodiment, the surfactant is a modified acetylenic diol.
  • In one embodiment, the silicon dioxide etching composition of the invention includes SCCO2, methanol, ammonium bifluoride, and a modified acetylenic diol.
  • In another embodiment, the silicon etching composition of the invention includes SCCO2, methanol and XeF2.
  • In another aspect, the invention relates to methods of removal of sacrificial silicon-containing layers including, but not limited to, silicon, silicon oxide and post-ash and post-etch residues, from a semiconductor substrate using the appropriate SCF-based etching composition.
  • The sacrificial silicon-containing layers and/or post-ash and post-etch residues may be removed using a SCF-based etching composition including a SCF, at least one co-solvent, at least one etchant, and optionally at least one surfactant, as described herein. Another possible application is removal of SiO2 particles via reaction or dissolution.
  • At present, the favored technique to remove developed photoresist is plasma ashing. Plasma ashing involves exposing the photoresist-covered wafer to oxygen plasma in order to oxidatively decompose the unexposed photoresist film from the substrate surface. However, plasma etching usually results in the formation of plasma-ash and plasma-etch residue, and this residue must subsequently be removed.
  • The removal of post-ash and post-etch residue is a well known problem in light of the continuing and rapid decrease in critical dimensions of microelectronic device structures, since any residue remaining on the substrate can render the final device deficient or even useless for its intended purpose.
  • Conventional post-ash and post-etch residue cleaning by wet chemical treatment has not proven wholly satisfactory in effecting complete removal of residues from the substrate, especially from trenches, vias and microstructures in low k dielectrics. Further, these conventional cleaning approaches are time-consuming, costly, require substantial amounts of chemical reagents for the cleaning operation and produce substantial quantities of chemical waste.
  • The SCF-based compositions of the present invention overcome the disadvantages of the prior art post-ash and post-etch residue removal treatments for Si and SiO2 based residues.
  • The appropriate SCF-based etching composition can be employed to contact a substrate having a sacrificial layer, e.g., silicon oxide or silicon, and/or post-ash and post-etch residue, at a pressure in a range of from about 1400 to about 4400 psi for sufficient time to effect the desired etching of the sacrificial layer and/or residue, e.g., for a contacting time in a range of from about 30 seconds to about 30 minutes and a temperature of from about 40 to about 70° C., although greater or lesser contacting durations and temperatures may be advantageously employed in the broad practice of the present invention, where warranted.
  • The removal process in a particularly preferred embodiment includes sequential processing steps including dynamic flow of the SCF-based etching composition over the substrate having the sacrificial layer and/or residue, followed by a static soak of the substrate in the SCF-based etching composition, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.
  • A “dynamic” contacting mode involves continuous flow of the cleaning composition over the wafer surface, to maximize the mass transfer gradient and effect complete removal of the sacrificial layer and/or residue from the substrate. A “static soak” contacting mode involves contacting the wafer surface with a static volume of the etching composition, and maintaining contact therewith for a continued (soaking) period of time.
  • For example, the dynamic flow/static soak steps may be carried out for four successive cycles in the aforementioned illustrative embodiment, as including a sequence of 30 sec-10 min dynamic flow, 30 sec-5 min high pressure static soak, e.g., about 3000 psi to about 4400 psi, 30 sec-10 min dynamic flow, and 30 sec-10 min low pressure static soak, e.g., about 1400 psi to about 2800 psi.
  • With regards to the silicon layers to be etched, the wafer surface should be dehydrated prior to the etching process. SCFs can be used as drying media for patterned wafers in drying compositions that include one or more water-reactive agents that chemically react with water on the patterned wafer to form reaction product species that are more soluble in the SCF than water.
  • As an illustrative example, hexafluoroacetone (HFA) is usefully employed as a water-reactive agent in SCCO2 to provide a highly effective SCF composition for drying of patterned wafers. In such composition, HFA reacts instantly with water and quantitatively forms a soluble and volatile diol as depicted in the following reaction:
    H2O+CF3COCF3→CH3C(OH)2CF3
  • The product diol, CH3C(OH)2CF3, is highly soluble in SCCO2 and is readily dissolved by the SCF, thereby effectively removing water from the patterned wafer substrate with which the SCF composition, containing SCCO2 and HFA, is contacted.
  • More generally, the water-reactive agent in the SCF-based wafer drying composition can be of any suitable type, including for example, other halogenated aldehydes and ketones; halogenated diketones, e.g., 1,1,1,5,5,5-hexafluoro-2,4-pentanedione, alternatively denoted as (hfac)H; halogenated esters; carboxylic anhydrides, e.g., (CH3CO)2O; siloxanes, halogenated silanes; and any other compounds and materials that easily react with water and form derivatives soluble in SCCO2 or other SCF species.
  • Generally, the water-reactive agent can be formulated in the SCF-based wafer drying composition at any suitable concentration that is effective for water removal from the patterned wafer substrate. In various embodiments, depending on the particular SCF species employed, the concentration of the water-reactive agent can be a concentration in a range of from about 0.01 to about 10.0% by weight, based on the total weight of the supercritical fluid and the water-reactive agent, with concentrations of from about 0.1 to about 7.5% by weight, on the same total weight basis being more preferred, and from about 0.1 to about 5.0% by weight, on the same total weight basis being most preferred.
  • The contacting of the patterned substrate with the drying composition is carried out for a suitable period of time, which in a specific embodiment can for example be on the order of from about 20 to about 60 seconds, although other (longer or shorter) periods of contacting may be usefully employed depending on the nature and amount of the water to be removed from the patterned substrate, and the process conditions employed for drying.
  • Following drying of the patterned substrate, the contacting vessel in which the SCF-based wafer drying composition is contacted with the patterned substrate can be rapidly decompressed to separate the SCF composition from the patterned substrate and exhaust the regasified SCF from the contacting vessel, so that the non-supercritical component(s), such as the soluble water reaction product(s), can be entrained in the regasified SCF and likewise be removed from the drying locus. Thereafter, the contacting vessel can be compressed and the SCF-based etching composition may be introduced to the vessel to remove the sacrificial layer and/or residue.
  • Following the contacting of the etching composition with the substrate bearing the sacrificial layer and/or residue, the substrate thereafter preferably is washed with copious amounts of SCF/methanol/deionized water solution in a first washing step, to remove any residual precipitated chemical additives from the substrate region in which etching and/or residue removal has been effected, and finally with copious amounts of pure SCF, in a second washing step, to remove any residual methanol co-solvent and/or precipitated chemical additives from the substrate region. Preferably, the SCF used for washing is SCCO2.
  • The SCF-based etching compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel under gentle agitation.
  • Once formulated, such etching compositions are applied to the substrate for contacting with the sacrificial layer and/or residue thereon, at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the etching composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation for removal of the sacrificial layer and/or residue.
  • It will be appreciated that specific contacting conditions for the etching compositions of the invention are readily determinable within the skill of the art, based on the disclosure herein, and that the specific proportions of ingredients and concentrations of ingredients in the etching compositions of the invention may be widely varied while achieving desired removal of the sacrificial layer and/or residue from the substrate.
  • The features and advantages of the invention are more fully shown by the illustrative example discussed below.
  • The sample wafers examined in this study included a substrate, a 100 nm thick silicon oxide film on the substrate and a 100 nm polysilicon film on top of the oxide layer. The samples were processed to etch the sacrificial silicon oxide layer using the SCF-based etching composition of the following formulation:
    Component Weight Percent
    ammonium bifluoride (32.3 wt %) 1.0%
    surfynol-104 0.05%
    methanol 4.0%
    SCCO2 94.95%
  • Alternatively, the sample wafers may include a substrate, a 380 nm thick silicon film on the substrate, a 30 nm silicon oxide film on the silicon film, and a 300 nm silicon nitride film on top of the oxide layer. The samples may be processed to etch the sacrificial silicon oxide layer using the SCF-based etching composition of the following formulation:
    Component Weight Percent
    ammonium bifluoride (32.3 wt %) 1.0%
    surfynol-104 0.05%
    methanol 4.0%
    SCCO2 94.95%
  • The temperature was maintained at 50° C. throughout the cleaning/rinsing procedure. The optimal process conditions are dynamic flow of the SCF-based etching composition for 45 sec at 4000 psi followed by a 1 min SCCO2 rinse. The samples were then thoroughly rinsed with copious amounts of SCCO2/methanol/deionized water and pure SCCO2 in order to remove any residual co-solvent and/or precipitated chemical additives.
  • The results are shown in FIGS. 1-5, as described hereinbelow.
  • FIGS. 1 and 3 are optical microscope photographs of control wafers prior to etching, showing unremoved sacrificial silicon oxide layers.
  • FIGS. 2 and 4 show the optical image of the FIGS. 1 and 3 wafers after sacrificial silicon oxide layer removal, respectively, using the composition and method described herein. Following removal of the sacrificial silicon oxide layer, the free standing, stiction-free microstructures can be clearly seen.
  • FIG. 5 is an optical image of a free-standing microstructure produced using the composition and method of the present invention.
  • The above-described photographs thus evidence the efficacy of SCF-based etching compositions in accordance with the invention, for removal of sacrificial layers from wafer substrates.
  • Accordingly, while the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other aspects, features and embodiments. Accordingly, the claims hereafter set forth are intended to be correspondingly broadly construed, as including all such aspects, features and embodiments, within their spirit and scope.

Claims (38)

1. A sacrificial silicon-containing layer etching composition, comprising a supercritical fluid (SCF), at least one co-solvent, at least one etchant species, and optionally at least one surfactant.
2. The composition of claim 1, wherein the SCF is selected from the group consisting of carbon dioxide, oxygen, argon, krypton, xenon, and ammonia.
3. The composition of claim 1, wherein the SCF is carbon dioxide.
4. The composition of claim 1, wherein the co-solvent comprises at least one C1-C6 alcohol.
5. The composition of claim 1, wherein the co-solvent comprises methanol.
6. The composition of claim 1, wherein the co-solvent comprises isopropanol.
7. The composition of claim 1, wherein the sacrificial silicon-containing layer comprises silicon oxide.
8. The composition of claim 7, wherein the etchant species comprises at least one bifluoride compound selected from the group consisting of ammonium bifluoride and tetraalkylammonium bifluoride ((R)4NHF2), wherein R is a C1-C4 alkyl group.
9. The composition of claim 7, wherein the etchant species comprises ammonium bifluoride.
10. The composition of claim 7, wherein the surfactant comprises at least one nonionic surfactant.
11. The composition of claim 10, wherein the surfactant is selected from the group consisting of fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene ethers, polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, dodecylbenzenesulfonic salts, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone polymers, modified silicone polymers, acetylenic diols, modified acetylenic diols, alkylammonium salts, modified alkylammonium salts, and combinations comprising at least one of the foregoing.
12. The composition of claim 10, wherein the surfactant comprises a modified acetylenic diol.
13. The composition of claim 7, wherein the etching composition comprises about 75.0 wt % to about 99.5 wt % SCF, about 0.3 wt % to about 22.5 wt % co-solvent, about 0.01 wt % to about 5.0 wt % etchant species, and about 0.01 wt % to about 5.0 wt % surfactant, based on the total weight of the composition.
14. The composition of claim 1, wherein the sacrificial silicon-containing layer consists essentially of silicon.
15. The composition of claim 14, wherein the etchant species is XeF2.
16. The composition of claim 14, wherein the etching composition comprises about 75.0 wt % to about 99.5 wt % SCF, about 0.3 wt % to about 22.5 wt % co-solvent, about 0.01 wt % to about 5.0 wt % etchant species, based on the total weight of the composition.
17. A method of removing silicon-containing substances from a substrate having same thereon, said method comprising contacting the substrate with a SCF-based composition comprising a SCF, at least one co-solvent, at least one etchant species, and optionally at least one surfactant, for sufficient time and under sufficient contacting conditions to remove the silicon-containing substances from the substrate.
18. The method of claim 17, wherein the SCF is selected from the group consisting of carbon dioxide, oxygen, argon, krypton, xenon, and ammonia.
19. The method of claim 17, wherein the SCF is carbon dioxide.
20. The method of claim 17, wherein the contacting conditions comprise pressures in a range of from about 1400 to about 4400 psi.
21. The method of claim 17, wherein said contacting time is in a range of from about 30 seconds to about 30 minutes.
22. The method of claim 17, wherein the co-solvent comprises at least one C1-C6 alcohol.
23. The method of claim 17, wherein the co-solvent comprises methanol.
24. The method of claim 17, wherein the co-solvent comprises isopropanol (IPA).
25. The method of claim 17, wherein the silicon-containing substance comprises a sacrificial silicon oxide layer.
26. The method of claim 25, wherein the etchant species comprises at least one bifluoride compound selected from the group consisting of ammonium bifluoride and tetraalkylammonium, bifluoride ((R)4NHF2), wherein R is a C1-C4 alkyl group.
27. The method of claim 25, wherein the etchant species comprises ammonium bifluoride.
28. The method of claim 25, wherein the surfactant comprises at least one nonionic surfactant.
29. The method of claim 28, wherein the surfactant is selected from the group consisting of fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene ethers, polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, dodecylbenzenesulfonic salts, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone polymers, modified silicone polymers, acetylenic diols, modified acetylenic diols, alkylammonium salts, modified alkylammonium salts, and combinations comprising at least one of the foregoing.
30. The method of claim 25, wherein the etching composition comprises about 75.0 wt % to about 99.5 wt % SCF, about 0.3 wt % to about 22.5 wt % co-solvent, about 0.01 wt % to about 5.0 wt % etchant species, and about 0.01 wt % to about 5.0 wt % surfactant, based on the total weight of the composition.
31. The method of claim 17, wherein the silicon-containing substance is selected from the group consisting of silicon, post-ash residue and post-etch residue.
32. The method of claim 31, wherein the etchant species is XeF2.
33. The method of claim 31, further comprising dehydrating the substrate prior to contacting the substrate with the SCF-based etching composition.
34. The method of claim 31, wherein the etching composition comprises about 75.0 wt % to about 99.5 wt % SCF, about 0.3 wt % to about 22.5 wt % co-solvent, about 0.01 wt % to about 5.0 wt % etchant species, based on the total weight of the composition.
35. The method of claim 17, wherein the contacting step comprises a etching cycle including (i) dynamic flow contacting of the etching composition with the silicon-containing substance, and (ii) static soaking contacting of the etching composition with the silicon-containing substance.
36. The method of claim 35, wherein said etching cycle comprises alternatingly and repetitively carrying out dynamic flow contacting (i) and static soaking contacting (ii) of the silicon-containing substance.
37. The method of claim 17, further comprising the step of washing the substrate, at a region at which the silicon-containing substance has been removed, with a SCF/methanol/deionized water wash solution in a first washing step, and with a SCF in a second washing step, to remove residual precipitated chemical additives in said first washing step, and to remove residual precipitated chemical additives and/or residual alcohol in said second washing step.
38. The method of claim 37, wherein the SCF is SCCO2.
US10/724,791 2003-12-01 2003-12-01 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations Abandoned US20050118832A1 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
US10/724,791 US20050118832A1 (en) 2003-12-01 2003-12-01 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US10/782,355 US7160815B2 (en) 2003-12-01 2004-02-19 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
TW093136806A TWI346645B (en) 2003-12-01 2004-11-30 Supercritical fluid-based etching composition, and method of removing sacrificial silicon-containing layers using same
KR1020067011411A KR20060121168A (en) 2003-12-01 2004-11-30 Removal of mems sacrificial layers using supercritical fluid/chemical formulations
EP04812516A EP1689825A4 (en) 2003-12-01 2004-11-30 Removal of mems sacrificial layers using supercritical fluid/chemical formulations
JP2006542670A JP2007513522A (en) 2003-12-01 2004-11-30 Removal of sacrificial MEMS layers using supercritical fluid / chemical formulations
CNA2004800396268A CN1902297A (en) 2003-12-01 2004-11-30 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
CA002589168A CA2589168A1 (en) 2003-12-01 2004-11-30 Removal of mems sacrificial layers using supercritical fluid/chemical formulations
PCT/US2004/040015 WO2005054405A1 (en) 2003-12-01 2004-11-30 Removal of mems sacrificial layers using supercritical fluid/chemical formulations
US11/620,902 US7517809B2 (en) 2003-12-01 2007-01-08 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/724,791 US20050118832A1 (en) 2003-12-01 2003-12-01 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/782,355 Continuation-In-Part US7160815B2 (en) 2003-12-01 2004-02-19 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations

Publications (1)

Publication Number Publication Date
US20050118832A1 true US20050118832A1 (en) 2005-06-02

Family

ID=34620140

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/724,791 Abandoned US20050118832A1 (en) 2003-12-01 2003-12-01 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US10/782,355 Expired - Fee Related US7160815B2 (en) 2003-12-01 2004-02-19 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/782,355 Expired - Fee Related US7160815B2 (en) 2003-12-01 2004-02-19 Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations

Country Status (3)

Country Link
US (2) US20050118832A1 (en)
CN (1) CN1902297A (en)
TW (1) TWI346645B (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050118813A1 (en) * 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US20070111533A1 (en) * 2003-12-01 2007-05-17 Korzenski Michael B Removal of mems sacrificial layers using supercritical fluid/chemical formulations
US20070155051A1 (en) * 2005-12-29 2007-07-05 Chun-Ming Wang Method of creating MEMS device cavities by a non-etching process
US7420728B2 (en) * 2004-09-27 2008-09-02 Idc, Llc Methods of fabricating interferometric modulators by selectively removing a material
US20080269096A1 (en) * 2005-04-15 2008-10-30 Advance Technology Materials, Inc. Formulations for Cleaning Ion-Implanted Photoresist Layers from Microelectronic Devices
US20080318344A1 (en) * 2007-06-22 2008-12-25 Qualcomm Incorporated INDICATION OF THE END-POINT REACTION BETWEEN XeF2 AND MOLYBDENUM
US20090022884A1 (en) * 2004-07-29 2009-01-22 Idc,Llc System and method for micro-electromechanical operation of an interferometric modulator
US20090275208A1 (en) * 2008-05-02 2009-11-05 Nishant Sinha Compositions of Matter, and Methods of Removing Silicon Dioxide
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7706044B2 (en) 2003-05-26 2010-04-27 Qualcomm Mems Technologies, Inc. Optical interference display cell and method of making the same
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
US7733552B2 (en) 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US20100165442A1 (en) * 2006-03-02 2010-07-01 Qualcomm Mems Technologies, Inc. Mems devices with multi-component sacrificial layers
US7763546B2 (en) 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US20100200938A1 (en) * 2005-08-19 2010-08-12 Qualcomm Mems Technologies, Inc. Methods for forming layers within a mems device using liftoff processes
US7864403B2 (en) 2009-03-27 2011-01-04 Qualcomm Mems Technologies, Inc. Post-release adjustment of interferometric modulator reflectivity
US8064124B2 (en) 2006-01-18 2011-11-22 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US8149497B2 (en) 2005-07-22 2012-04-03 Qualcomm Mems Technologies, Inc. Support structure for MEMS device and methods therefor
US8358458B2 (en) 2008-06-05 2013-01-22 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
US8659816B2 (en) 2011-04-25 2014-02-25 Qualcomm Mems Technologies, Inc. Mechanical layer and methods of making the same
US8974685B2 (en) 2009-05-21 2015-03-10 Stella Chemifa Corporation Fine-processing agent and fine-processing method
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
JP4464125B2 (en) * 2003-12-22 2010-05-19 ソニー株式会社 Structure manufacturing method and silicon oxide film etching agent
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US7691280B2 (en) * 2005-03-25 2010-04-06 E. I. Du Pont De Nemours And Company Ink jet printing of etchants and modifiers
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
CN100405543C (en) * 2006-07-21 2008-07-23 中国科学院上海微系统与信息技术研究所 Method for producing CMOS process compatible embedded suspension solenoid structure inductance or mutual inductance
US8872287B2 (en) 2008-03-27 2014-10-28 United Microelectronics Corp. Integrated structure for MEMS device and semiconductor device and method of fabricating the same
DE102008040597A1 (en) * 2008-07-22 2010-01-28 Robert Bosch Gmbh Micromechanical component with back volume
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US9620410B1 (en) 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
CN102909204B (en) * 2011-08-05 2014-11-05 美新半导体(无锡)有限公司 Method for cleaning wafer after deep silicon etching process
WO2016154438A1 (en) * 2015-03-26 2016-09-29 Life Technologies Corporation Method for treating a semiconductor sensor array device

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5789505A (en) * 1997-08-14 1998-08-04 Air Products And Chemicals, Inc. Surfactants for use in liquid/supercritical CO2
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US20030125225A1 (en) * 2001-12-31 2003-07-03 Chongying Xu Supercritical fluid cleaning of semiconductor substrates
US20040045588A1 (en) * 2002-05-15 2004-03-11 Deyoung James P. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US20040259357A1 (en) * 2002-01-30 2004-12-23 Koichiro Saga Surface treatment method, semiconductor device, method of fabricating semiconductor device, and treatment apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050118832A1 (en) * 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7553803B2 (en) * 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US5789505A (en) * 1997-08-14 1998-08-04 Air Products And Chemicals, Inc. Surfactants for use in liquid/supercritical CO2
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US20030125225A1 (en) * 2001-12-31 2003-07-03 Chongying Xu Supercritical fluid cleaning of semiconductor substrates
US20040259357A1 (en) * 2002-01-30 2004-12-23 Koichiro Saga Surface treatment method, semiconductor device, method of fabricating semiconductor device, and treatment apparatus
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US20040045588A1 (en) * 2002-05-15 2004-03-11 Deyoung James P. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7706044B2 (en) 2003-05-26 2010-04-27 Qualcomm Mems Technologies, Inc. Optical interference display cell and method of making the same
US7517809B2 (en) 2003-12-01 2009-04-14 Advanced Technology Materials, Inc. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7160815B2 (en) * 2003-12-01 2007-01-09 Advanced Technology Materials, Inc. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US20070111533A1 (en) * 2003-12-01 2007-05-17 Korzenski Michael B Removal of mems sacrificial layers using supercritical fluid/chemical formulations
US20050118813A1 (en) * 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US8115988B2 (en) 2004-07-29 2012-02-14 Qualcomm Mems Technologies, Inc. System and method for micro-electromechanical operation of an interferometric modulator
US20090022884A1 (en) * 2004-07-29 2009-01-22 Idc,Llc System and method for micro-electromechanical operation of an interferometric modulator
US7420728B2 (en) * 2004-09-27 2008-09-02 Idc, Llc Methods of fabricating interferometric modulators by selectively removing a material
US8114220B2 (en) 2005-04-15 2012-02-14 Advanced Technology Materials, Inc. Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
US20080269096A1 (en) * 2005-04-15 2008-10-30 Advance Technology Materials, Inc. Formulations for Cleaning Ion-Implanted Photoresist Layers from Microelectronic Devices
US8218229B2 (en) 2005-07-22 2012-07-10 Qualcomm Mems Technologies, Inc. Support structure for MEMS device and methods therefor
US8149497B2 (en) 2005-07-22 2012-04-03 Qualcomm Mems Technologies, Inc. Support structure for MEMS device and methods therefor
US8298847B2 (en) 2005-08-19 2012-10-30 Qualcomm Mems Technologies, Inc. MEMS devices having support structures with substantially vertical sidewalls and methods for fabricating the same
US20100200938A1 (en) * 2005-08-19 2010-08-12 Qualcomm Mems Technologies, Inc. Methods for forming layers within a mems device using liftoff processes
US7835093B2 (en) 2005-08-19 2010-11-16 Qualcomm Mems Technologies, Inc. Methods for forming layers within a MEMS device using liftoff processes
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US8394656B2 (en) 2005-12-29 2013-03-12 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US20070155051A1 (en) * 2005-12-29 2007-07-05 Chun-Ming Wang Method of creating MEMS device cavities by a non-etching process
US7795061B2 (en) 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US8064124B2 (en) 2006-01-18 2011-11-22 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US20100165442A1 (en) * 2006-03-02 2010-07-01 Qualcomm Mems Technologies, Inc. Mems devices with multi-component sacrificial layers
US7952789B2 (en) 2006-03-02 2011-05-31 Qualcomm Mems Technologies, Inc. MEMS devices with multi-component sacrificial layers
US7763546B2 (en) 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US7733552B2 (en) 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US8164815B2 (en) 2007-03-21 2012-04-24 Qualcomm Mems Technologies, Inc. MEMS cavity-coating layers and methods
US8830557B2 (en) 2007-05-11 2014-09-09 Qualcomm Mems Technologies, Inc. Methods of fabricating MEMS with spacers between plates and devices formed by same
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
US8284475B2 (en) 2007-05-11 2012-10-09 Qualcomm Mems Technologies, Inc. Methods of fabricating MEMS with spacers between plates and devices formed by same
US20080318344A1 (en) * 2007-06-22 2008-12-25 Qualcomm Incorporated INDICATION OF THE END-POINT REACTION BETWEEN XeF2 AND MOLYBDENUM
US8226840B2 (en) * 2008-05-02 2012-07-24 Micron Technology, Inc. Methods of removing silicon dioxide
US20090275208A1 (en) * 2008-05-02 2009-11-05 Nishant Sinha Compositions of Matter, and Methods of Removing Silicon Dioxide
US8580158B2 (en) 2008-05-02 2013-11-12 Micron Technology, Inc. Methods of removing silicon dioxide
US8871120B2 (en) 2008-05-02 2014-10-28 Micron Technology, Inc. Compositions of matter, and methods of removing silicon dioxide
US8358458B2 (en) 2008-06-05 2013-01-22 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
US7864403B2 (en) 2009-03-27 2011-01-04 Qualcomm Mems Technologies, Inc. Post-release adjustment of interferometric modulator reflectivity
US8974685B2 (en) 2009-05-21 2015-03-10 Stella Chemifa Corporation Fine-processing agent and fine-processing method
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US8659816B2 (en) 2011-04-25 2014-02-25 Qualcomm Mems Technologies, Inc. Mechanical layer and methods of making the same
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use

Also Published As

Publication number Publication date
US7160815B2 (en) 2007-01-09
US20050118813A1 (en) 2005-06-02
TWI346645B (en) 2011-08-11
TW200526513A (en) 2005-08-16
CN1902297A (en) 2007-01-24

Similar Documents

Publication Publication Date Title
US7160815B2 (en) Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7517809B2 (en) Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US6492309B1 (en) Fluorinated solvent compositions containing hydrogen fluoride
US7326673B2 (en) Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
EP1572833B1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20090192065A1 (en) Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating
JP2007526653A (en) Enhanced removal of silicon-containing particulate matter using supercritical fluid-based compositions
US7211553B2 (en) Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
EP1592520A2 (en) Supercritical carbon dioxide/chemical formulation for removal of photoresists
EP1749087A2 (en) Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
WO2005004199A2 (en) Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
JP2008538013A (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2003206497A (en) Method for cleansing and drying
US20070129273A1 (en) In situ fluoride ion-generating compositions and uses thereof
EP1505146A1 (en) Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
JP2004088095A (en) Washing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KORZENSKI, MICHAEL B.;BAUM, THOMAS H.;XU, CHONGYING;AND OTHERS;REEL/FRAME:014558/0475;SIGNING DATES FROM 20031125 TO 20031222

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION