US20050139578A1 - Thin-film forming apparatus having an automatic cleaning function for cleaning the inside - Google Patents

Thin-film forming apparatus having an automatic cleaning function for cleaning the inside Download PDF

Info

Publication number
US20050139578A1
US20050139578A1 US11/068,089 US6808905A US2005139578A1 US 20050139578 A1 US20050139578 A1 US 20050139578A1 US 6808905 A US6808905 A US 6808905A US 2005139578 A1 US2005139578 A1 US 2005139578A1
Authority
US
United States
Prior art keywords
reaction chamber
temperature
susceptor
cleaning
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/068,089
Inventor
Hideaki Fukuda
Kiyoshi Satoh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to US11/068,089 priority Critical patent/US20050139578A1/en
Publication of US20050139578A1 publication Critical patent/US20050139578A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Definitions

  • the invention relates to a thin-film forming apparatus that is used in a process for semiconductor device circuit manufacturing, and particularly to a thin-film forming apparatus having an automatic cleaning function, and an automatic cleaning method of a thin-film forming apparatus.
  • the semiconductor substrate i.e., workpiece is placed on a resistance type of heater that functions as a susceptor provided in an evacuated reaction chamber.
  • a showerhead having holes for expelling reaction gas is opposed to the heater, radiofrequency energy at 13.56 MHz is applied to the showerhead, by which a plasma discharge region is formed between the heater supporting the semiconductor substrate and the showerhead.
  • Reaction gas supplied by the showerhead is excited and activated in the plasma discharge region, and a film is formed, depending on the kinds of reaction gas, on the semiconductor substrate.
  • films and reaction by-products adhere to the inside surface of the reaction chamber in addition to the semiconductor substrate.
  • the automatic cleaning function cleans the reaction chamber using a fluorine-containing active species, after detaching the semiconductor substrate on which film formation is complete, from the heater and conveying it outside of the reaction chamber.
  • a fluorine-containing active species after detaching the semiconductor substrate on which film formation is complete, from the heater and conveying it outside of the reaction chamber.
  • a mixture gas of SiH 4 , NH 3 and N 2 is furnished to the reaction chamber as a reaction gas to produce a plasma discharge region in the reaction chamber using radiofrequency energy.
  • the unwanted deposits which mainly comprises the same material as the film deposited on a semiconductor substrate, also adhere to the inner surface of the reaction chamber.
  • C 2 F 6 and oxygen or a mixture gas of C 3 F 8 and oxygen are supplied to the reaction chamber from the showerhead.
  • fluorine active species is generated in the reaction chamber by applying radiofrequency energy of 13.56 MHz.
  • the unwanted deposits inside of the reaction chamber are gasified by the fluorine active species and exhausted from the reaction chamber. Automatic cleaning sequence after performing film formation once or more than once on the semiconductor substrate always keeps clean the inside of the reaction chamber.
  • the films incorporate hydrogen, when a step having a higher temperature than the film-forming temperature follows, the hydrogen incorporated in the films is released, which remarkably worsens electrical characteristics of the semiconductor device. Therefore, a main application is a final passivation film for the semiconductor device which does not have the step of higher temperature processing (the example disclosed in U.S. Pat. 5,336,640 is the one used as a final protection film).
  • a damascene step is generally conducted in which ditch patterns of metal lines in an insulating film between layers are formed and Cu wiring is embedded in the ditches.
  • RIE reactive ion etching
  • a silicon nitride type of film formed by plasma CVD may be used as a RIE etching-stopping layer.
  • a silicon nitride type of film formed by plasma CVD may be used as an antireflective film to prevent the reflection of exposed light in the lower part of a resist at a lithography step so as to accurately perform a fine-structure processing.
  • a processing temperature of approximately 400° C. has increased to exceed 500° C.
  • unwanted deposits adhere to the inside of the reaction chamber. Since the attached unwanted deposits are a source of particles and contamination, they are removed by executing the automatic cleaning sequence as previously mentioned.
  • gas including fluorine is used for automatic cleaning.
  • a fluorine active species is generated which is used to remove the unwanted deposits in the reaction chamber.
  • the surface of the ceramic heater supporting the substrate is set at a predetermined temperature range for film formation.
  • the automatic cleaning sequence follows immediately. That is, parts in the reaction chamber, especially a ceramic heater which holds directly and heats a semiconductor substrate, is in a state so-called “film-forming temperature” at which high temperature it is exposed to the environment of automatic cleaning.
  • film-forming temperature a state so-called “film-forming temperature” at which high temperature it is exposed to the environment of automatic cleaning.
  • the surface of the ceramic heater supporting the substrate is set at a predetermined temperature range of 470-600° C. In the temperature environment in excess of 470° C., even aluminum nitride reacts with the fluorine active species to generate aluminum fluoride, which in turn emits the aluminum fluoride generated to the reaction chamber. When the surface temperature of the heater exceeds 500° C., generation and emission of aluminum fluoride causes extensive damage to the reaction chamber.
  • the temperature of the surface of a showerhead opposed to the ceramic heater supporting the semiconductor substrate is 100-250° C. which is lower than that of the ceramic heater.
  • Aluminum fluoride emitted from the ceramic heater adheres to the showerhead of relatively lower temperature, and accumulates due to the reaction with fluorine active species during the automatic cleaning sequence. The aluminum fluoride once stuck to the showerhead cannot be removed except for wiping off with the reaction chamber open.
  • An objective of the present invention is to provide an automatic cleaning method which does not produce aluminum fluoride on a showerhead.
  • Another objective of the present invention is to realize forming a film with good reproducibility and without foreign contamination (particles) by an automatic cleaning sequence.
  • Yet another objective of the present invention is to provide a thin-film forming apparatus which reduces non-working hours for cleaning and enhances productivity by use of an automatic cleaning sequence.
  • a thin-film forming apparatus comprising: (a) a reaction chamber for forming a thin film on a workpiece placed on a susceptor provided in the reaction chamber, which susceptor is provided with a heater for heating the workpiece, which reaction chamber is provided with a conveyer for loading and unloading the workpiece into and from the reaction chamber; and (b) a cleaning device for cleaning unwanted deposits adhering to the inside of the reaction chamber at predetermined intervals, which cleaning device comprises: (i) a cleaning gas controller for introducing a cleaning gas into the reaction chamber and evacuating the reaction chamber after the cleaning treatment; (ii) a cleaning gas activator for activating the cleaning gas in radical form; and (iii) a temperature and timing controller programmed to reduce the temperature of the susceptor at a predetermined rate for cleaning after completion of film formation and then to actuate the cleaning gas controller and the cleaning gas activator.
  • the temperature of the susceptor for cleaning is preferably 500° C. or less, more preferably 470° C. or less, while the temperature of the susceptor for film formation may be higher than 500° C.
  • the cleaning gas includes fluorine
  • the activated cleaning gas includes fluorine radicals.
  • the cleaning gas can be activated using a plasma discharge region generated in the reaction chamber or using a remote plasma discharge chamber prior to introduction of the cleaning gas into the reaction chamber. Further, the cleaning gas controller can introduce the cleaning gas through a showerhead disposed above the susceptor in the reaction chamber.
  • the unwanted deposits include silicon nitride, SiO, SiON, SiOF, SiC, and hydrocarbon.
  • the apparatus includes a plasma CVD apparatus and a thermal CVD apparatus.
  • the present invention can also apply to a method for cleaning unwanted deposits adhering to the inside of a reaction chamber for forming a thin film on a workpiece placed on a susceptor provided in the reaction chamber, said susceptor being provided with a heater for heating the workpiece, said reaction chamber being provided with a conveyer for loading and unloading the workpiece into and from the reaction chamber, said method comprising: (a) reducing the temperature of the susceptor at a predetermined rate for cleaning after completion of film formation; (b) contacting the inside of the reaction chamber with an activated cleaning gas; and (c) cleaning the unwanted deposits by the activated cleaning gas.
  • FIG. 1 shows one example of a thin film-forming and processing apparatus according to the present invention.
  • FIG. 2 illustrates a thin film-forming and processing apparatus having a remote plasma discharge chamber.
  • FIG. 3 illustrates the measurement results of the thickness of formed silicon nitride films.
  • FIG. 4 illustrates the distribution lines of the thickness of formed silicon nitride films on one semiconductor substrate 9 .
  • FIG. 5 illustrates the measurement results of particles on the surface of semiconductor substrates after the formation of silicon nitride films.
  • a silicon nitride type of film formed by thermal CVD over 700° C. without plasma may not be used as an inter-layers insulating film, because the film-forming temperature is too high after formation of Al or Cu. Since almost no hydrogen is included in the film, the silicon nitride type of film has been used as an etching stopping layer for a first between-layers insulating film on the semiconductor device to which a thermal step is applied later.
  • the inventors have invented a method of forming a silicon nitride film using plasma CVD at a relatively higher temperature which is described in Japanese Patent Application No. 11-243914.
  • a semiconductor substrate temperature of approximately 400° C. in the past to a range of 430-600° C.
  • the semiconductor substrate temperature is preferably over 470° C. and more preferably over 550-600° C.
  • a ceramic heater is used so that high temperatures can be attained.
  • a ceramic material aluminum nitride with high heat conductivity may generally be used.
  • a ceramic heater comprising an aluminum nitride disk or plate has a resistance heater and a metal for a plasma-discharge ground electrode embedded by integral sintering, which allows the semiconductor substrate to be heated to approximately 650° C.
  • the surface of the ceramic heater supporting the substrate is set at a predetermined temperature range of 470-600° C.
  • the automatic cleaning sequence follows immediately. That is, one of the parts in the reaction chamber, especially a ceramic heater which holds directly and heats a semiconductor substrate, is in a state so-called “film-forming temperature” at which high temperature it is exposed to the environment of automatic cleaning. In the temperature environment in excess of 470° C., even aluminum nitride reacts with the fluorine active species to generate aluminum fluoride, which in turn emits the aluminum fluoride generated to the reaction chamber.
  • the temperature of the surface of a showerhead opposed to the ceramic heater supporting the semiconductor substrate is 100-250° C. which is lower than that of the ceramic heater.
  • Aluminum fluoride emitted from the ceramic heater adheres to the showerhead of relatively lower temperature, and accumulates due to the reaction with fluorine active species during the automatic cleaning sequence. The aluminum fluoride once stuck to the showerhead cannot be removed except for wiping off with the reaction chamber open.
  • the accretion of aluminum fluoride to the surface of the showerhead causes two substantial problems.
  • One problem is that growth speed of a film to be formed on the semiconductor substrate varies.
  • the non-conductive aluminum fluoride functions as a newly formed electric insulator formed on the surface of the showerhead, thereby reducing a film-forming speed.
  • the difference in accretion of aluminum fluoride (thickness) at the surface of the single showerhead produces abnormal distribution lines in formed film thickness on the surface of a semiconductor substrate.
  • the degree of variability of no more than ⁇ 3% is required regarding film thickness (value defined by subtracting the minimum thickness from the maximum thickness and dividing it by 2 times the average).
  • the second problem is contamination of the semiconductor substrate by particles. If aluminum fluoride accretes to and accumulates on the surface of the showerhead, particles of the aluminum fluoride will slough off the surface and adhere to the surface of the semiconductor substrate. The aluminum fluoride deposited on the semiconductor substrate cause contamination or defect at the bottom, middle or surface of a film to be formed on the semiconductor substrate, which causes malfunctions of the semiconductor device. Generally speaking, the number of particles attached on the semiconductor substrate should not exceed 20 with a diameter of over 0.2 ⁇ m.
  • a first semiconductor substrate as a workpiece for film-forming and processing, on a heater with a surface temperature of over 500° C. placed in a reaction chamber of a thin-film forming apparatus.
  • Reaction gas is furnished from a showerhead to which is applied radiofrequency energy of 13.56 MHz.
  • the reaction gas is dissolved and a thin film is formed on the semiconductor substrate.
  • the first semiconductor substrate, on which film formation is complete, is removed from the heater and conveyed out of the reaction chamber.
  • a second semiconductor substrate for film-forming and processing is carried into the reaction chamber and is placed on the heater. The same film-forming and processing steps are conducted as with the first semiconductor substrate.
  • the second semiconductor substrate is removed from the reaction chamber after film-forming and processing is complete.
  • the cleaning sequence includes a cooling step which lowers the temperature of the heater supporting and heating the semiconductor substrate.
  • cleaning gas is injected into the reaction chamber to conduct automatic cleaning in the reaction chamber and unwanted deposits adhering to the inside of the reaction chamber will be gasified and exhausted.
  • the cleaning step which removes the products from the reaction chamber can be performed substantially in the following way, although the step should not be limited thereto:
  • a mixture gas of C 3 F 8 gas and oxygen gas controlled at a predetermined flow is introduced, as a cleaning gas, into the reaction chamber from the shower head. Maintaining the inside of the reaction chamber at a predetermined pressure, radiofrequency energy of 13.56 MHz, for example, is applied to the showerhead to form a plasma discharge region between the showerhead and the ceramic heater.
  • the cleaning gas introduced from the showerhead is activated by the plasma discharge to become a fluorine-containing active species which reacts with the unwanted deposits adhering to the inside of the reaction chamber and transforming into gas material to be evacuated from the reaction chamber by an evacuation pump.
  • the surface temperature of the ceramic heater is kept at below 500° C.
  • the cleaning step is terminated when radiofrequency energy applied to the showerhead and C 3 F 8 gas is terminated.
  • the oxygen gas supplied to the reaction chamber as part of the cleaning gas is injected into the reaction chamber after the completion of the cleaning step.
  • the fluorine active species produced during the cleaning step and the reaction products produced by the reaction between the fluorine active species and the unwanted deposits in the reaction chamber are purged from the reaction chamber.
  • a heating step follows that raises the temperature of the ceramic heater to the temperature for film-forming and processing of the semiconductor substrate.
  • the automatic cleaning sequence is complete.
  • the automatic cleaning sequence is finished, the #(n+1) semiconductor substrate is brought in the reaction chamber to be placed on the ceramic heater. Then the aforementioned thin film-forming and processing of the semiconductor substrate (film-forming sequence) is conducted.
  • the aforementioned cleaning step can be done in another way including the following:
  • the cleaning gas is activated in a remote plasma discharge chamber remote from the reaction chamber where thin film formation on the semiconductor substrate is performed.
  • the activated cleaning gas is introduced via a duct into the reaction chamber where thin-film forming and processing to the semiconductor substrate is executed.
  • the activated cleaning gas introduced into the reaction chamber, transforms the unwanted deposits adhering to the inside of the reaction chamber into gaseous material which is expelled from the reaction chamber.
  • the cooling step is conducted which reduces the temperature of the heater supporting the semiconductor substrate to below 500° C.
  • argon gas controlled at a predetermined flow rate is furnished in the remote plasma discharge chamber.
  • a cleaning gas including fluorine such as NF 3 controlled at a predetermined flow rate is introduced to generate a fluorine active species.
  • the fluorine active species is introduced into the reaction chamber via the remote plasma discharge chamber and the duct which connects the reaction chamber for film formation.
  • the inside of the reaction chamber is cleaned by the fluorine active species, the heating step is performed as previously described wherein the heater temperature in the reaction chamber is raised to the thin film formation temperature.
  • the first automatic cleaning sequence mentioned is called in-situ plasma cleaning, in which, to activate cleaning gas, a discharge electrode in the reaction chamber used at the time of film formation and a radiofrequency energy supply are employed.
  • the second automatic cleaning sequence mentioned is called remote plasma cleaning, in which, to activate cleaning gas, the remote plasma discharge chamber placed away from the reaction chamber is used, but not the radiofrequency energy supply for film formation.
  • FIG. 1 shows one example of a thin film-forming and processing apparatus 1 according to the present invention, in which a parallel plate plasma CVD apparatus and an in-situ plasma cleaning apparatus for automatic cleaning are used.
  • a workpiece 9 to be processed such as a semiconductor substrate is placed on a ceramic heater 3 to hold the workpiece in a reaction chamber 2 .
  • a showerhead 4 which provides uniform reaction gas on the workpiece 9 .
  • Reaction gas for forming a film on the surface of the workpiece 9 is controlled at a predetermined flow by a mass flow controller (not shown), and enters a duct 5 to a duct 11 through a valve 6 .
  • the gas After passing through an aperture 7 of the reaction chamber 2 , the gas is provided within the reaction chamber 2 through thousands of tiny holes, with a diameter of less than 1 mm, of the showerhead 4 .
  • an evacuation pump (not shown) is connected to an aperture 20 of the reaction chamber 2 via a duct 17 and a conductance controlling valve 21 .
  • the heater 3 for holding the workpiece 9 includes a aluminum nitride plate 13 with a resistance heating element 26 embedded, and is connected to the reaction chamber 2 by a shaft 29 .
  • a method of forming a film on the workpiece 9 will be explained below.
  • gases of SiH 4 , NH 3 and N 2 , or SiH 4 and NH 3 , or SiH 4 and N 2 are used as a reaction gas, and radiofrequency energy of 13.56 MHz, or power of a mixture of 13.56 MHz and 430 kHz is applied to the showerhead 4 .
  • the workpiece 9 receives heat from the heater with 600° C. to have a temperature in the range of approximately 530° C.-550° C.
  • Reaction gases such as SiH 4 and N 2 , etc.
  • the reaction gases are introduced into the duct 11 through the valve 6 from the duct 5 , and are uniformly supplied to the inside of the reaction chamber 2 through the shower head 4 from the aperture 7 of the duct 11 .
  • the pressure of the reaction chamber 2 is adjusted in a range of 1 Torr to 8 Torr in response to a signal at a pressure meter 28 a via the opening of a conductance controlling valve 21 controlled by a pressure controller 28 .
  • a predetermined radiofrequency energy is applied between the electrodes to generate plasma, which in turn forms a silicon nitride film on the workpiece 9 .
  • a silicon nitride film with a necessary thickness may be obtained.
  • the unwanted deposits adhering to the inside of the reaction chamber 2 in forming the film on the workpiece are removed in an automatic cleaning sequence.
  • the automatic cleaning sequence comprises the steps of: cooling, which cools the temperature of the heater 3 supporting the workpiece 9 to below 500° C.; cleaning, which cleans the inside of the reaction chamber 2 ; and heating, which raises the temperature of the heater 3 to 600° C. for film formation.
  • the pressure can be within a range of 0.5-9 Torr, and the temperature of the heater 3 can be lowered at a rate of not over 20° C. per minute.
  • the flow of nitrogen gas is not limited to 2 liters per minute.
  • the gas to be introduced is not limited to nitrogen; instead, inert gas, such as helium, argon, etc. which do not damage the ceramic heater 3 , the reaction chamber 2 , and the articles inside, may be used.
  • the temperature lowering rate of the heater 3 is not limited to under 20° C. per minute; any rate which does not cause damage to the heater 3 may be used.
  • the cooling step is complete. Preferably, it should be below 450° C.
  • the temperature of the heater 3 is defined by that on the surface of the ceramic heater which touches the back side of the semiconductor substrate 9 .
  • the cleaning step is conducted in the following way.
  • a mixture of C 3 F 8 with 300 sccm and oxygen with 700 sccm is introduced as a cleaning gas to the inside of the reaction chamber 2 from the showerhead 4 .
  • 13.56 MHz of radiofrequency energy of 500 w-2,000 w is applied to the showerhead 4 to cause a plasma discharge region between the showerhead 4 and the ceramic heater 3 .
  • the cleaning gas is activated by the plasma, which generates a fluorine-containing active species.
  • the fluorine active species reacts with the unwanted deposits adhering to the inside of the reaction chamber 2 and eventually convert them into a gas.
  • the gas is evacuated from the reaction chamber 2 through the aperture 20 by an evacuation pump (not shown).
  • the temperature of the ceramic heater 3 during the cleaning steps is kept below 500° C.
  • the supply of radiofrequency energy to the showerhead 4 is stopped and the supply of C 3 F 8 gas to the reaction chamber 2 is stopped.
  • the inside of the reaction chamber 2 is purged by oxygen gas. By stopping the supply of oxygen gas and instead supplying nitrogen gas, the reaction chamber 2 may be purged.
  • a subsequent heating step raises the temperature of the heater 3 to the film formation temperature.
  • Nitrogen gas is supplied to the reaction chamber 2 through the showerhead 4 at a rate of 2 liters per minute (2 slm), which holds the pressure of the reaction chamber 2 in a range of 0.5-9 Torr. and raises the temperature of the heater 3 at a rate not exceeding 20° C. per minute.
  • Gas supplied to the reaction chamber 2 is not limited to nitrogen gas; any gas is usable as long as it does not damage parts including the heater 3 in the reaction chamber 2 .
  • the flow of gas including nitrogen gas is not limited to 2 liters per minute (2 slm); any flow may be acceptable which can keep the pressure of the reaction chamber 2 .
  • the rate of the increase in temperature of the heater 3 is not limited to under 20° C. per minute; the temperature of the heater 3 can be raised at a rate which does not damage the heater 3 .
  • the heating step is complete. Completion of the heating step completes the automatic cleaning sequence. The next workpiece is brought in, and the thin-film forming process is conducted.
  • FIG. 2 illustrates an example of a thin film-forming and processing apparatus 30 having a remote plasma discharge chamber, and is the same as a thin film-forming and processing apparatus of FIG. 1 except for the structure by which unwanted deposits adhering to the inside of the reaction chamber 2 are automatically removed.
  • a duct 5 and a valve 6 which supply reaction gas to the reaction chamber 2 for thin film-forming and processing.
  • the reaction gas is uniformly supplied onto the surface of a workpiece 9 through a showerhead 4 having thousands of fine holes with a diameter of less than 1 mm via a duct 14 and an opening 32 of the reaction chamber 2 .
  • a valve 15 is closed which valve is provided on the duct 14 connecting a remote plasma discharge chamber 16 to the reaction chamber 2 .
  • an automatic cleaning sequence is done which cleans unwanted deposits deposited on the inside of the reaction chamber 2 .
  • the automatic cleaning sequence is, as mentioned before, comprised of three steps of: cooling, cleaning and heating up.
  • the cooling step supplies nitrogen gas at 2 liters per minute to the reaction chamber 2 from the duct 5 via the valve 6 , junction 31 , duct 14 , opening 32 and showerhead 4 , and lowers the temperature of the heater 3 at a rate not exceeding 20° C. per minute, keeping the pressure of the reaction chamber 2 within a range of 0.5-9 Torr.
  • the cleaning step which removes the unwanted deposits deposited on the inside of the reaction chamber 2 .
  • the step is done in the following way.
  • the supply of nitrogen gas, which is complete during the cooling down step, is suspended, and after the reaction chamber is evacuated, argon gas is injected from the duct 22 into the remote plasma discharge chamber 16 at a flow of 3 liters per minute (3 slm).
  • argon gas brought in from the duct 22 is conveyed to the reaction chamber 2 through the remote plasma discharge chamber 16 .
  • radiofrequency discharge of 400 kHz is generated in the remote plasma discharge chamber 16 .
  • the flow of argon is gradually changed to 2 liters per minute, and NF 3 gas is injected from the duct 22 , which is gradually raised from zero to 1 slm by a mass flow controller (not shown).
  • the gas supplied to the remote plasma discharge chamber 16 is changed simply by gradually increasing an amount of NF 3 .
  • the gas (cleaning gas) supplied to the remote plasma discharge chamber 16 in a stable state consists of 2 liters of argon per minute and 1 liter of NF3 per minute. Radiofrequency discharge plasma generated in the remote plasma discharge chamber 16 produces a fluorine active species.
  • the cleaning gas including the fluorine active species is injected to the showerhead 4 from the upper opening 32 of the reaction chamber 2 through the valve 15 and duct 14 .
  • the cleaning gas which is introduced into the reaction chamber 2 through thousands of small holes provided on the shower head 4 , transforms into gas the unwanted deposits deposited on the inside of the reaction chamber 2 and evacuates them from the reaction chamber 2 .
  • the temperature of the heater 3 should be held at least below 500° C.
  • the flow rate of the cleaning gas injected into the remote plasma discharge chamber 16 during the cleaning step is not limited to the previously described flow rate, and may be selected from the range of 100 sccm to 5 slm regarding NF 3 and from the range of 100 sccm to 5 slm regarding argon.
  • cleaning gas instead of argon gas, rare gas such as helium may be used.
  • NF 3 CF gas such as C 3 F 8 may be used.
  • oxygen gas can be mixed.
  • the temperature of the heater is kept below 500° C., preferably below 470° C., and more preferably below 450° C.
  • Power frequency supplied to the remote plasma discharge chamber 16 is not limited to 400 kHz, and may be selected from 300-500 kHz. The value of the power must be one by which a predetermined fluorine active species can be obtained. In the embodiment of the invention, power of 2,000-5,000 W at 400 kHz is used to generate radiofrequency discharge plasma in the remote plasma discharge chamber 16 .
  • the supply of NF3 gas injected from the duct 22 is suspended and the radiofrequency discharge in the remote plasma discharge chamber 16 is stopped.
  • argon gas is furnished to the reaction chamber 2 from the duct 22 via the remote plasma discharge chamber 16 , which purges the cleaning gas with the fluorine active species remaining in the reaction chamber 2 .
  • the heating step begins, which commences purging in the reaction chamber 2 by argon gas and raises the temperature of the heater 3 to the film-forming temperature.
  • the heat-up step is executed the same way as the aforementioned cooling step except for raising the temperature of the heater 3 at a speed of less than 20° C. per minute.
  • the temperature of the heater 3 reaches the temperature (the film-forming temperature) at which thin film-forming and processing of the workpiece 9 is conducted, the heating step is complete.
  • introduction of nitrogen gas into the reaction chamber 2 may also be performed in the following alternative way.
  • a predetermined flow of nitrogen gas is furnished to the duct 22 and injected to the reaction chamber 2 via the remote plasma discharge chamber 16 , valve 15 , and duct 14 .
  • the valve 6 is closed and plasma discharge in the remote plasma discharge chamber 16 is not performed.
  • injection of argon gas into the reaction chamber 2 and the cooling and heating steps can also be executed.
  • the apparatus used was the thin film-forming and processing apparatus 30 in FIG. 2 .
  • the first semiconductor substrate 9 the workpiece, comprising aluminum nitride substrate, was placed on the ceramic heater 3 .
  • the ceramic heater 3 was held at 600° C. because of the resistance heater 26 embedded, which heated the first semiconductor substrate 9 supported on the ceramic heater 3 to 550° C. from approximately 540° C.
  • SiH 4 gas and nitrogen were mixed and introduced from the duct 5 .
  • the mixed gas was uniformly distributed, by the showerhead 4 , on the surface of the first semiconductor substrate 9 placed on the heater 3 in the reaction chamber 2 .
  • the silicon nitride film is formed on the second semiconductor substrate 9 .
  • the film-formation and processing of the silicon nitride film was consecutively performed on 25 substrates. After the 25th substrate that had completed the film-formation and processing was removed from the reaction chamber 2 , the automatic cleaning sequence was executed that cleans the unwanted deposits adhering to the inside of the reaction chamber 2 .
  • the temperature of the heater 3 was lowered to 470° C.
  • Argon gas was furnished to the remote plasma discharge chamber 16 at 3 slm from the duct 22 to set the pressure in the reaction chamber 2 at 1-1.5 Torr by opening the valve 15 .
  • the flow of argon was gradually reduced to 2 slm, and a gradually increasing amount of NF3 gas was injected from the duct 22 till it reached 1 slm.
  • NF3 gas introduced from the duct 22 reached the remote plasma discharge chamber 16 a fluorine active species was generated.
  • the fluorine active species generated in the remote plasma discharge chamber 16 was, with other gas, introduced into the reaction chamber 2 from the showerhead 4 via the valve 15 , duct 14 and aperture 32 .
  • the unwanted deposits adhering to the inside of the reaction chamber 2 were cleaned by the fluorine active species.
  • the cleaning step by the fluorine active species corresponded to the aforementioned cleaning step, and it lasted approximately 70 seconds.
  • radiofrequency discharge in the remote plasma discharge chamber 16 and the supply of NF 3 were both suspended.
  • the supply of argon gas from the duct 22 was then stopped, the valve 15 was closed, and nitrogen gas was provided from the duct 5 .
  • the heating step was conducted, raising the temperature up to 600° C.
  • FIG. 4 illustrates the distribution lines of the thickness of formed silicon nitride films on one semiconductor substrate 9 (uniformity of film thickness).
  • the distribution lines of the thickness are ⁇ 2.0% on the average for the 2,000 consecutively formed films, which are good and stable.
  • FIG. 5 illustrates the measurement results of particles on the surface of semiconductor substrates after the formation of silicon nitride films.
  • the highest detected number of particles is 9 and the average value per semiconductor substrate is 3.3, which shows that the surface of semiconductor substrates is very clean.
  • the present invention is directed to an automatic cleaning method and apparatus therefor that can clean the unwanted deposits adhering to the inside of a semiconductor substrate process apparatus, and is not limited to a film-forming method which forms films on semiconductor substrates.
  • a film-forming method which forms films on semiconductor substrates.
  • the plasma CVD method an explanation is made based on the formation of silicon nitride films.
  • the invention is not restricted to the formation of silicon nitride films, and may be used for the formation of inorganic films of SiO, SiON and SiOF, organic films of fluorination hydrocarbon polymer, and CVD films; methylsilane, tetrametylsilane, SiO, or SiC films.
  • an automatic cleaning method in accordance with the invention may be applied to a thermal CVD method which forms a high permitivity film such as a W type metallic thin film or Ta 2 O 5 , and may effectively be used to clean the inside of the thin film-forming and processing apparatus.
  • the surface temperature of the heater supporting the semiconductor substrate is, in an embodiment, lowered below 500° C, the adherence of aluminum fluoride to the shower head surface can be prevented. Since there is no adherence of aluminum fluoride to the showerhead surface, thin-film forming and processing of the semiconductor substrate can be performed with constant quality of the films formed.

Abstract

A method of cleaning the inside of a reaction chamber includes reducing the temperature of a susceptor to 470° C. or lower for cleaning; contacting the inside of the reaction chamber including the showerhead with fluorine radicals; cleaning the unwanted deposits by the fluorine radicals, wherein gaseous aluminum fluoride is inhibited from being emitted from the susceptor and solidified on the showerhead by maintaining the temperature of the susceptor at 470° C. or lower; and raising the temperature of the susceptor to 500-650° C. for film formation.

Description

  • This application is a divisional of U.S. patent application Ser. No. 09 /511,934, filed Feb. 24, 2000, the disclosure of which is incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention relates to a thin-film forming apparatus that is used in a process for semiconductor device circuit manufacturing, and particularly to a thin-film forming apparatus having an automatic cleaning function, and an automatic cleaning method of a thin-film forming apparatus.
  • 2. Description of the Related Art
  • In the process of forming a film on a semiconductor substrate, the semiconductor substrate, i.e., workpiece is placed on a resistance type of heater that functions as a susceptor provided in an evacuated reaction chamber. After a showerhead having holes for expelling reaction gas is opposed to the heater, radiofrequency energy at 13.56 MHz is applied to the showerhead, by which a plasma discharge region is formed between the heater supporting the semiconductor substrate and the showerhead. Reaction gas supplied by the showerhead is excited and activated in the plasma discharge region, and a film is formed, depending on the kinds of reaction gas, on the semiconductor substrate. At this time, films and reaction by-products adhere to the inside surface of the reaction chamber in addition to the semiconductor substrate.
  • With repeated film formation processes, unwanted deposits adhering to portions other than the semiconductor substrate accumulate. After separating from the attached surface the unwanted deposits become particles and stick to the surface of the semiconductor substrate, causing impurity contamination, stopping functions of semiconductor devices. To eliminate unwanted deposits from the reaction chamber, many thin film-forming apparatuses may have an automatic cleaning function.
  • The automatic cleaning function cleans the reaction chamber using a fluorine-containing active species, after detaching the semiconductor substrate on which film formation is complete, from the heater and conveying it outside of the reaction chamber. Specifically, when forming a silicon nitride film on the semiconductor substrate, a mixture gas of SiH4, NH3 and N2 is furnished to the reaction chamber as a reaction gas to produce a plasma discharge region in the reaction chamber using radiofrequency energy.
  • The unwanted deposits, which mainly comprises the same material as the film deposited on a semiconductor substrate, also adhere to the inner surface of the reaction chamber. After the finished semiconductor substrate is conveyed outside of the reaction chamber, C2F6 and oxygen or a mixture gas of C3F8 and oxygen are supplied to the reaction chamber from the showerhead. Then fluorine active species is generated in the reaction chamber by applying radiofrequency energy of 13.56 MHz. The unwanted deposits inside of the reaction chamber are gasified by the fluorine active species and exhausted from the reaction chamber. Automatic cleaning sequence after performing film formation once or more than once on the semiconductor substrate always keeps clean the inside of the reaction chamber.
  • Film-forming requirements of a silicon nitride film and an silicon oxinitride film (silicon nitride type of film) by a capacitance coupling plasma CVD method are conventionally that a gas flow ratio of a SiH4:N2:NH3 system is approximately SiH4:N2:NH3=1:1-50:1-10, and that the temperature for a workpiece is approximately below 400° C. Both requirements are used industrially for insulating films of a semiconductor device. Some examples of the film-forming requirements are disclosed in U.S. Pat. No. 5,336,640. Since the films incorporate hydrogen, when a step having a higher temperature than the film-forming temperature follows, the hydrogen incorporated in the films is released, which remarkably worsens electrical characteristics of the semiconductor device. Therefore, a main application is a final passivation film for the semiconductor device which does not have the step of higher temperature processing (the example disclosed in U.S. Pat. 5,336,640 is the one used as a final protection film).
  • To solve a problem of an increase in RC delay (which is proportional to the product of metal line resistance and capacitance between lines) in the wiring accompanied by increased density of semiconductor devices, there are proposals that resistance should be lowered by the introduction of Cu wiring, and that distribution line capacitance should be reduced. In the semiconductor device having Cu wiring, because the Cu wiring is high in heat resistance, a SiOF film formed by relatively high temperature plasma CVD can be used as an insulating film disposed between the metal lines.
  • When applying Cu wiring, a damascene step is generally conducted in which ditch patterns of metal lines in an insulating film between layers are formed and Cu wiring is embedded in the ditches. To form the ditches in an insulating film between layers, hetero-etching by reactive ion etching (RIE) can be used. A silicon nitride type of film formed by plasma CVD may be used as a RIE etching-stopping layer. A silicon nitride type of film formed by plasma CVD may be used as an antireflective film to prevent the reflection of exposed light in the lower part of a resist at a lithography step so as to accurately perform a fine-structure processing.
  • In the above situations, a processing temperature of approximately 400° C. has increased to exceed 500° C. When the substrate is heated to over 500° C. and film-forming on the semiconductor substrate is executed, unwanted deposits adhere to the inside of the reaction chamber. Since the attached unwanted deposits are a source of particles and contamination, they are removed by executing the automatic cleaning sequence as previously mentioned. In the process of forming a silicon nitride film, gas including fluorine is used for automatic cleaning. At the plasma discharge region in the reaction chamber or at the excitation chamber isolated from the reaction chamber, a fluorine active species is generated which is used to remove the unwanted deposits in the reaction chamber. In order to form a film on the semiconductor substrate, the surface of the ceramic heater supporting the substrate is set at a predetermined temperature range for film formation. When the semiconductor substrate on which film formation is complete is conveyed out of the reaction chamber, the automatic cleaning sequence follows immediately. That is, parts in the reaction chamber, especially a ceramic heater which holds directly and heats a semiconductor substrate, is in a state so-called “film-forming temperature” at which high temperature it is exposed to the environment of automatic cleaning. However, the above conventional cleaning treatment causes problems as described below.
  • SUMMARY OF THE INVENTION
  • In order to form a film on the semiconductor substrate, the surface of the ceramic heater supporting the substrate is set at a predetermined temperature range of 470-600° C. In the temperature environment in excess of 470° C., even aluminum nitride reacts with the fluorine active species to generate aluminum fluoride, which in turn emits the aluminum fluoride generated to the reaction chamber. When the surface temperature of the heater exceeds 500° C., generation and emission of aluminum fluoride causes extensive damage to the reaction chamber. The temperature of the surface of a showerhead opposed to the ceramic heater supporting the semiconductor substrate is 100-250° C. which is lower than that of the ceramic heater. Aluminum fluoride emitted from the ceramic heater adheres to the showerhead of relatively lower temperature, and accumulates due to the reaction with fluorine active species during the automatic cleaning sequence. The aluminum fluoride once stuck to the showerhead cannot be removed except for wiping off with the reaction chamber open.
  • An objective of the present invention is to provide an automatic cleaning method which does not produce aluminum fluoride on a showerhead.
  • Another objective of the present invention is to realize forming a film with good reproducibility and without foreign contamination (particles) by an automatic cleaning sequence.
  • Yet another objective of the present invention is to provide a thin-film forming apparatus which reduces non-working hours for cleaning and enhances productivity by use of an automatic cleaning sequence.
  • Namely, an embodiment of the present invention A thin-film forming apparatus comprising: (a) a reaction chamber for forming a thin film on a workpiece placed on a susceptor provided in the reaction chamber, which susceptor is provided with a heater for heating the workpiece, which reaction chamber is provided with a conveyer for loading and unloading the workpiece into and from the reaction chamber; and (b) a cleaning device for cleaning unwanted deposits adhering to the inside of the reaction chamber at predetermined intervals, which cleaning device comprises: (i) a cleaning gas controller for introducing a cleaning gas into the reaction chamber and evacuating the reaction chamber after the cleaning treatment; (ii) a cleaning gas activator for activating the cleaning gas in radical form; and (iii) a temperature and timing controller programmed to reduce the temperature of the susceptor at a predetermined rate for cleaning after completion of film formation and then to actuate the cleaning gas controller and the cleaning gas activator.
  • In the above, the temperature of the susceptor for cleaning is preferably 500° C. or less, more preferably 470° C. or less, while the temperature of the susceptor for film formation may be higher than 500° C. In an embodiment, the cleaning gas includes fluorine, and the activated cleaning gas includes fluorine radicals. Among others, the cleaning gas can be activated using a plasma discharge region generated in the reaction chamber or using a remote plasma discharge chamber prior to introduction of the cleaning gas into the reaction chamber. Further, the cleaning gas controller can introduce the cleaning gas through a showerhead disposed above the susceptor in the reaction chamber.
  • In an embodiment, the unwanted deposits include silicon nitride, SiO, SiON, SiOF, SiC, and hydrocarbon. The apparatus includes a plasma CVD apparatus and a thermal CVD apparatus.
  • The present invention can also apply to a method for cleaning unwanted deposits adhering to the inside of a reaction chamber for forming a thin film on a workpiece placed on a susceptor provided in the reaction chamber, said susceptor being provided with a heater for heating the workpiece, said reaction chamber being provided with a conveyer for loading and unloading the workpiece into and from the reaction chamber, said method comprising: (a) reducing the temperature of the susceptor at a predetermined rate for cleaning after completion of film formation; (b) contacting the inside of the reaction chamber with an activated cleaning gas; and (c) cleaning the unwanted deposits by the activated cleaning gas.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows one example of a thin film-forming and processing apparatus according to the present invention.
  • FIG. 2 illustrates a thin film-forming and processing apparatus having a remote plasma discharge chamber.
  • FIG. 3 illustrates the measurement results of the thickness of formed silicon nitride films.
  • FIG. 4 illustrates the distribution lines of the thickness of formed silicon nitride films on one semiconductor substrate 9.
  • FIG. 5 illustrates the measurement results of particles on the surface of semiconductor substrates after the formation of silicon nitride films.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Applicable Thin-Film Forming Apparatus
  • When a conventional silicon nitride film formed by plasma CVD in the semiconductor device is used, a problem arises. That is, after forming a silicon nitride type of film having a high hydrogen concentration which has been used as a final protection film in the past, when forming a SiOF film formed by relatively high temperature plasma CVD on the silicon nitride type of film, hydrogen in the SiOF film is dissociated during formation and coherence of the film is reduced, causing separation of the film. The reason is that the film-forming temperature is 470° C., which is relatively high. When separation of the film has occurred, yield of the workpiece will, as a whole, drop because a semiconductor device including, separated portions causes short circuits in distribution lines and poor conduction.
  • A silicon nitride type of film formed by thermal CVD over 700° C. without plasma may not be used as an inter-layers insulating film, because the film-forming temperature is too high after formation of Al or Cu. Since almost no hydrogen is included in the film, the silicon nitride type of film has been used as an etching stopping layer for a first between-layers insulating film on the semiconductor device to which a thermal step is applied later.
  • However, because semiconductor devices have become highly minute in recent years, accordingly, gate length has become smaller and it is now below 0.18 82 m. Therefore it is difficult to prevent formation and diffusion of impurity layers due to the thermal load when in high temperature thermal steps of over 700° C. In the case of insufficient control of diffused layers, reliance on semiconductor devices will be reduced since there is produced dispersion in operating voltage. Thus, desired is a film-forming method requiring a low thermal load for semiconductor devices which enables film-forming at a lower temperature than that of high temperature thermal CVD, the film being a silicon nitride type of film having a low density of hydrogen.
  • To this end, the inventors have invented a method of forming a silicon nitride film using plasma CVD at a relatively higher temperature which is described in Japanese Patent Application No. 11-243914. By raising a semiconductor substrate temperature of approximately 400° C. in the past to a range of 430-600° C., a silicon nitride film having a low density of hydrogen can be formed. The semiconductor substrate temperature is preferably over 470° C. and more preferably over 550-600° C.
  • To set a semiconductor substrate temperature at 470-600° C., the surface of a heater (which supports the semiconductor substrate) for heating the substrate requires raising the temperature to 500-650° C., which is beyond the heat resistance of a metallic heater where a sheath heater is buried in conventional aluminum alloy. Accordingly, a ceramic heater is used so that high temperatures can be attained. As a ceramic material, aluminum nitride with high heat conductivity may generally be used. A ceramic heater comprising an aluminum nitride disk or plate has a resistance heater and a metal for a plasma-discharge ground electrode embedded by integral sintering, which allows the semiconductor substrate to be heated to approximately 650° C.
  • Analysis of Conventional Cleaning System
  • When the substrate is heated to over 470° C. using the ceramic heater and film-forming on the semiconductor substrate is executed, unwanted deposits adhere to the inside of the reaction chamber. Since the attached unwanted deposits are a source of particles and contamination, they are removed by executing the automatic cleaning sequence as previously mentioned. In the process of forming a silicon nitride film, gas including fluorine is used for automatic cleaning. At the plasma discharge region in the reaction chamber or at the excitation chamber remote from the reaction chamber, a fluorine active species is generated which is used to remove the unwanted deposits in the reaction chamber
  • In order to form a film on the semiconductor substrate, the surface of the ceramic heater supporting the substrate is set at a predetermined temperature range of 470-600° C. When the semiconductor substrate on which film formation is complete is conveyed out of the reaction chamber, the automatic cleaning sequence follows immediately. That is, one of the parts in the reaction chamber, especially a ceramic heater which holds directly and heats a semiconductor substrate, is in a state so-called “film-forming temperature” at which high temperature it is exposed to the environment of automatic cleaning. In the temperature environment in excess of 470° C., even aluminum nitride reacts with the fluorine active species to generate aluminum fluoride, which in turn emits the aluminum fluoride generated to the reaction chamber.
  • When the surface temperature of the heater exceeds 500° C., generation and emission of aluminum fluoride causes extensive damage to the reaction chamber. The temperature of the surface of a showerhead opposed to the ceramic heater supporting the semiconductor substrate is 100-250° C. which is lower than that of the ceramic heater. Aluminum fluoride emitted from the ceramic heater adheres to the showerhead of relatively lower temperature, and accumulates due to the reaction with fluorine active species during the automatic cleaning sequence. The aluminum fluoride once stuck to the showerhead cannot be removed except for wiping off with the reaction chamber open.
  • The accretion of aluminum fluoride to the surface of the showerhead causes two substantial problems. One problem is that growth speed of a film to be formed on the semiconductor substrate varies. When non-conductive aluminum fluoride adheres to the surface of the showerhead, the non-conductive aluminum fluoride functions as a newly formed electric insulator formed on the surface of the showerhead, thereby reducing a film-forming speed. The difference in accretion of aluminum fluoride (thickness) at the surface of the single showerhead produces abnormal distribution lines in formed film thickness on the surface of a semiconductor substrate. The degree of variability of no more than ±3% is required regarding film thickness (value defined by subtracting the minimum thickness from the maximum thickness and dividing it by 2 times the average).
  • Differences in film thickness formed on the semiconductor substrate leads to non-uniformity of semiconductor device functions of the semiconductor substrate. In the case where film thickness cannot be attained as designed, the semiconductor devices do not function properly and are judged to be inferior. Attachment of aluminum fluoride to the entire surface of the showerhead gives rise to insufficient thickness of the entire film on the semiconductor substrate. Repeated film formation on the semiconductor substrate gradually reduces film thickness which should otherwise increase. According to present technological standards, reproducibility of film thickness on the semiconductor substrate should be less than approximately ±3%. When film thickness grown on the semiconductor substrate varies beyond this value, all the semiconductor devices on the semiconductor substrate are inferior.
  • The second problem is contamination of the semiconductor substrate by particles. If aluminum fluoride accretes to and accumulates on the surface of the showerhead, particles of the aluminum fluoride will slough off the surface and adhere to the surface of the semiconductor substrate. The aluminum fluoride deposited on the semiconductor substrate cause contamination or defect at the bottom, middle or surface of a film to be formed on the semiconductor substrate, which causes malfunctions of the semiconductor device. Generally speaking, the number of particles attached on the semiconductor substrate should not exceed 20 with a diameter of over 0.2 μm.
  • In addition, in order to eliminate problems caused by aluminum fluoride, as mentioned before, there is no other way than to physically remove aluminum fluoride accreted to the surface of the showerhead; film-forming and processing is interrupted, the reaction chamber is opened, and wipe-off cleaning must be done manually. Because the wipe-off cleaning is performed while film-forming and processing by a thin-film forming apparatus are stopped, operating efficiency and productivity of the apparatus are remarkably decreased.
  • Film-Formation and Processing Prior to Cleaning
  • In an embodiment, there is provided a first semiconductor substrate as a workpiece for film-forming and processing, on a heater with a surface temperature of over 500° C. placed in a reaction chamber of a thin-film forming apparatus. Reaction gas is furnished from a showerhead to which is applied radiofrequency energy of 13.56 MHz. At a plasma discharge region generated between the showerhead and the heater supporting the semiconductor substrate, the reaction gas is dissolved and a thin film is formed on the semiconductor substrate. The first semiconductor substrate, on which film formation is complete, is removed from the heater and conveyed out of the reaction chamber. A second semiconductor substrate for film-forming and processing is carried into the reaction chamber and is placed on the heater. The same film-forming and processing steps are conducted as with the first semiconductor substrate. The second semiconductor substrate is removed from the reaction chamber after film-forming and processing is complete.
  • When conducting a series of film-forming and processing processes (film sequence) of #1 to #n semiconductor substrates, after removing the #n semiconductor substrate from the reaction chamber, a cleaning sequence follows which cleans unwanted deposits adhering to the inside of the reaction chamber.
  • Subsequent Cleaning Sequence
  • The cleaning sequence includes a cooling step which lowers the temperature of the heater supporting and heating the semiconductor substrate. When the #n semiconductor substrate is removed from the reaction chamber and automatic cleaning for the reaction chamber gets ready to operate, nitrogen gas is introduced into the reaction chamber to obtain an arbitrary pressure and the temperature of the ceramic heater is lowered at a rate not exceeding 20° C. per minute.
  • When the surface temperature of the ceramic heater is below 500° C., cleaning gas is injected into the reaction chamber to conduct automatic cleaning in the reaction chamber and unwanted deposits adhering to the inside of the reaction chamber will be gasified and exhausted. The cleaning step which removes the products from the reaction chamber can be performed substantially in the following way, although the step should not be limited thereto:
  • In-Situ Plasma Cleaning
  • In an embodiment, a mixture gas of C3F8 gas and oxygen gas controlled at a predetermined flow is introduced, as a cleaning gas, into the reaction chamber from the shower head. Maintaining the inside of the reaction chamber at a predetermined pressure, radiofrequency energy of 13.56 MHz, for example, is applied to the showerhead to form a plasma discharge region between the showerhead and the ceramic heater. The cleaning gas introduced from the showerhead is activated by the plasma discharge to become a fluorine-containing active species which reacts with the unwanted deposits adhering to the inside of the reaction chamber and transforming into gas material to be evacuated from the reaction chamber by an evacuation pump. During the cleaning step, the surface temperature of the ceramic heater is kept at below 500° C.
  • The cleaning step is terminated when radiofrequency energy applied to the showerhead and C3F8 gas is terminated. The oxygen gas supplied to the reaction chamber as part of the cleaning gas is injected into the reaction chamber after the completion of the cleaning step. The fluorine active species produced during the cleaning step and the reaction products produced by the reaction between the fluorine active species and the unwanted deposits in the reaction chamber are purged from the reaction chamber. After evacuating the reaction chamber, a heating step follows that raises the temperature of the ceramic heater to the temperature for film-forming and processing of the semiconductor substrate. When the ceramic heater temperature reaches a predetermined temperature, the automatic cleaning sequence is complete. When the automatic cleaning sequence is finished, the #(n+1) semiconductor substrate is brought in the reaction chamber to be placed on the ceramic heater. Then the aforementioned thin film-forming and processing of the semiconductor substrate (film-forming sequence) is conducted.
  • Remote Plasma Cleaning
  • The aforementioned cleaning step can be done in another way including the following: The cleaning gas is activated in a remote plasma discharge chamber remote from the reaction chamber where thin film formation on the semiconductor substrate is performed. The activated cleaning gas is introduced via a duct into the reaction chamber where thin-film forming and processing to the semiconductor substrate is executed. The activated cleaning gas, introduced into the reaction chamber, transforms the unwanted deposits adhering to the inside of the reaction chamber into gaseous material which is expelled from the reaction chamber.
  • When thin film-forming and processing of a predetermined number of semiconductor substrates is complete and when the automatic cleaning sequence in the reaction chamber is complete, the cooling step is conducted which reduces the temperature of the heater supporting the semiconductor substrate to below 500° C. When the cooling step is complete, argon gas controlled at a predetermined flow rate is furnished in the remote plasma discharge chamber. After radiofrequency discharge of 400 kHz is generated in the remote plasma discharge chamber, a cleaning gas including fluorine such as NF3 controlled at a predetermined flow rate is introduced to generate a fluorine active species. The fluorine active species is introduced into the reaction chamber via the remote plasma discharge chamber and the duct which connects the reaction chamber for film formation. The inside of the reaction chamber is cleaned by the fluorine active species, the heating step is performed as previously described wherein the heater temperature in the reaction chamber is raised to the thin film formation temperature.
  • The first automatic cleaning sequence mentioned is called in-situ plasma cleaning, in which, to activate cleaning gas, a discharge electrode in the reaction chamber used at the time of film formation and a radiofrequency energy supply are employed. The second automatic cleaning sequence mentioned is called remote plasma cleaning, in which, to activate cleaning gas, the remote plasma discharge chamber placed away from the reaction chamber is used, but not the radiofrequency energy supply for film formation.
  • EXAMPLE 1
  • Structures of Apparatus (In-Situ Plasma Cleaning)
  • FIG. 1 shows one example of a thin film-forming and processing apparatus 1 according to the present invention, in which a parallel plate plasma CVD apparatus and an in-situ plasma cleaning apparatus for automatic cleaning are used.
  • A workpiece 9 to be processed such as a semiconductor substrate is placed on a ceramic heater 3 to hold the workpiece in a reaction chamber 2. Opposed to the heater 3 is placed a showerhead 4 which provides uniform reaction gas on the workpiece 9. Reaction gas for forming a film on the surface of the workpiece 9 is controlled at a predetermined flow by a mass flow controller (not shown), and enters a duct 5 to a duct 11 through a valve 6. After passing through an aperture 7 of the reaction chamber 2, the gas is provided within the reaction chamber 2 through thousands of tiny holes, with a diameter of less than 1 mm, of the showerhead 4.
  • To evacuate the reaction chamber 2, an evacuation pump (not shown) is connected to an aperture 20 of the reaction chamber 2 via a duct 17 and a conductance controlling valve 21. The heater 3 for holding the workpiece 9 includes a aluminum nitride plate 13 with a resistance heating element 26 embedded, and is connected to the reaction chamber 2 by a shaft 29.
  • Film-Formation Process
  • A method of forming a film on the workpiece 9 will be explained below. When a silicon nitride film is formed on the workpiece 9, gases of SiH4, NH3 and N2, or SiH4 and NH3, or SiH4 and N2 are used as a reaction gas, and radiofrequency energy of 13.56 MHz, or power of a mixture of 13.56 MHz and 430 kHz is applied to the showerhead 4. The workpiece 9 receives heat from the heater with 600° C. to have a temperature in the range of approximately 530° C.-550° C. Reaction gases such as SiH4 and N2, etc. are introduced into the duct 11 through the valve 6 from the duct 5, and are uniformly supplied to the inside of the reaction chamber 2 through the shower head 4 from the aperture 7 of the duct 11. While introducing the reaction gases into the reaction chamber 2 at a predetermined flow rate, the pressure of the reaction chamber 2 is adjusted in a range of 1 Torr to 8 Torr in response to a signal at a pressure meter 28 a via the opening of a conductance controlling valve 21 controlled by a pressure controller 28.
  • As mentioned above, while maintaining the temperature, gas flow and pressure controlled at predetermined values, a predetermined radiofrequency energy is applied between the electrodes to generate plasma, which in turn forms a silicon nitride film on the workpiece 9. By controlling the duration of time that the radiofrequency energy is applied, a silicon nitride film with a necessary thickness may be obtained. After completing formation of the film on the workpiece 9, the workpiece 9 is conveyed outside of the reaction chamber 2 by an automatic conveyer (not shown) when a gate valve 18 of a reaction chamber opening 19 is opened.
  • In-Situ Plasma Cleaning Sequence
  • The unwanted deposits adhering to the inside of the reaction chamber 2 in forming the film on the workpiece are removed in an automatic cleaning sequence. The automatic cleaning sequence comprises the steps of: cooling, which cools the temperature of the heater 3 supporting the workpiece 9 to below 500° C.; cleaning, which cleans the inside of the reaction chamber 2; and heating, which raises the temperature of the heater 3 to 600° C. for film formation.
  • In the cooling step, introducing nitrogen gas at 2 liters per minute (2 slm) in the reaction chamber 2, the pressure can be within a range of 0.5-9 Torr, and the temperature of the heater 3 can be lowered at a rate of not over 20° C. per minute. The flow of nitrogen gas is not limited to 2 liters per minute. The gas to be introduced is not limited to nitrogen; instead, inert gas, such as helium, argon, etc. which do not damage the ceramic heater 3, the reaction chamber 2, and the articles inside, may be used. The temperature lowering rate of the heater 3 is not limited to under 20° C. per minute; any rate which does not cause damage to the heater 3 may be used. When the temperature of the heater 3 is below 500° C., the cooling step is complete. Preferably, it should be below 450° C. The temperature of the heater 3 is defined by that on the surface of the ceramic heater which touches the back side of the semiconductor substrate 9.
  • The cleaning step is conducted in the following way. A mixture of C3F8 with 300 sccm and oxygen with 700 sccm is introduced as a cleaning gas to the inside of the reaction chamber 2 from the showerhead 4. With the pressure in the reaction chamber 2 within a range of 2-5 Torr, 13.56 MHz of radiofrequency energy of 500 w-2,000 w is applied to the showerhead 4 to cause a plasma discharge region between the showerhead 4 and the ceramic heater 3. The cleaning gas is activated by the plasma, which generates a fluorine-containing active species. The fluorine active species reacts with the unwanted deposits adhering to the inside of the reaction chamber 2 and eventually convert them into a gas. The gas is evacuated from the reaction chamber 2 through the aperture 20 by an evacuation pump (not shown). The temperature of the ceramic heater 3 during the cleaning steps is kept below 500° C.
  • Upon completion of the cleaning of the reaction chamber 2, the supply of radiofrequency energy to the showerhead 4 is stopped and the supply of C3F8 gas to the reaction chamber 2 is stopped. The inside of the reaction chamber 2 is purged by oxygen gas. By stopping the supply of oxygen gas and instead supplying nitrogen gas, the reaction chamber 2 may be purged.
  • A subsequent heating step raises the temperature of the heater 3 to the film formation temperature. Nitrogen gas is supplied to the reaction chamber 2 through the showerhead 4 at a rate of 2 liters per minute (2 slm), which holds the pressure of the reaction chamber 2 in a range of 0.5-9 Torr. and raises the temperature of the heater 3 at a rate not exceeding 20° C. per minute. Gas supplied to the reaction chamber 2 is not limited to nitrogen gas; any gas is usable as long as it does not damage parts including the heater 3 in the reaction chamber 2. The flow of gas including nitrogen gas is not limited to 2 liters per minute (2 slm); any flow may be acceptable which can keep the pressure of the reaction chamber 2.
  • In addition, the rate of the increase in temperature of the heater 3 is not limited to under 20° C. per minute; the temperature of the heater 3 can be raised at a rate which does not damage the heater 3. When the temperature of the heater 3 has reached the film-forming temperature, the heating step is complete. Completion of the heating step completes the automatic cleaning sequence. The next workpiece is brought in, and the thin-film forming process is conducted.
  • In the cooling and heating steps for changing the temperature of the heater 3, the rate of change is not limited to under 20° C. per minute. As long as the heater 3 is not damaged by the temperature change, the faster the temperature change, the shorter the automatic cleaning sequence, which enhances productivity of the thin-film forming apparatus.
  • EXAMPLE 2
  • Structures of Apparatus (Remote Plasma Cleaning)
  • FIG. 2 illustrates an example of a thin film-forming and processing apparatus 30 having a remote plasma discharge chamber, and is the same as a thin film-forming and processing apparatus of FIG. 1 except for the structure by which unwanted deposits adhering to the inside of the reaction chamber 2 are automatically removed.
  • In the upper portion of the reaction chamber 2 are provided a duct 5 and a valve 6 which supply reaction gas to the reaction chamber 2 for thin film-forming and processing. The reaction gas is uniformly supplied onto the surface of a workpiece 9 through a showerhead 4 having thousands of fine holes with a diameter of less than 1 mm via a duct 14 and an opening 32 of the reaction chamber 2. When conducting thin film-forming and processing of the workpiece 9, a valve 15 is closed which valve is provided on the duct 14 connecting a remote plasma discharge chamber 16 to the reaction chamber 2.
  • Remote Plasma Cleaning Sequence
  • After at least one of the thin film-forming and processing steps to the workpiece 9 in the reaction chamber 2 is complete, an automatic cleaning sequence is done which cleans unwanted deposits deposited on the inside of the reaction chamber 2. The automatic cleaning sequence is, as mentioned before, comprised of three steps of: cooling, cleaning and heating up. The cooling step supplies nitrogen gas at 2 liters per minute to the reaction chamber 2 from the duct 5 via the valve 6, junction 31, duct 14, opening 32 and showerhead 4, and lowers the temperature of the heater 3 at a rate not exceeding 20° C. per minute, keeping the pressure of the reaction chamber 2 within a range of 0.5-9 Torr.
  • Subsequently performed is the cleaning step which removes the unwanted deposits deposited on the inside of the reaction chamber 2. The step is done in the following way. The supply of nitrogen gas, which is complete during the cooling down step, is suspended, and after the reaction chamber is evacuated, argon gas is injected from the duct 22 into the remote plasma discharge chamber 16 at a flow of 3 liters per minute (3 slm). At that time, with the valve 15 open and the valve 6 closed, argon gas brought in from the duct 22 is conveyed to the reaction chamber 2 through the remote plasma discharge chamber 16.
  • Holding the pressure of the reaction chamber 2 in a range of 0.05-8 Torr, radiofrequency discharge of 400 kHz is generated in the remote plasma discharge chamber 16. The flow of argon is gradually changed to 2 liters per minute, and NF3 gas is injected from the duct 22, which is gradually raised from zero to 1 slm by a mass flow controller (not shown). The gas supplied to the remote plasma discharge chamber 16 is changed simply by gradually increasing an amount of NF3. The gas (cleaning gas) supplied to the remote plasma discharge chamber 16 in a stable state consists of 2 liters of argon per minute and 1 liter of NF3 per minute. Radiofrequency discharge plasma generated in the remote plasma discharge chamber 16 produces a fluorine active species. The cleaning gas including the fluorine active species is injected to the showerhead 4 from the upper opening 32 of the reaction chamber 2 through the valve 15 and duct 14. The cleaning gas, which is introduced into the reaction chamber 2 through thousands of small holes provided on the shower head 4, transforms into gas the unwanted deposits deposited on the inside of the reaction chamber 2 and evacuates them from the reaction chamber 2. During the cleaning step, the temperature of the heater 3 should be held at least below 500° C.
  • The flow rate of the cleaning gas injected into the remote plasma discharge chamber 16 during the cleaning step is not limited to the previously described flow rate, and may be selected from the range of 100 sccm to 5 slm regarding NF3 and from the range of 100 sccm to 5 slm regarding argon. As cleaning gas, instead of argon gas, rare gas such as helium may be used. Instead of NF3, CF gas such as C3F8 may be used. At that time, in addition to, or instead of, argon gas, oxygen gas can be mixed.
  • During the cleaning step, the temperature of the heater is kept below 500° C., preferably below 470° C., and more preferably below 450° C. Power frequency supplied to the remote plasma discharge chamber 16 is not limited to 400 kHz, and may be selected from 300-500 kHz. The value of the power must be one by which a predetermined fluorine active species can be obtained. In the embodiment of the invention, power of 2,000-5,000 W at 400 kHz is used to generate radiofrequency discharge plasma in the remote plasma discharge chamber 16.
  • After the unwanted deposits adhering to the inside of the reaction chamber 2 are completely removed from the inside of the reaction chamber 2 by the cleaning gas with the fluorine active species, the supply of NF3 gas injected from the duct 22 is suspended and the radiofrequency discharge in the remote plasma discharge chamber 16 is stopped. Even after the suspension of the supply of NF3 gas and the radiofrequency discharge, argon gas is furnished to the reaction chamber 2 from the duct 22 via the remote plasma discharge chamber 16, which purges the cleaning gas with the fluorine active species remaining in the reaction chamber 2. The heating step begins, which commences purging in the reaction chamber 2 by argon gas and raises the temperature of the heater 3 to the film-forming temperature. The heat-up step is executed the same way as the aforementioned cooling step except for raising the temperature of the heater 3 at a speed of less than 20° C. per minute. When the temperature of the heater 3 reaches the temperature (the film-forming temperature) at which thin film-forming and processing of the workpiece 9 is conducted, the heating step is complete.
  • While cooling and heating are being conducted, introduction of nitrogen gas into the reaction chamber 2 may also be performed in the following alternative way. A predetermined flow of nitrogen gas is furnished to the duct 22 and injected to the reaction chamber 2 via the remote plasma discharge chamber 16, valve 15, and duct 14. At this time, the valve 6 is closed and plasma discharge in the remote plasma discharge chamber 16 is not performed. Via the remote plasma discharge chamber 16 from the duct 22, injection of argon gas into the reaction chamber 2, and the cooling and heating steps can also be executed.
  • Cleaning Results
  • Embodiments in accordance with the invention will be explained. The result when a silicon nitride film was formed on the semiconductor at the film-forming temperature 600° C. will be described below. The apparatus used was the thin film-forming and processing apparatus 30 in FIG. 2. The first semiconductor substrate 9, the workpiece, comprising aluminum nitride substrate, was placed on the ceramic heater 3. The ceramic heater 3 was held at 600° C. because of the resistance heater 26 embedded, which heated the first semiconductor substrate 9 supported on the ceramic heater 3 to 550° C. from approximately 540° C. SiH4 gas and nitrogen were mixed and introduced from the duct 5. The mixed gas was uniformly distributed, by the showerhead 4, on the surface of the first semiconductor substrate 9 placed on the heater 3 in the reaction chamber 2.
  • 400 W radiofrequency energy of 13.56 MHz were applied to the showerhead 4, and a plasma region was formed between the showerhead 4 and the heater supporting the first semiconductor substrate 9. A silicon nitride film of 100 nm was then formed on the surface of the first semiconductor substrate 9. After opening the gate 18 and removing the first semiconductor substrate 9, on which a silicon nitride film was formed, through the aperture 19 of the reaction chamber 2, a second semiconductor substrate 9 was introduced into the reaction chamber 2 by the same route as above and was placed on the heater 3 to form a silicon nitride film.
  • As with the previous film-formation and processing of the silicon nitride film, the silicon nitride film is formed on the second semiconductor substrate 9. In this way, the film-formation and processing of the silicon nitride film was consecutively performed on 25 substrates. After the 25th substrate that had completed the film-formation and processing was removed from the reaction chamber 2, the automatic cleaning sequence was executed that cleans the unwanted deposits adhering to the inside of the reaction chamber 2.
  • In the cooling step, the temperature of the heater 3 was lowered to 470° C. Argon gas was furnished to the remote plasma discharge chamber 16 at 3 slm from the duct 22 to set the pressure in the reaction chamber 2 at 1-1.5 Torr by opening the valve 15. After a radiofrequency discharge at 400 kHz was generated in the remote plasma discharge chamber 16, the flow of argon was gradually reduced to 2 slm, and a gradually increasing amount of NF3 gas was injected from the duct 22 till it reached 1 slm. When NF3 gas introduced from the duct 22 reached the remote plasma discharge chamber 16, a fluorine active species was generated. The fluorine active species generated in the remote plasma discharge chamber 16 was, with other gas, introduced into the reaction chamber 2 from the showerhead 4 via the valve 15, duct 14 and aperture 32. The unwanted deposits adhering to the inside of the reaction chamber 2 were cleaned by the fluorine active species.
  • The cleaning step by the fluorine active species corresponded to the aforementioned cleaning step, and it lasted approximately 70 seconds. After the cleaning of the reaction chamber 2 was complete, radiofrequency discharge in the remote plasma discharge chamber 16 and the supply of NF3 were both suspended. The supply of argon gas from the duct 22 was then stopped, the valve 15 was closed, and nitrogen gas was provided from the duct 5. Upon this step, the heating step was conducted, raising the temperature up to 600° C.
  • Conducting the silicon nitride film formation and processing in sets of 25 consecutive semiconductor substrates and then conducting the automatic cleaning sequence once, the silicon nitride film formation and processing of 2,000 semiconductor substrates was conducted.
  • FIG. 3 illustrates the measurement results of the thickness of formed silicon nitride films (reproducibility of film thickness). Dispersion of the thickness of grown silicon nitride films (reproducibility of film thickness) is ±2.1% (1σ=0.92%), which is a good result.
  • FIG. 4 illustrates the distribution lines of the thickness of formed silicon nitride films on one semiconductor substrate 9 (uniformity of film thickness). The distribution lines of the thickness are ±2.0% on the average for the 2,000 consecutively formed films, which are good and stable.
  • FIG. 5 illustrates the measurement results of particles on the surface of semiconductor substrates after the formation of silicon nitride films. The highest detected number of particles is 9 and the average value per semiconductor substrate is 3.3, which shows that the surface of semiconductor substrates is very clean.
  • Other Aspects and Effects
  • The present invention is directed to an automatic cleaning method and apparatus therefor that can clean the unwanted deposits adhering to the inside of a semiconductor substrate process apparatus, and is not limited to a film-forming method which forms films on semiconductor substrates. According to the plasma CVD method, an explanation is made based on the formation of silicon nitride films. However, the invention is not restricted to the formation of silicon nitride films, and may be used for the formation of inorganic films of SiO, SiON and SiOF, organic films of fluorination hydrocarbon polymer, and CVD films; methylsilane, tetrametylsilane, SiO, or SiC films.
  • Moreover, it is clear that an automatic cleaning method in accordance with the invention may be applied to a thermal CVD method which forms a high permitivity film such as a W type metallic thin film or Ta2O5, and may effectively be used to clean the inside of the thin film-forming and processing apparatus.
  • When cleaning unwanted deposits adhering to the inside of the reaction chamber, since the surface temperature of the heater supporting the semiconductor substrate is, in an embodiment, lowered below 500° C, the adherence of aluminum fluoride to the shower head surface can be prevented. Since there is no adherence of aluminum fluoride to the showerhead surface, thin-film forming and processing of the semiconductor substrate can be performed with constant quality of the films formed.
  • Since aluminum fluoride is not accreted to the shower head surface in an embodiment, particles are not generated from the accreted aluminum fluoride, and the semiconductor substrate can be free of contaminants. Since the unwanted deposits adhering to the inside of the reaction chamber can be removed by automatic cleaning sequence, no particle contamination may be generated on the semiconductor. In addition, since aluminum fluoride is not generated, in-operation time of the cleaning apparatus can be reduced, which can provide a thin-film formation apparatus with high productivity.
  • It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention.

Claims (21)

1. A method of continuously depositing films on substrates, comprising:
(i) depositing a film on a substrate placed on an aluminum nitride susceptor provided in a reaction chamber by CVD using a gas introduced into the reaction chamber through a showerhead provided in the reaction chamber, said susceptor having a temperature of 500-650° C.;
(ii) repeating step (i) pre-selected times;
(iii) reducing the temperature of the susceptor to 470° C. or lower for cleaning;
(iv) contacting the inside of the reaction chamber including the showerhead with fluorine radicals at a pressure of 0.5-9 Torr, said showerhead having a temperature of 100-250° C. which is lower than the temperature of the susceptor;
(v) cleaning the unwanted deposits by the fluorine radicals, wherein gaseous aluminum fluoride is inhibited from being emitted from the susceptor and solidified on the showerhead by maintaining the temperature of the susceptor at 470° C. or lower;
(vi) upon completion of the cleaning, raising the temperature of the susceptor to 500-650° C. for film formation; and
(vii) repeating steps (i) through (vi) at least 40 times without interruption.
2. The method according to claim 1, wherein the cleaning is conducted at a temperature of the susceptor of 450° C. or less.
3. The method according to claim 1, wherein the fluorine radicals are produced in a plasma discharge region generated in the reaction chamber.
4. The method according to claim 1, wherein the fluorine radicals are produced in a remote plasma discharge chamber prior to introduction of the cleaning gas into the reaction chamber, said remote plasma discharge chamber being disposed separately from the reaction chamber.
5. The method according to claim 1, wherein the unwanted deposits include at least one of silicon nitride, silicon oxide, SiOF, SiC, SiON, or hydrocarbon.
6. The method according to claim 1, wherein the reaction chamber is for plasma CVD or thermal CVD.
7. The method according to claim 1, wherein steps (iii) and (vi) further comprise introducing an inert gas into the reaction chamber while reducing and raising the temperature of the susceptor.
8. The method according to claim 1, wherein the temperature of the susceptor is changed at a rate of 20° C. or less per minute in steps (iii) and (vi).
9. The method according to claim 1, wherein step (vii) is conducted without raising a non-uniformity of thickness of a film formed in step (a) to more than 3%.
10. The method according to claim 1, wherein step (vii) is conducted at least 80 times without raising a non-uniformity of thickness of a film formed in step (a) to more than 3%.
11. A method for preventing aluminum fluoride from depositing on a showerhead during cleaning of a reaction chamber, comprising:
(a) after forming by CVD a film on a substrate on an aluminum nitride susceptor having a temperature of 500-650° C., reducing the temperature of the susceptor to 470° C. or lower for cleaning;
(b) contacting the inside of the reaction chamber including the showerhead with fluorine radicals at a pressure of 0.5-9 Torr, said showerhead having a temperature of 100-250° C. which is lower than the temperature of the susceptor;
(c) cleaning unwanted deposits inside the reaction chamber by the fluorine radicals while inhibiting generation and emission of gaseous aluminum fluoride from the susceptor and solidification of the aluminum fluoride on the showerhead by maintaining the temperature of the susceptor at 470° C. or lower; and
(d) upon completion of the cleaning, raising the temperature of the susceptor to 500-650° C. for film formation.
12. The method according to claim 11, wherein the cleaning is conducted at a temperature of the susceptor of 450° C. or less
13. The method according to claim 11, wherein the fluorine radicals are produced in a plasma discharge region generated in the reaction chamber.
14. The method according to claim 11, wherein the fluorine radicals are produced in a remote plasma discharge chamber prior to introduction of the cleaning gas into the reaction chamber, said remote plasma discharge chamber being disposed separately from the reaction chamber.
15. The method according to claim 11, wherein the unwanted deposits include at least one of silicon nitride, silicon oxide, SiOF, SiC, SiON, or hydrocarbon.
16. The method according to claim 11, wherein the reaction chamber is for plasma CVD or thermal CVD.
17. The method according to claim 11, wherein steps (a) and (d) further comprise introducing an inert gas into the reaction chamber while reducing and raising the temperature of the susceptor.
18. The method according to claim 11, wherein the temperature of the susceptor is changed at a rate of 20° C. or less per minute in steps (a) and (d).
19. The method according to claim 11, wherein steps (a) though (d) are repeated without interruption at least 40 times without raising a non-uniformity of thickness of a film formed in step (a) to more than 3%.
20. The method according to claim 11, wherein steps (a) though (d) are repeated without interruption at least 80 times without raising a non-uniformity of thickness of a film formed in step (a) to more than 3%.
21. The method according to claim 11, wherein the susceptor temperature is 550-600° C. in steps (a) and (d).
US11/068,089 2000-02-24 2005-02-28 Thin-film forming apparatus having an automatic cleaning function for cleaning the inside Abandoned US20050139578A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/068,089 US20050139578A1 (en) 2000-02-24 2005-02-28 Thin-film forming apparatus having an automatic cleaning function for cleaning the inside

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51193400A 2000-02-24 2000-02-24
US11/068,089 US20050139578A1 (en) 2000-02-24 2005-02-28 Thin-film forming apparatus having an automatic cleaning function for cleaning the inside

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US51193400A Division 2000-02-24 2000-02-24

Publications (1)

Publication Number Publication Date
US20050139578A1 true US20050139578A1 (en) 2005-06-30

Family

ID=24037033

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/068,089 Abandoned US20050139578A1 (en) 2000-02-24 2005-02-28 Thin-film forming apparatus having an automatic cleaning function for cleaning the inside

Country Status (4)

Country Link
US (1) US20050139578A1 (en)
EP (1) EP1127957A1 (en)
JP (2) JP2001237237A (en)
KR (1) KR20010085502A (en)

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099635A1 (en) * 2001-02-15 2004-05-27 Tokyo Electron Limited Method and apparatus for processing workpiece
US20040144489A1 (en) * 2000-01-18 2004-07-29 Kiyoshi Satoh Semiconductor processing device provided with a remote plasma source for self-cleaning
KR100755116B1 (en) 2006-08-01 2007-09-04 동부일렉트로닉스 주식회사 Method for fabricating pecvd silicon nitride
US20080070017A1 (en) * 2005-02-10 2008-03-20 Naoki Yoshii Layered Thin Film Structure, Layered Thin Film Forming Method, Film Forming System and Storage Medium
US20090149032A1 (en) * 2007-12-05 2009-06-11 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
US20110000883A1 (en) * 2003-04-24 2011-01-06 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US10688538B2 (en) 2016-08-05 2020-06-23 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11260433B2 (en) * 2019-01-18 2022-03-01 Tokyo Electron Limited Cleaning method of substrate processing apparatus and substrate processing apparatus
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20220349051A1 (en) * 2021-04-29 2022-11-03 Asm Ip Holding B.V. Reactor systems and methods for cleaning reactor systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4374156B2 (en) 2000-09-01 2009-12-02 日本碍子株式会社 III-V Group Nitride Film Manufacturing Apparatus and Manufacturing Method
JP4791637B2 (en) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
JP4121269B2 (en) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
DE10255988A1 (en) * 2002-11-30 2004-06-17 Infineon Technologies Ag Process for cleaning a process chamber
TW200537573A (en) * 2004-04-23 2005-11-16 Ulvac Inc Thin-film transistor and production method thereof
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
JP4703230B2 (en) * 2005-03-29 2011-06-15 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
RU2008108013A (en) * 2005-08-02 2009-09-10 Массачусетс Инститьют Оф Текнолоджи (Us) METHOD FOR REMOVING SURFACE DEPOSITS AND PASSIVATING INTERNAL SURFACES OF A CHEMICAL DEPOSITION REACTOR FROM A VAPOR PHASE
US20070028944A1 (en) * 2005-08-02 2007-02-08 Sawin Herbert H Method of using NF3 for removing surface deposits
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11430641B1 (en) * 2021-07-02 2022-08-30 Applied Materials, Inc. Processing systems and methods to control process drift

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4573431A (en) * 1983-11-16 1986-03-04 Btu Engineering Corporation Modular V-CVD diffusion furnace
US4874464A (en) * 1988-03-14 1989-10-17 Epsilon Limited Partnership Process for epitaxial deposition of silicon
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5294262A (en) * 1991-01-31 1994-03-15 Tokyo Electron Limited Method of cleaning a process tube with ClF3 gas and controlling the temperature of process
US5336640A (en) * 1991-01-28 1994-08-09 Kawasaki Steel Corporation Method of manufacturing a semiconductor device having an insulating layer composed of a BPSG film and a plasma-CVD silicon nitride film
US5567243A (en) * 1994-06-03 1996-10-22 Sony Corporation Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5709757A (en) * 1994-08-25 1998-01-20 Tokyo Electron Limited Film forming and dry cleaning apparatus and method
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6125850A (en) * 1996-11-06 2000-10-03 Family Health International Vaginal device
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6218320B1 (en) * 1998-06-30 2001-04-17 United Microelectronics Corp. Method for improving the uniformity of wafer-to-wafer film thickness
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6485603B1 (en) * 1999-07-01 2002-11-26 Applied Materials, Inc. Method and apparatus for conserving energy within a process chamber
US20030029567A1 (en) * 2001-08-08 2003-02-13 Rajinder Dhindsa Dual frequency plasma processor
US20030121698A1 (en) * 2001-12-27 2003-07-03 Nec Corporation Semiconductor device and printed wiring board having electrode pads
US20030180458A1 (en) * 2002-01-17 2003-09-25 Sundew Technologies, Llc ALD apparatus and method
US20050098109A1 (en) * 1999-11-17 2005-05-12 Tokyo Electron Limited Precoat film forming method, idling method of film forming device, loading table structure, film forming device and film forming method

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3194971B2 (en) * 1990-01-08 2001-08-06 エルエスアイ ロジック コーポレーション Apparatus for filtering process gas introduced into a CVD chamber before introduction into the CVD chamber
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4573431A (en) * 1983-11-16 1986-03-04 Btu Engineering Corporation Modular V-CVD diffusion furnace
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4874464A (en) * 1988-03-14 1989-10-17 Epsilon Limited Partnership Process for epitaxial deposition of silicon
US5336640A (en) * 1991-01-28 1994-08-09 Kawasaki Steel Corporation Method of manufacturing a semiconductor device having an insulating layer composed of a BPSG film and a plasma-CVD silicon nitride film
US5294262A (en) * 1991-01-31 1994-03-15 Tokyo Electron Limited Method of cleaning a process tube with ClF3 gas and controlling the temperature of process
US5567243A (en) * 1994-06-03 1996-10-22 Sony Corporation Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5709757A (en) * 1994-08-25 1998-01-20 Tokyo Electron Limited Film forming and dry cleaning apparatus and method
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US6125850A (en) * 1996-11-06 2000-10-03 Family Health International Vaginal device
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6218320B1 (en) * 1998-06-30 2001-04-17 United Microelectronics Corp. Method for improving the uniformity of wafer-to-wafer film thickness
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6485603B1 (en) * 1999-07-01 2002-11-26 Applied Materials, Inc. Method and apparatus for conserving energy within a process chamber
US20050098109A1 (en) * 1999-11-17 2005-05-12 Tokyo Electron Limited Precoat film forming method, idling method of film forming device, loading table structure, film forming device and film forming method
US20030029567A1 (en) * 2001-08-08 2003-02-13 Rajinder Dhindsa Dual frequency plasma processor
US20030121698A1 (en) * 2001-12-27 2003-07-03 Nec Corporation Semiconductor device and printed wiring board having electrode pads
US20030180458A1 (en) * 2002-01-17 2003-09-25 Sundew Technologies, Llc ALD apparatus and method

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144489A1 (en) * 2000-01-18 2004-07-29 Kiyoshi Satoh Semiconductor processing device provided with a remote plasma source for self-cleaning
US20070227554A1 (en) * 2000-01-18 2007-10-04 Asm Japan K.K. Semiconductor processing with a remote plasma source for self-cleaning
US20100015812A1 (en) * 2001-02-15 2010-01-21 Tokyo Electron Limited Method and apparatus for processing workpiece
US20040099635A1 (en) * 2001-02-15 2004-05-27 Tokyo Electron Limited Method and apparatus for processing workpiece
US8287967B2 (en) 2001-02-15 2012-10-16 Tokyo Electron Limited Method and apparatus for processing workpiece
US7615259B2 (en) * 2001-02-15 2009-11-10 Tokyo Electron Limited Method and apparatus for processing workpiece
US8124539B2 (en) 2003-04-24 2012-02-28 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20110000883A1 (en) * 2003-04-24 2011-01-06 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20080070017A1 (en) * 2005-02-10 2008-03-20 Naoki Yoshii Layered Thin Film Structure, Layered Thin Film Forming Method, Film Forming System and Storage Medium
KR100755116B1 (en) 2006-08-01 2007-09-04 동부일렉트로닉스 주식회사 Method for fabricating pecvd silicon nitride
US20090170328A1 (en) * 2007-12-05 2009-07-02 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing method
US20090149032A1 (en) * 2007-12-05 2009-06-11 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
US9856560B2 (en) * 2007-12-05 2018-01-02 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
WO2011031521A3 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9932670B2 (en) 2009-08-27 2018-04-03 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10688538B2 (en) 2016-08-05 2020-06-23 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11260433B2 (en) * 2019-01-18 2022-03-01 Tokyo Electron Limited Cleaning method of substrate processing apparatus and substrate processing apparatus
US20220349051A1 (en) * 2021-04-29 2022-11-03 Asm Ip Holding B.V. Reactor systems and methods for cleaning reactor systems

Also Published As

Publication number Publication date
KR20010085502A (en) 2001-09-07
JP2004228591A (en) 2004-08-12
EP1127957A1 (en) 2001-08-29
JP3971398B2 (en) 2007-09-05
JP2001237237A (en) 2001-08-31

Similar Documents

Publication Publication Date Title
US20050139578A1 (en) Thin-film forming apparatus having an automatic cleaning function for cleaning the inside
US7226869B2 (en) Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
EP1827871B1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
KR101043216B1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US5207836A (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
EP0630990B1 (en) Method of limiting sticking of body to a susceptor in a deposition treatment
US6843858B2 (en) Method of cleaning a semiconductor processing chamber
JP3072989B1 (en) Film forming method in a film forming apparatus for forming a thin film on a semiconductor substrate
KR101127294B1 (en) Cleaning of native oxide with hydrogen-containing radicals
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
JP3571404B2 (en) Plasma CVD apparatus and in-situ cleaning post-processing method
EP0392134A2 (en) Process for treatment of backside of semiconductor wafer
JP3590416B2 (en) Thin film forming method and thin film forming apparatus
JP2006128485A (en) Semiconductor processing apparatus
US8084088B2 (en) Method of improving the wafer-to-wafer thickness uniformity of silicon nitride layers
EP0464696A1 (en) Two-step reactor chamber self cleaning process
JP4716566B2 (en) Plasma processing chamber for reducing copper oxide on a substrate and method thereof
EP0418592A1 (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JP4656364B2 (en) Plasma processing method
JP3024940B2 (en) Substrate processing method and CVD processing method
US6070599A (en) Non-plasma halogenated gas flow to prevent metal residues
KR20010104260A (en) Gas reactions to eliminate contaminates in a cvd chamber
KR101326106B1 (en) Extending method of cleaning period for thin film deposition apparatus
JP4570186B2 (en) Plasma cleaning method
KR20210153379A (en) Methods of forming seasoning thin film in apparatus for treating substrate

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION