US20050145172A1 - Single reactor, multi-pressure chemical vapor deposition for semiconductor devices - Google Patents

Single reactor, multi-pressure chemical vapor deposition for semiconductor devices Download PDF

Info

Publication number
US20050145172A1
US20050145172A1 US11/057,176 US5717605A US2005145172A1 US 20050145172 A1 US20050145172 A1 US 20050145172A1 US 5717605 A US5717605 A US 5717605A US 2005145172 A1 US2005145172 A1 US 2005145172A1
Authority
US
United States
Prior art keywords
reaction chamber
cvd
gas
uhv
supplying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/057,176
Inventor
Jack Chu
Basanth Jagannathan
Ryan Wuthrich
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/057,176 priority Critical patent/US20050145172A1/en
Publication of US20050145172A1 publication Critical patent/US20050145172A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus

Definitions

  • This invention relates to semiconductor process equipment and methods, and more particularly, to Chemical Vapor Deposition (CVD) apparatuses and methods for performing a plurality of in situ processes for forming all or portions of an electronic device.
  • CVD Chemical Vapor Deposition
  • Present Chemical Vapor Deposition Equipment consists of multiple chambers, gas inlets, gas outlets, vacuum pumps and transfer load-lock systems for inserting, for example, semiconductor wafers into the chambers.
  • Examples of Chemical Vapor Deposition Equipment are described in U.S. Pat. No. 5,259,918 issued on Nov. 9, 1993, which shows an Ultra High Vacuum Chemical Vapor Deposition (UHV-CVD) reactor with a vacuum loading chamber; and in U.S. Pat. No. 6,013,134 issued on Jan. 11, 2000, which shows a UHV transfer system for transferring wafers between a UHV-CVD reactor and a Low Pressure-Chemical Vapor Deposition (LPCVD) reactor.
  • UHV-CVD Ultra High Vacuum Chemical Vapor Deposition
  • LPCVD Low Pressure-Chemical Vapor Deposition
  • a critical step and requirement before loading wafers into the UHV-CVD equipment is to perform a dip of each Si containing wafer into hydrofluoric (HF) acid to remove the native oxide from the wafer surface and to passivate the Si bonds at the surface with hydrogen.
  • Si containing wafers after being dipped in HF acid are loaded into a vacuum loading apparatus of a CVD reactor and then inserted into the CVD reactor.
  • This particular HF cleaning procedure is a hazardous practice to be performed manually under a chemical hood and moreover, for patterned wafers, often there is residual HF liquid left on the wafer surface which would require removal before continuing to process the wafers. Removing residual liquid HF is an extremely hazardous manual process.
  • this HF-dip is not an industry acceptable process and weakens the acceptance of the UHV-CVD processing technique for doing low temperature epitaxy in the semiconductor manufacturing industry.
  • MOS Metal Oxide Silicon
  • FET field effect transistor
  • CMOS Complementary Metal Oxide Silicon
  • a typical problem of the prior art is that reactors and related chambers are built to operate within a limited operating pressure determined by the capacity of mass flow controllers and the speed of the pump package.
  • the vapor deposited film is homogeneous, and therefore a single operating point may be adequate.
  • a variety of operating conditions may prove useful for improving productivity, film quality, and/or process control.
  • a portion of the deposited film may require UHV-CVD conditions to reduce the defect density and improve process control.
  • UHV-CVD deposition of an entire film may be so slow as to be unproductive.
  • non-sensitive portions of a CVD film could be deposited with greater speed by rapidly increasing the operating temperature and pressure, thereby greatly improving the total productivity of a CVD apparatus.
  • the present invention provides a means to combine elements of the UHV-CVD and LPCVD processes to improve the productivity of a UHV-CVD system.
  • an apparatus and a method are described for forming the semiconductor portion of CMOS, MODFET's, MOSFET's, MEMT's, NPN's and the like, along with any desired gate structure such as an ultra thin gate oxide and/or with a heavily doped polysilicon gate electrode layer to be subsequently patterned.
  • the apparatus is an Advanced Integrated Chemical Vapor Deposition (AICVD) System having a single reaction chamber that may be operated as part of an Ultra High Vacuum-Chemical Vapor Deposition (UHV-CVD) System, a Low Pressure Chemical Vapor (LPCVD) Deposition System, and a vacuum transfer system for loading wafers from the external ambient.
  • the vacuum transfer system includes a load-lock section for the transfer of wafers from the external ambient to an evacuated section that may remain at vacuum pressures.
  • the evacuated section includes a single tube reactor operated as a Low Pressure/Ultra High Vacuum (LP/UHV) evacuation chamber.
  • the invention further comprises a low mass, rapid heating furnace in combination with three pumping packages.
  • the three pumping packages are preferably (1) a roots blower backed by mechanical pump, (2) a turbomolecular pump backed by a roots blower and a mechanical pump, and (3) a cryopump backed by a scroll pump.
  • each pumping package with the ability to be isolated from the reactor with a corresponding gate valve, operation of the apparatus may be rapidly transitioned from an LP vacuum (100 to 500 mtorr) process to an ultra high vacuum (0.1 to 1.0 mtorr) process. Since the wafers being treated are maintained under a vacuum in the same reactor environment, the transition between depositing sequential film layers may be seamless and defect free, while providing maximum productivity.
  • the apparatus and method of the invention also provides precise control of transitions from the LPCVD process to the UHV-CVD process to prevent formation of deleterious defects.
  • isolation valves are provided to isolate the roots blowers and mechanical pumps from the reaction chamber until the appropriate pressure has been attained in that chamber.
  • An improvement in this transition is then realized by drawing a vacuum on the chamber with a cryopump to remove any residual water moisture or dopant related contaminants prior to completing the transition to a UHV-CVD process from a LPCVD process. Because of this, there is no need for process steps to “passivate” the Si surface with H 2 . Instead, the invention maintains an atomically clean surface during transitions between the LPCVD process and the UHV-CVD process by means of reducing the oxidizing agents to insignificant levels using a cryopump.
  • the cryopump provides particular benefits during the transition from LPCVD to UHV-CVD processes. Although it is possible to transition from LPCVD to UHV-CVD without the cryopump, the environment would be contaminated with residual species from the LPCVD process which are not efficiently removed by a turbomolecular pump
  • the cryopump is proficient at removing H 2 O, O 2 , B, As, P, and other species that otherwise could accumulate on the Si surface, react with the Si surface or otherwise degrade the quality or prevent formation of the subsequent epitaxial film. Of particular importance is the transition from moderate epitaxy temperatures (approximately 700° C.) to low epitaxy temperatures (about 650° C.
  • cryopump is best suited for this application due to the low volume gas load and the broad range of effectively pumped gases.
  • the invention further provides methods of using the apparatus arrangements for prebanking a silicon substrate surface in hydrogen, growing a silicon containing layer with a first gas, switching the first gas to a second gas such as SiH 4 or SiH 6 , and reducing the growth temperature to below 400° C.
  • the invention further provides methods of using the apparatus arrangements for continuous epitaxial growth on a semiconductor substrate in a single reactor comprising the steps of growing an epitaxial layer on the semiconductor substrate under first growth conditions, interrupting the growth of the epitaxial layer, introducing flowing H 2 to provide a reducing environment and then transitioning to UHV-CVD conditions using the combination of the cryopump system and the turbomolecular pump system before lowering the growth temperature below 650° C.
  • the growth of epitaxial silicon is resumed under second growth conditions achieved by a turbomolecular pumped UHV-CVD process below 650° C.
  • the invention further provides changing the first growth conditions to second growth conditions in the same reactor chamber and restarting growth on the surface of the epitaxial layer under the second growth conditions, such as by lowering the temperature below 650° C. under a cryopump assisted UHV-CVD process.
  • the invention further provides methods of using the apparatus arrangements for continuous epitaxial growth on a semiconductor substrate in a single reactor comprising the steps of growing an epitaxial layer under first growth (e.g., LPCVD) conditions, interrupting the growth of the epitaxial layer, transitioning to second growth (e.g. UHV-CVD) conditions using the combination of the cryopump and the turbomolecular pump to maintain a controlled gaseous environment before lowering the temperature to a second growth temperature below 650° C., and restarting continuous growth on the surface of the epitaxial layer under the second growth conditions, such as by flowing a silicon containing gas over the substrate and maintaining the temperature below 650° C.
  • first growth e.g., LPCVD
  • second growth e.g. UHV-CVD
  • the controlled gaseous environment herein is an environment that may include hydrogen and exclude contaminants such as O 2 , CO 2 , CO, H 2 O, CH 4 , and other hydrocarbons and gases such as mentioned as contaminants in U.S. Pat. No. 5,298,452 issued on Mar. 29, 1994, the entire contents of this patent being incorporated herein by reference.
  • the partial pressure of all contaminants are maintained at pressures below 10 ⁇ 8 Torr.
  • the invention further provides methods of using the apparatus arrangements for forming a silicon/silicon oxide interface with low interface traps comprising the steps of growing a silicon containing layer on a substrate with a first gas in a reaction chamber at a first growth temperature, switching the first gas to a second gas such as H 2 while transitioning to a second growth temperature, introducing a third set of gases such as SiH 4 with NO 2 or O 2 , and growing a silicon oxide layer on the surface at the second growth temperature.
  • the invention further provides methods of using the apparatus arrangements for fabricating silicon containing epitaxial layers comprising the steps of a placing a semiconductor substrate into a reaction chamber, removing any native oxide from the surface of the semiconductor substrate by baking in the range from 850° C. to 900° C. for less than 30 minutes with hydrogen gas flowing thereover, forming a medium/high temperature silicon containing epitaxy layer on the surface of the semiconductor substrate at a first growth temperature in the range of 700° C. to 850° C.
  • n or p type doping may be in the range of 1 ⁇ 10 20 to 1 ⁇ 10 21 atoms/cm 3 .
  • the invention further provides methods of using the apparatus arrangements for performing two successive treatment processes comprising the steps of placing a semiconductor substrate into the reaction chamber, performing a first treatment process, transitioning to a controlled gaseous environment (e.g., H 2 ) before lowering the temperature to a second growth temperature below 650° C., and performing a second treatment process in the reaction chamber.
  • the first and second treatment processes may include growing Si containing layers with different compositions, dopants, growth conditions and the like.
  • the invention thereby introduces a flexible apparatus and method capable of migrating seamlessly between LPCVD processes and UHV-CVD processes within the same reactor.
  • the invention further introduces a method and apparatus whereby cross contaminants, such as H 2 O, O 2 , and the like, and “memory effect” contaminants, such as BPA's, and the like, can be virtually eliminated by including usage of a cryopump to mediate a process transition between coupled UHV-CVD and LPCVD processes.
  • FIG. 1 is a first embodiment of the apparatus of the invention
  • FIG. 2 is a second embodiment of the apparatus of the invention.
  • FIG. 3 is an illustration of the layers of a high performance Si and/or SiGe CMOS device structure.
  • FIG. 1 shows an Advanced Integrated Chemical Vapor Deposition (AICVD) System 10 comprising a push/pull transfer system 12 having a transfer arm 13 (including a flange 19 ) for moving a plurality of wafers 14 in a carrier or boat 16 into a load lock chamber 18 from ambient conditions via a door 17 .
  • the load lock chamber 18 is coupled to the reactor chamber 22 for transferring the plurality of wafers 14 between the reactor chamber 22 and the external ambient.
  • the load lock chamber 18 is also coupled to a turbomolecular pump 27 and a mechanical pump 28 in series. After a vacuum is established in load lock chamber 18 , the transfer system 12 also moves the boat supported wafers 14 into the reactor 22 .
  • the load lock chamber 22 may include plural valves 21 and 26 .
  • An ultra high vacuum level is drawn on the reactor chamber 22 at flange 20 via gate value 30 by a turbomolecular pump 31 in series with a roots blower 32 and a mechanical pump 33 .
  • An intermediate level vacuum is drawn on load lock chamber 18 via a gate valve 26 by a turbomolecular pump 27 and a mechanical pump 28 in series.
  • a low pressure vacuum is drawn at flange 24 via a gate valve 35 by a roots blower 36 and a mechanical pump 37 in series.
  • a vacuum is drawn at flange 20 via a gate valve 39 by a cryopump 40 and a scroll pump 41 in series.
  • the wafers 14 While an ultra high vacuum is being drawn at UHV flange 20 and CVD reaction chamber 22 by the pumps 31 , 32 and 33 , the wafers 14 are positioned in CVD reaction chamber 22 and are heated by a surrounding furnace 42 . Upon attaining the appropriate UHV-CVD temperature, the wafers are treated with a UHV-CVD gas passing through chamber 22 from a gas inlet 44 having an isolation valve 45 .
  • the wafers 14 While a low pressure vacuum is being drawn at flange 24 and CVD reaction chamber 22 by a roots blower 36 and a mechanical pump 37 , the wafers 14 are positioned in chamber 22 , are heated with the furnace 42 to an appropriate LPCVD temperature, and are treated with a LPCVD gas passing through chamber 22 from an inlet 48 having an isolation valve 49 .
  • One or more of the mechanical pumps 28 , 33 , and 37 also may be a scroll-type pump.
  • a first pumping system comprises the roots blower 36 in series with the mechanical pump 37 .
  • a second pumping system is the series combination of the turbo pump 30 , the roots blower 32 and the mechanical pump 33 .
  • a third pumping system comprises the cryopump 40 and the scroll pump 41 .
  • the need for separated pumps 36 and 37 may be eliminated by the addition of an interconnecting blower conduit 50 between conduits 52 and 53 so that roots blower 32 and mechanical pump 33 may serve as the first pumping system when valve 54 in conduit 50 is opened and valve 56 in conduit 53 is closed.
  • the surface of the semiconductor wafers 14 may first be blanketed with hydrogen gas introduced through the inlet 44 if the wafers are transferring from an LPCVD process, or introduced through inlet 48 if the wafers are transferring from a UHV-CVD process.
  • the chamber 22 may also be purged with hydrogen or another purge gas introduced through inlet 44 or preferably through both inlets 44 and 48 .
  • the cryopump 40 and the scroll pump 41 may be operated to remove any remaining contaminants from the preceding treatment process, along with any remaining blanket and/or purging gases.
  • the contaminants may include water vapor, dopant, O 2 , CO 2 , CO, CH 4 , and other hydrocarbon gas other than CH 4 .
  • the third pumping system may comprise a cyrogenic pump for removing contaminants.
  • the cyrogenic pump may further include a cold trap for removing at least a portion of the contaminants from the reaction chamber 22 .
  • the Low Pressure (LP) evacuation flange 24 of FIG. 1 has been eliminated by using a combined LP-UHV flange 62 .
  • Attached to the combined flange 62 is the same load lock chamber 18 and the same UHV pumping system and transition pumping system as in FIG. 1 , and therefore the components of these systems bear the same numerical designations as in FIG. 1 .
  • the LP vacuum is drawn on the combined flange 62 via a gate valve 65 by roots blower 66 and a mechanical pump 67 .
  • the end of combined flange 62 opposite to load lock chamber 18 is connected to a reaction chamber 70 surrounded and heated by a furnace 71 .
  • the gases for treating the wafers 14 in reaction chamber 70 are fed through a common gas inlet 72 , which in turn is connected to a UHV-CVD gas inlet line 74 having an isolation valve 75 , and to a LPCVD gas inlet line 77 having an isolation valve 78 . Blanket gases and purge gases may also be fed to the reaction chamber 70 through the common gas inlet 72 via either or preferably through both of the inlet lines 74 and 77 .
  • the wafers 14 are heated in reaction chamber 70 for any elevated temperature portions of either a LPCVD process or a UHV-CVD process.
  • the Advanced Integrated Chemical Vapor Deposition (AICVD) systems 10 and 60 are based upon growth interrupt experiments and results which indicate that continuous growth of a silicon containing layer after an interruption of growth conditions is possible without any material quality degradation, as long as the wafers have an atomically clean surface which is maintained throughout the growth interrupt period and/or during a change between growth processes.
  • the H 2 pre-bake may also produce wafer surface passivation, which is believed to be the termination of atomic bonds on the surface, such as Si bonds, with hydrogen.
  • the results of growth interrupt experiments are shown and described in U.S. Pat. No. 6,013,134 referenced above.
  • wafers which may have some native or chemical oxide thereon are loaded into the reaction chamber to first remove the native oxide from the silicon or silicon containing surface by employing a H 2 pre-bake at a temperature in the range from 800° C. to 950° C. for less than 30 minutes.
  • a silicon containing gas is flowed through the reaction chamber at a medium/high temperature of 700° C. to 950° C. to immediately grow a medium/high temperature silicon epitaxial layer, after which the growth temperature is reduced to a second growth temperature below 650° C. and pressure, UHV-CVD mediated by the cryopump.
  • the foregoing process steps negate the need for the ex-situ prior art cleaning step of dipping the wafers in HF acid as mentioned above.
  • the entire Si and/or SiGe CMOS device structure may be formed as shown in FIG. 3 .
  • the CMOS device structure may be composed of a graded up structure as described in U.S. Pat. No. 5,534,713 by Ismail et al., which is incorporated herein by reference, followed by both the p- and n-type modulation doped structures which can now be grown over the surface of the silicon containing layer using UHV-CVD processing. Since these active device channels may be at least 5000 ⁇ from the actual growth interface, which is 100 times more than required, no degradation whatsoever is to be expected in the device performance from these SiGe CMOS heterostructures.
  • the wafers can then be subjected to growth conditions wherein a gate oxide in the range from 1 nm to 5 nm can be grown in the low temperature range from 400° C. to 650° C. With the gate oxide process completed, the wafers can then be subjected to growth conditions wherein a heavily doped p++ polysilicon gate layer may be grown over the thin gate oxide layer, which will serve to maintain the oxide quality and thickness uniformity, as well as a completed gate stack structure.
  • a standard polysilicon layer may also be grown using reaction conditions providing very high in situ boron doping levels ranging from 10 20 to 10 21 atoms/cm 3 . These conditions are not readily achievable in a LPCVD. However, in AICVD systems 10 and 60 , integrated processing conditions and procedures may be used for fabricating any high performance Si and/or SiGe device structure with a high quality gate stack.
  • a method of operation for the AICVD system 60 shown in FIG. 2 would provide the following processes to fabricate any high performance Si and/or SiGe device structure, such as shown in FIG. 3 .
  • pre-bake wafers 14 in the temperature range from 800° C. to 950° C. for 5 to 30 minutes at a process pressure of 100-500 mtorr to remove native oxides and prepare silicon surface 84 .
  • a low temperature thermal oxide layer 87 by introducing SiH 4 with NO 2 or O 2 , under LPCVD conditions.
  • a silicon dioxide layer 87 may be formed using tetra ethylortho silicate (TEOS), which is well-known in the art.
  • TEOS tetra ethylortho silicate
  • Cease process gas flow introduce a H 2 purge flow for less than 5 minutes and at a temperature of between 400° C. and 650° C.
  • Reduce H 2 flow open gate valve 30 and activate the turbomolecular pump 31 , roots blower 32 and mechanical pump 33 and allow pressure to stabilize between 0.1 and 10 mtorr and a temperature between 400 and 650° C.
  • Cease process gas flow introduce H 2 as a cover gas, transfer the wafers 14 to the load chamber 18 under LPCVD conditions, and remove product wafers from chamber 18 after raising its pressure to ambient.
  • a method of operation for the AICVD system 10 shown in FIG. 1 is essentially the same as described above for the AICVD system 60 , except UHV-CVD process gases and LPCVD process gases are fed into the reactor 22 from opposite ends of this reactor, and LPCVD pressures are provided through the LP flange 24 instead of through a combined LP/UHV chamber, such as chamber 62 in FIG. 2 .

Abstract

An apparatus and method for forming at least a portion of an electronic device include a High Vacuum-Chemical Vapor Deposition (UHV-CVD) system and a Low Pressure-Chemical Vapor Deposition (LPCVD) system using a common reactor. The invention overcomes the problem, of silicon containing wafers being dipped in HF acid prior to CVD processing, and the problem of surface passivation between processes in multiple CVD reactors.

Description

    FIELD OF THE INVENTION
  • This invention relates to semiconductor process equipment and methods, and more particularly, to Chemical Vapor Deposition (CVD) apparatuses and methods for performing a plurality of in situ processes for forming all or portions of an electronic device.
  • BACKGROUND OF THE INVENTION
  • Present Chemical Vapor Deposition Equipment consists of multiple chambers, gas inlets, gas outlets, vacuum pumps and transfer load-lock systems for inserting, for example, semiconductor wafers into the chambers. Examples of Chemical Vapor Deposition Equipment are described in U.S. Pat. No. 5,259,918 issued on Nov. 9, 1993, which shows an Ultra High Vacuum Chemical Vapor Deposition (UHV-CVD) reactor with a vacuum loading chamber; and in U.S. Pat. No. 6,013,134 issued on Jan. 11, 2000, which shows a UHV transfer system for transferring wafers between a UHV-CVD reactor and a Low Pressure-Chemical Vapor Deposition (LPCVD) reactor. The entire contents of both of these patents are incorporated herein by reference.
  • In the growth of Si structures or Si/SiGE heterostructures via UHV-CVD processing according to the prior art, a critical step and requirement before loading wafers into the UHV-CVD equipment is to perform a dip of each Si containing wafer into hydrofluoric (HF) acid to remove the native oxide from the wafer surface and to passivate the Si bonds at the surface with hydrogen. Si containing wafers after being dipped in HF acid are loaded into a vacuum loading apparatus of a CVD reactor and then inserted into the CVD reactor. This particular HF cleaning procedure is a hazardous practice to be performed manually under a chemical hood and moreover, for patterned wafers, often there is residual HF liquid left on the wafer surface which would require removal before continuing to process the wafers. Removing residual liquid HF is an extremely hazardous manual process. Presently, this HF-dip is not an industry acceptable process and weakens the acceptance of the UHV-CVD processing technique for doing low temperature epitaxy in the semiconductor manufacturing industry.
  • Another key issue related to making high performance Si and/or Si/SiGE Metal Oxide Silicon (MOS) field effect transistor (FET) structures and/or Complementary Metal Oxide Silicon (CMOS) structures is the requirement for a very high quality gate dielectric and a gate electrode stack as described in U.S. Pat. No. 5,534,713 by K. Ismail et al. which issued Jul. 9, 1996, and the entire contents of which are incorporated herein by reference. This patent describes a gate dielectric of an ultra-thin SiO2 layer with a thickness from 1 nm to 5 nm. The gate electrode is a heavily doped polysilicon structure.
  • A typical problem of the prior art is that reactors and related chambers are built to operate within a limited operating pressure determined by the capacity of mass flow controllers and the speed of the pump package. In many CVD applications, the vapor deposited film is homogeneous, and therefore a single operating point may be adequate. However, in some applications, where the deposited continuous film is made of multiple layers containing varying amounts of alloys, dopants, or other constituents, a variety of operating conditions may prove useful for improving productivity, film quality, and/or process control. For instance, a portion of the deposited film may require UHV-CVD conditions to reduce the defect density and improve process control. However, UHV-CVD deposition of an entire film may be so slow as to be unproductive. On the other hand, non-sensitive portions of a CVD film could be deposited with greater speed by rapidly increasing the operating temperature and pressure, thereby greatly improving the total productivity of a CVD apparatus.
  • In addition, prior art UHV-CVD processes have generally compromised the productivity of coupled UHV-CVD and LPCVD processes by the steps introduced to satisfy the UHV-CVD segment, which is the most sensitive. Alternatively, the UHV-CVD and the LPCVD processes have been segmented such that the different layers are each grown in a dedicated chamber.
  • SUMMARY OF THE INVENTION
  • The present invention provides a means to combine elements of the UHV-CVD and LPCVD processes to improve the productivity of a UHV-CVD system. In accordance with the present invention, an apparatus and a method are described for forming the semiconductor portion of CMOS, MODFET's, MOSFET's, MEMT's, NPN's and the like, along with any desired gate structure such as an ultra thin gate oxide and/or with a heavily doped polysilicon gate electrode layer to be subsequently patterned. The apparatus is an Advanced Integrated Chemical Vapor Deposition (AICVD) System having a single reaction chamber that may be operated as part of an Ultra High Vacuum-Chemical Vapor Deposition (UHV-CVD) System, a Low Pressure Chemical Vapor (LPCVD) Deposition System, and a vacuum transfer system for loading wafers from the external ambient. The vacuum transfer system includes a load-lock section for the transfer of wafers from the external ambient to an evacuated section that may remain at vacuum pressures. The evacuated section includes a single tube reactor operated as a Low Pressure/Ultra High Vacuum (LP/UHV) evacuation chamber.
  • The invention further comprises a low mass, rapid heating furnace in combination with three pumping packages. The three pumping packages are preferably (1) a roots blower backed by mechanical pump, (2) a turbomolecular pump backed by a roots blower and a mechanical pump, and (3) a cryopump backed by a scroll pump. By having three pumping packages communicating with the same reactor tube, each pumping package with the ability to be isolated from the reactor with a corresponding gate valve, operation of the apparatus may be rapidly transitioned from an LP vacuum (100 to 500 mtorr) process to an ultra high vacuum (0.1 to 1.0 mtorr) process. Since the wafers being treated are maintained under a vacuum in the same reactor environment, the transition between depositing sequential film layers may be seamless and defect free, while providing maximum productivity.
  • The apparatus and method of the invention also provides precise control of transitions from the LPCVD process to the UHV-CVD process to prevent formation of deleterious defects. For this purpose, isolation valves are provided to isolate the roots blowers and mechanical pumps from the reaction chamber until the appropriate pressure has been attained in that chamber. An improvement in this transition is then realized by drawing a vacuum on the chamber with a cryopump to remove any residual water moisture or dopant related contaminants prior to completing the transition to a UHV-CVD process from a LPCVD process. Because of this, there is no need for process steps to “passivate” the Si surface with H2. Instead, the invention maintains an atomically clean surface during transitions between the LPCVD process and the UHV-CVD process by means of reducing the oxidizing agents to insignificant levels using a cryopump.
  • The cryopump provides particular benefits during the transition from LPCVD to UHV-CVD processes. Although it is possible to transition from LPCVD to UHV-CVD without the cryopump, the environment would be contaminated with residual species from the LPCVD process which are not efficiently removed by a turbomolecular pump The cryopump is proficient at removing H2O, O2, B, As, P, and other species that otherwise could accumulate on the Si surface, react with the Si surface or otherwise degrade the quality or prevent formation of the subsequent epitaxial film. Of particular importance is the transition from moderate epitaxy temperatures (approximately 700° C.) to low epitaxy temperatures (about 650° C. or lower) where, if sufficient partial pressures of O2 and H2O exist, oxides of Si can readily form on the Si surface of the wafer. A cryopump is best suited for this application due to the low volume gas load and the broad range of effectively pumped gases.
  • The invention further provides methods of using the apparatus arrangements for prebanking a silicon substrate surface in hydrogen, growing a silicon containing layer with a first gas, switching the first gas to a second gas such as SiH4 or SiH6, and reducing the growth temperature to below 400° C.
  • The invention further provides methods of using the apparatus arrangements for continuous epitaxial growth on a semiconductor substrate in a single reactor comprising the steps of growing an epitaxial layer on the semiconductor substrate under first growth conditions, interrupting the growth of the epitaxial layer, introducing flowing H2 to provide a reducing environment and then transitioning to UHV-CVD conditions using the combination of the cryopump system and the turbomolecular pump system before lowering the growth temperature below 650° C. The growth of epitaxial silicon is resumed under second growth conditions achieved by a turbomolecular pumped UHV-CVD process below 650° C.
  • The invention further provides changing the first growth conditions to second growth conditions in the same reactor chamber and restarting growth on the surface of the epitaxial layer under the second growth conditions, such as by lowering the temperature below 650° C. under a cryopump assisted UHV-CVD process.
  • The invention further provides methods of using the apparatus arrangements for continuous epitaxial growth on a semiconductor substrate in a single reactor comprising the steps of growing an epitaxial layer under first growth (e.g., LPCVD) conditions, interrupting the growth of the epitaxial layer, transitioning to second growth (e.g. UHV-CVD) conditions using the combination of the cryopump and the turbomolecular pump to maintain a controlled gaseous environment before lowering the temperature to a second growth temperature below 650° C., and restarting continuous growth on the surface of the epitaxial layer under the second growth conditions, such as by flowing a silicon containing gas over the substrate and maintaining the temperature below 650° C. The controlled gaseous environment herein is an environment that may include hydrogen and exclude contaminants such as O2, CO2, CO, H2 O, CH4, and other hydrocarbons and gases such as mentioned as contaminants in U.S. Pat. No. 5,298,452 issued on Mar. 29, 1994, the entire contents of this patent being incorporated herein by reference. The partial pressure of all contaminants are maintained at pressures below 10−8 Torr.
  • The invention further provides methods of using the apparatus arrangements for forming a silicon/silicon oxide interface with low interface traps comprising the steps of growing a silicon containing layer on a substrate with a first gas in a reaction chamber at a first growth temperature, switching the first gas to a second gas such as H2 while transitioning to a second growth temperature, introducing a third set of gases such as SiH4 with NO2 or O2, and growing a silicon oxide layer on the surface at the second growth temperature.
  • The invention further provides methods of using the apparatus arrangements for fabricating silicon containing epitaxial layers comprising the steps of a placing a semiconductor substrate into a reaction chamber, removing any native oxide from the surface of the semiconductor substrate by baking in the range from 850° C. to 900° C. for less than 30 minutes with hydrogen gas flowing thereover, forming a medium/high temperature silicon containing epitaxy layer on the surface of the semiconductor substrate at a first growth temperature in the range of 700° C. to 850° C. under LPCVD conditions before flowing a hydrogen containing gas in the reaction chamber, followed by transitioning to UHV/CVD conditions using a combination of the cryopump system and the turbomolecular pump system before reducing the reaction chamber temperature to below 650° C., forming an epitaxial layer on the semiconductor substrate suitable for the channel of a FET or the base of an NPN transistor, maintaining the reaction chamber under a controlled gaseous environment (e.g., H2) while changing it to a third growth temperature and LPCVD conditions forming a gate oxide or base oxide on the upper surface of the semiconductor substrate, maintaining the reaction chamber under a controlled gaseous environment (e.g., H2) while changing it to the fourth growth temperature and UHV/CVD conditions, and forming a heavily doped n or p type polysilicon gate electrode layer over the gate oxide. The n or p type doping may be in the range of 1×1020 to 1×1021 atoms/cm3.
  • The invention further provides methods of using the apparatus arrangements for performing two successive treatment processes comprising the steps of placing a semiconductor substrate into the reaction chamber, performing a first treatment process, transitioning to a controlled gaseous environment (e.g., H2) before lowering the temperature to a second growth temperature below 650° C., and performing a second treatment process in the reaction chamber. The first and second treatment processes may include growing Si containing layers with different compositions, dopants, growth conditions and the like.
  • The invention thereby introduces a flexible apparatus and method capable of migrating seamlessly between LPCVD processes and UHV-CVD processes within the same reactor. The invention further introduces a method and apparatus whereby cross contaminants, such as H2O, O2, and the like, and “memory effect” contaminants, such as BPA's, and the like, can be virtually eliminated by including usage of a cryopump to mediate a process transition between coupled UHV-CVD and LPCVD processes.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features, objects, and advantages of the present invention may be better understood upon consideration of the following detailed description of the invention in conjunction with the accompanying drawings in which:
  • FIG. 1 is a first embodiment of the apparatus of the invention;
  • FIG. 2 is a second embodiment of the apparatus of the invention; and
  • FIG. 3 is an illustration of the layers of a high performance Si and/or SiGe CMOS device structure.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Referring to the drawings, FIG. 1 shows an Advanced Integrated Chemical Vapor Deposition (AICVD) System 10 comprising a push/pull transfer system 12 having a transfer arm 13 (including a flange 19) for moving a plurality of wafers 14 in a carrier or boat 16 into a load lock chamber 18 from ambient conditions via a door 17. The load lock chamber 18 is coupled to the reactor chamber 22 for transferring the plurality of wafers 14 between the reactor chamber 22 and the external ambient. The load lock chamber 18 is also coupled to a turbomolecular pump 27 and a mechanical pump 28 in series. After a vacuum is established in load lock chamber 18, the transfer system 12 also moves the boat supported wafers 14 into the reactor 22. The load lock chamber 22 may include plural valves 21 and 26.
  • An ultra high vacuum level is drawn on the reactor chamber 22 at flange 20 via gate value 30 by a turbomolecular pump 31 in series with a roots blower 32 and a mechanical pump 33. An intermediate level vacuum is drawn on load lock chamber 18 via a gate valve 26 by a turbomolecular pump 27 and a mechanical pump 28 in series. A low pressure vacuum is drawn at flange 24 via a gate valve 35 by a roots blower 36 and a mechanical pump 37 in series. For transitioning between a LPCVD process and a UHV-CVD process (and vice versa) and for removing contaminants generated by these processes, a vacuum is drawn at flange 20 via a gate valve 39 by a cryopump 40 and a scroll pump 41 in series.
  • While an ultra high vacuum is being drawn at UHV flange 20 and CVD reaction chamber 22 by the pumps 31, 32 and 33, the wafers 14 are positioned in CVD reaction chamber 22 and are heated by a surrounding furnace 42. Upon attaining the appropriate UHV-CVD temperature, the wafers are treated with a UHV-CVD gas passing through chamber 22 from a gas inlet 44 having an isolation valve 45. While a low pressure vacuum is being drawn at flange 24 and CVD reaction chamber 22 by a roots blower 36 and a mechanical pump 37, the wafers 14 are positioned in chamber 22, are heated with the furnace 42 to an appropriate LPCVD temperature, and are treated with a LPCVD gas passing through chamber 22 from an inlet 48 having an isolation valve 49. One or more of the mechanical pumps 28, 33, and 37 also may be a scroll-type pump.
  • A first pumping system comprises the roots blower 36 in series with the mechanical pump 37. A second pumping system is the series combination of the turbo pump 30, the roots blower 32 and the mechanical pump 33. A third pumping system comprises the cryopump 40 and the scroll pump 41. As an alternative, the need for separated pumps 36 and 37 may be eliminated by the addition of an interconnecting blower conduit 50 between conduits 52 and 53 so that roots blower 32 and mechanical pump 33 may serve as the first pumping system when valve 54 in conduit 50 is opened and valve 56 in conduit 53 is closed.
  • For the purpose of transitioning between a LPCVD process and a UHV-CVD process (and vice versa), the surface of the semiconductor wafers 14 may first be blanketed with hydrogen gas introduced through the inlet 44 if the wafers are transferring from an LPCVD process, or introduced through inlet 48 if the wafers are transferring from a UHV-CVD process. After each gaseous treatment process, the chamber 22 may also be purged with hydrogen or another purge gas introduced through inlet 44 or preferably through both inlets 44 and 48. After such blanketing and/or purging steps, the cryopump 40 and the scroll pump 41 may be operated to remove any remaining contaminants from the preceding treatment process, along with any remaining blanket and/or purging gases. The contaminants may include water vapor, dopant, O2, CO2, CO, CH4, and other hydrocarbon gas other than CH4.
  • As another alternative the third pumping system may comprise a cyrogenic pump for removing contaminants. The cyrogenic pump may further include a cold trap for removing at least a portion of the contaminants from the reaction chamber 22.
  • In the alternative embodiment of the apparatus shown in FIG. 2, the Low Pressure (LP) evacuation flange 24 of FIG. 1 has been eliminated by using a combined LP-UHV flange 62. Attached to the combined flange 62 is the same load lock chamber 18 and the same UHV pumping system and transition pumping system as in FIG. 1, and therefore the components of these systems bear the same numerical designations as in FIG. 1. In the alternative AICVD system 60 of FIG. 2, the LP vacuum is drawn on the combined flange 62 via a gate valve 65 by roots blower 66 and a mechanical pump 67. The end of combined flange 62 opposite to load lock chamber 18 is connected to a reaction chamber 70 surrounded and heated by a furnace 71.
  • The gases for treating the wafers 14 in reaction chamber 70 are fed through a common gas inlet 72, which in turn is connected to a UHV-CVD gas inlet line 74 having an isolation valve 75, and to a LPCVD gas inlet line 77 having an isolation valve 78. Blanket gases and purge gases may also be fed to the reaction chamber 70 through the common gas inlet 72 via either or preferably through both of the inlet lines 74 and 77. In the AICVD system 60, the wafers 14 are heated in reaction chamber 70 for any elevated temperature portions of either a LPCVD process or a UHV-CVD process.
  • The Advanced Integrated Chemical Vapor Deposition (AICVD) systems 10 and 60 are based upon growth interrupt experiments and results which indicate that continuous growth of a silicon containing layer after an interruption of growth conditions is possible without any material quality degradation, as long as the wafers have an atomically clean surface which is maintained throughout the growth interrupt period and/or during a change between growth processes. The H2 pre-bake may also produce wafer surface passivation, which is believed to be the termination of atomic bonds on the surface, such as Si bonds, with hydrogen. The results of growth interrupt experiments are shown and described in U.S. Pat. No. 6,013,134 referenced above.
  • In the operation of AICVD systems 10 and 60, wafers which may have some native or chemical oxide thereon are loaded into the reaction chamber to first remove the native oxide from the silicon or silicon containing surface by employing a H2 pre-bake at a temperature in the range from 800° C. to 950° C. for less than 30 minutes. Immediately after this pre-bake, a silicon containing gas is flowed through the reaction chamber at a medium/high temperature of 700° C. to 950° C. to immediately grow a medium/high temperature silicon epitaxial layer, after which the growth temperature is reduced to a second growth temperature below 650° C. and pressure, UHV-CVD mediated by the cryopump. The foregoing process steps negate the need for the ex-situ prior art cleaning step of dipping the wafers in HF acid as mentioned above.
  • In the reaction chamber, the entire Si and/or SiGe CMOS device structure may be formed as shown in FIG. 3. The CMOS device structure may be composed of a graded up structure as described in U.S. Pat. No. 5,534,713 by Ismail et al., which is incorporated herein by reference, followed by both the p- and n-type modulation doped structures which can now be grown over the surface of the silicon containing layer using UHV-CVD processing. Since these active device channels may be at least 5000 Å from the actual growth interface, which is 100 times more than required, no degradation whatsoever is to be expected in the device performance from these SiGe CMOS heterostructures.
  • After completion of the Si and/or SiGe CMOS device structures, the wafers can then be subjected to growth conditions wherein a gate oxide in the range from 1 nm to 5 nm can be grown in the low temperature range from 400° C. to 650° C. With the gate oxide process completed, the wafers can then be subjected to growth conditions wherein a heavily doped p++ polysilicon gate layer may be grown over the thin gate oxide layer, which will serve to maintain the oxide quality and thickness uniformity, as well as a completed gate stack structure.
  • A standard polysilicon layer may also be grown using reaction conditions providing very high in situ boron doping levels ranging from 1020 to 1021 atoms/cm3. These conditions are not readily achievable in a LPCVD. However, in AICVD systems 10 and 60, integrated processing conditions and procedures may be used for fabricating any high performance Si and/or SiGe device structure with a high quality gate stack.
  • EXAMPLE 1
  • A method of operation for the AICVD system 60 shown in FIG. 2 would provide the following processes to fabricate any high performance Si and/or SiGe device structure, such as shown in FIG. 3.
  • Start with wafers 14 having a Si substrate 83 outside of the AICVD 60 after cleaning the wafers using a standard Huang or RCA cleaning process well known in the art.
  • Load the cleaned wafers 14, which may be supported on the boat 16, into the load lock chamber 18, and then transfer the wafers on boat 16 into the quartz tube reactor 70 after opening gate valve 21 and operating roots blower 66 and mechanical pump 67 to provide an LPCVD pressure environment.
  • Under a blanket of H2, pre-bake wafers 14 in the temperature range from 800° C. to 950° C. for 5 to 30 minutes at a process pressure of 100-500 mtorr to remove native oxides and prepare silicon surface 84.
  • Activate the Dichlorosilane (DCS) source, cease H2 flow, and grow the Si epitaxial pre-layer 85 to a desired film thickness under LPCVD conditions, with temperatures between 700° C. and 950° C.
  • Cease DCS flow and purge with H2 for 5 minutes or less.
  • Reduce H2 flow, open gate valve 30, and activate the turbomolecular pump 31, roots blower 32 and mechanical pump 33, and allow the pressure to stabilize at between 0.1 and 10 mtorr.
  • Cease H2 flow, close gate valve 30, open gate valve 39, and activate cryogenic pump 40 and scroll pump 41; and upon reaching a base pressure of 10−8 mtorr or less, rapidly cool to the low temperature epitaxy growth temperature.
  • Close gate valve 65 to the cryogenic pump, initiate silane flow, and operate the reactor 70 according to the UHV-CVD system described by B. S. Meyerson in U.S. Pat. No. 5,298,452, issued Mar. 29, 1994, to grow a Si, Ge and/or SiGe layer 86 (a low temperature epitaxial film).
  • When the low temperature epitaxial film 86 is complete, cease process gas flow, introduce H2, modify the process temperature as necessary to the range less than 650° C., gradually increase H2 flow until the reactor pressure is about 10 mtorr, and close gate valve 30 to the reactor turbomolecular pump 31.
  • Open gate valve 65 to the reactor roots blower 66 and start this blower and mechanical pump 67 when the pressure has reached 100 to 500 mtorr.
  • At 100 to 500 mtorr, grow a low temperature thermal oxide layer 87 by introducing SiH4 with NO2 or O2, under LPCVD conditions. Alternatively, a silicon dioxide layer 87 may be formed using tetra ethylortho silicate (TEOS), which is well-known in the art.
  • Cease process gas flow, introduce a H2 purge flow for less than 5 minutes and at a temperature of between 400° C. and 650° C. Reduce H2 flow, open gate valve 30 and activate the turbomolecular pump 31, roots blower 32 and mechanical pump 33 and allow pressure to stabilize between 0.1 and 10 mtorr and a temperature between 400 and 650° C. Grow a P+ or P++polysilicon film layer 88 using SiH4 and Diborane (B2H6).
  • Cease process gas flow, introduce H2 as a cover gas, transfer the wafers 14 to the load chamber 18 under LPCVD conditions, and remove product wafers from chamber 18 after raising its pressure to ambient.
  • A method of operation for the AICVD system 10 shown in FIG. 1 is essentially the same as described above for the AICVD system 60, except UHV-CVD process gases and LPCVD process gases are fed into the reactor 22 from opposite ends of this reactor, and LPCVD pressures are provided through the LP flange 24 instead of through a combined LP/UHV chamber, such as chamber 62 in FIG. 2.
  • While there has been described and illustrated two apparatuses using advanced integrated chemical vapor deposition for fabricating semiconductor devices with LPCVD and UHV-CVD processes involving interrupted growth of the semiconductor layers, it will be apparent to those skilled in the art that modifications and variations are possible without deviating from the broad scope of the invention, which is to be limited solely by the scope of the claims set forth below.

Claims (9)

1-12. (canceled)
13. A method for forming at least a portion of a semiconductor device, said method comprising steps of:
heating in a reaction chamber a substrate on which said semiconductor device is to be formed;
supplying a first treating gas to said reaction chamber from a first gas source;
maintaining said reaction chamber at a first vacuum pressure with a first pumping system during the supplying of said first treating gas to said reaction chamber;
stopping the supplying of said first treating gas and supplying a second treating gas to said reaction chamber from a second gas source;
maintaining said reaction chamber at the first vacuum pressure with the first pumping system during the supplying of said second treating gas to said reaction chamber,
supplying a third treatment gas and transitioning said reaction chamber between said first vacuum pressure and said second vacuum pressure using a third pumping system; and
supplying a fourth treatment gas at a second pressure and temperature.
14. A method according to claim 13, wherein said first treating gas and said first vacuum pressure in said reaction chamber provide a low pressure chemical vapor deposition of a layer of silicon on said substrate.
15. A method according to claim 14, wherein said first vacuum pressure is in the range of 100 to 500 mT.
16. A method according to claim 14, wherein said fourth treating gas and said second vacuum pressure in said reaction chamber provide an ultra high vacuum deposition of a layer of Ge, Si or SiGe on said substrate.
17. A method according to claim 16, wherein said second vacuum pressure is in the range of about 0.1 to 1.0 mT.
18. A method according to claim 13, wherein said fourth treating gas and said second vacuum pressure in said reaction chamber provide an ultra high vacuum deposition of a layer of Ge, Si or SiGe on said substrate.
19. A method according to claim 13, wherein said third pumping system is coupled to said reaction chamber and comprises a cryopump and a scroll pump arranged in series to remove contaminates from said reaction chamber after the supplying of said first treating gas.
20. A method according to claim 13, wherein said first pumping system comprises a roots blower and a mechanical pump in series; wherein said second pumping system comprises a turbomolecular pump, a roots blower and a mechanical pump in series; and wherein said first pumping system and said second pumping system share the same roots blower and mechanical pump.
US11/057,176 2001-11-16 2005-02-15 Single reactor, multi-pressure chemical vapor deposition for semiconductor devices Abandoned US20050145172A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/057,176 US20050145172A1 (en) 2001-11-16 2005-02-15 Single reactor, multi-pressure chemical vapor deposition for semiconductor devices

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/683,088 US6875279B2 (en) 2001-11-16 2001-11-16 Single reactor, multi-pressure chemical vapor deposition for semiconductor devices
US11/057,176 US20050145172A1 (en) 2001-11-16 2005-02-15 Single reactor, multi-pressure chemical vapor deposition for semiconductor devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/683,088 Division US6875279B2 (en) 2001-11-16 2001-11-16 Single reactor, multi-pressure chemical vapor deposition for semiconductor devices

Publications (1)

Publication Number Publication Date
US20050145172A1 true US20050145172A1 (en) 2005-07-07

Family

ID=24742529

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/683,088 Expired - Fee Related US6875279B2 (en) 2001-11-16 2001-11-16 Single reactor, multi-pressure chemical vapor deposition for semiconductor devices
US11/057,176 Abandoned US20050145172A1 (en) 2001-11-16 2005-02-15 Single reactor, multi-pressure chemical vapor deposition for semiconductor devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/683,088 Expired - Fee Related US6875279B2 (en) 2001-11-16 2001-11-16 Single reactor, multi-pressure chemical vapor deposition for semiconductor devices

Country Status (1)

Country Link
US (2) US6875279B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108196502A (en) * 2017-12-25 2018-06-22 中国科学院上海微系统与信息技术研究所 ARPES vacuum systems, vacuum safety interlock system and vacuum safety interlocking method

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5288707B2 (en) * 2003-03-12 2013-09-11 エーエスエム アメリカ インコーポレイテッド Method for reducing planarization and defect density in silicon germanium
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
WO2005010946A2 (en) * 2003-07-23 2005-02-03 Asm America, Inc. DEPOSITION OF SiGe ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
EP1649495A2 (en) * 2003-07-30 2006-04-26 ASM America, Inc. Epitaxial growth of relaxed silicon germanium layers
WO2005017963A2 (en) * 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
EP1763893A2 (en) * 2004-02-27 2007-03-21 ASM America, Inc. Germanium deposition
US20060071304A1 (en) 2004-09-29 2006-04-06 International Business Machines Corporation Structure and layout of a fet prime cell
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
WO2007099490A1 (en) * 2006-02-28 2007-09-07 Nxp B.V. Processing assembly and method for processing a batch of wafers
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
WO2011156657A2 (en) * 2010-06-09 2011-12-15 Solexel, Inc. High productivity thin film deposition method and system
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
CN104103516B (en) 2013-04-02 2018-02-16 中芯国际集成电路制造(上海)有限公司 Fleet plough groove isolation structure and forming method thereof
CN103723731B (en) * 2013-04-22 2015-10-21 太仓派欧技术咨询服务有限公司 A kind of combined chemistry vapour deposition silicon carbide device
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
CN113937185A (en) * 2021-09-26 2022-01-14 福建新峰二维材料科技有限公司 Method for manufacturing heterojunction solar cell adopting hydrogen passivation

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508055A (en) * 1983-06-03 1985-04-02 The United States Of America As Represented By The Secretary Of The Navy Device for cryogenically fabricating source material for plasma X-ray lasers
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US5259918A (en) * 1991-06-12 1993-11-09 International Business Machines Corporation Heteroepitaxial growth of germanium on silicon by UHV/CVD
US5286334A (en) * 1991-10-21 1994-02-15 International Business Machines Corporation Nonselective germanium deposition by UHV/CVD
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5542828A (en) * 1994-11-17 1996-08-06 Grenci; Charles A. Light-gas-isolation, oil-free, scroll vaccum-pump system
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5783295A (en) * 1992-11-09 1998-07-21 Northwestern University Polycrystalline supperlattice coated substrate and method/apparatus for making same
US5879467A (en) * 1997-09-02 1999-03-09 Applied Materials, Inc. Cycle purging a vacuum chamber during bakeout process
US6083313A (en) * 1999-07-27 2000-07-04 Advanced Refractory Technologies, Inc. Hardcoats for flat panel display substrates

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508055A (en) * 1983-06-03 1985-04-02 The United States Of America As Represented By The Secretary Of The Navy Device for cryogenically fabricating source material for plasma X-ray lasers
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5259918A (en) * 1991-06-12 1993-11-09 International Business Machines Corporation Heteroepitaxial growth of germanium on silicon by UHV/CVD
US5286334A (en) * 1991-10-21 1994-02-15 International Business Machines Corporation Nonselective germanium deposition by UHV/CVD
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5542828A (en) * 1994-11-17 1996-08-06 Grenci; Charles A. Light-gas-isolation, oil-free, scroll vaccum-pump system
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108196502A (en) * 2017-12-25 2018-06-22 中国科学院上海微系统与信息技术研究所 ARPES vacuum systems, vacuum safety interlock system and vacuum safety interlocking method

Also Published As

Publication number Publication date
US20030094130A1 (en) 2003-05-22
US6875279B2 (en) 2005-04-05

Similar Documents

Publication Publication Date Title
US20050145172A1 (en) Single reactor, multi-pressure chemical vapor deposition for semiconductor devices
US6425951B1 (en) Advance integrated chemical vapor deposition (AICVD) for semiconductor
US9892927B2 (en) System and method for mitigating oxide growth in a gate dielectric
US20060057821A1 (en) Low temperature methods of etching semiconductor substrates
US20060156970A1 (en) Methods for in-situ cleaning of semiconductor substrates and methods of semiconductor device fabrication employing the same
US4981811A (en) Process for fabricating low defect polysilicon
US20010012667A1 (en) Clustered system and method for formation of integrated circuit devices
US6797571B2 (en) Method of manufacturing semiconductor device
US7244667B2 (en) Method and device for the production of thin epitaxial semiconductor layers
JPH10511507A (en) Fabrication of a semiconductor device having selectively deposited semiconductor regions
JP2002008994A (en) Manufacturing method for thin film
JP4324418B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5214778B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
EP0289246A1 (en) Method of manufacturing MOS devices
JPH07153695A (en) Method of forming film
US5242666A (en) Apparatus for forming a semiconductor crystal
KR102305940B1 (en) Heating method, film forming method, semiconductor device manufacturing method, and film forming apparatus
JP2000182966A (en) Method and device for vapor-phase growth
Murota Epitaxial growth techniques: low-temperature epitaxy
KR20220130558A (en) Method of manufacturing semiconductor device, substrate processing apparatus and program
JPH1187254A (en) Vacuum heat-treatment apparatus
KR20040025077A (en) Method for deposition polycrystalline silicon
Nakano et al. Epitaxial silicon growth by load-lock low pressure chemical vapor deposition system for elevated source/drain formation
Yoon et al. Overview of recent developments in RT-CVD technology for ULSI material processing and device fabrication
Yoon et al. Recent developments in RT-CVD technology for ULSI material processing and device fabrication: an overview

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION