US20050167273A1 - Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece - Google Patents

Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece Download PDF

Info

Publication number
US20050167273A1
US20050167273A1 US11/096,972 US9697205A US2005167273A1 US 20050167273 A1 US20050167273 A1 US 20050167273A1 US 9697205 A US9697205 A US 9697205A US 2005167273 A1 US2005167273 A1 US 2005167273A1
Authority
US
United States
Prior art keywords
seed layer
workpiece
electrodes
processing chamber
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/096,972
Inventor
Gregory Wilson
Paul McHugh
Robert Weaver
Thomas Ritzdorf
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2000/010120 external-priority patent/WO2000061498A2/en
Priority claimed from US09/849,505 external-priority patent/US7020537B2/en
Application filed by Individual filed Critical Individual
Priority to US11/096,972 priority Critical patent/US20050167273A1/en
Publication of US20050167273A1 publication Critical patent/US20050167273A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials

Definitions

  • the present invention is directed to the field of automatic process control, and, more particularly, to the field of controlling a material deposition process.
  • a microelectronic workpiece is defined to include a workpiece formed from a substrate upon which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are formed.
  • processing operations include, for example, material deposition, patterning, doping, chemical mechanical polishing, electropolishing, and heat treatment.
  • Material deposition processing involves depositing or otherwise forming thin layers of material on the surface of the microelectronic workpiece. Patterning provides selective deposition of a thin layer and/or removal of selected portions of these added layers. Doping of the semiconductor wafer, or similar microelectronic workpiece, is the process of adding impurities known as “dopants” to selected portions of the wafer to alter the electrical characteristics of the substrate material. Heat treatment of the microelectronic workpiece involves heating and/or cooling the workpiece to achieve specific process results. Chemical mechanical polishing involves the removal of material through a combined chemical/mechanical process while electropolishing involves the removal of material from a workpiece surface using electrochemical reactions.
  • processing devices known as processing “tools,” have been developed to implement one or more of the foregoing processing operations. These tools take on different configurations depending on the type of workpiece used in the fabrication process and the process or processes executed by the tool.
  • One tool configuration known as the LT-210CTM processing tool and available from Semitool, Inc., of Kalispell, Mont., includes a plurality of microelectronic workpiece processing stations that are serviced by one or more workpiece transfer robots.
  • Several of the workpiece processing stations utilize a workpiece holder and a process bowl or container for implementing wet processing operations. Such wet processing operations include electroplating, etching, cleaning, electroless deposition, electropolishing, etc.
  • electrochemical processing stations used in the LT-210CTM that are noteworthy. Such electrochemical processing stations perform the foregoing electroplating, electropolishing, anodization, etc., of the microelectronic workpiece. It will be recognized that the electrochemical processing system set forth herein is readily adapted to implement each of the foregoing electrochemical processes.
  • the electrochemical processing stations include a workpiece holder and a process container that are disposed proximate one another.
  • the workpiece holder and process container are operated to bring the microelectronic workpiece held by the workpiece holder into contact with an electrochemical processing fluid disposed in the process container.
  • the workpiece holder and process container form a processing chamber that may be open, enclosed, or substantially enclosed.
  • Electroplating and other electrochemical processes have become important in the production of semiconductor integrated circuits and other microelectronic devices from microelectronic workpieces.
  • electroplating is often used in the formation of one or more metal layers on the workpiece. These metal layers are often used to electrically interconnect the various devices of the integrated circuit. Further, the structures formed from the metal layers may constitute microelectronic devices such as read/write heads, etc.
  • Electroplated metals typically include copper, nickel, gold, platinum, solder, nickel-iron, etc. Electroplating is generally effected by initial formation of a seed layer on the microelectronic workpiece in the form of a very thin layer of metal, whereby the surface of the microelectronic workpiece is rendered electrically conductive. This electro-conductivity permits subsequent formation of a blanket or patterned layer of the desired metal by electroplating. Subsequent processing, such as chemical mechanical planarization, may be used to remove unwanted portions of the patterned or metal blanket layer formed during electroplating, resulting in the formation of the desired metallized structure.
  • Electropolishing of metals at the surface of a workpiece involves the removal of at least some of the metal using an electrochemical process.
  • the electrochemical process is effectively the reverse of the electroplating reaction and is often carried out using the same or similar reactors as electroplating.
  • Anodization typically involves oxidizing a thin-film layer at the surface of the workpiece. For example, it may be desirable to selectively oxidize certain portions of a metal layer, such as a Cu layer, to facilitate subsequent removal of the selected portions in a solution that etches the oxidized material faster than the non-oxidized material. Further, anodization may be used to deposit certain materials, such as perovskite materials, onto the surface of the workpiece.
  • electrochemical processes must uniformly process the surface of a given microelectronic workpiece. Further, the electrochemical process must meet workpiece-to-workpiece uniformity requirements.
  • Electrochemical processes may be conducted in reaction chambers having either a single electrode or multiple electrodes. Where a single-electrode reaction chamber is used, improving the level uniformity achieved by the process often involves manual trial-and-error modifications to the hardware configuration of the reaction chamber. For example, operators of the process may experiment with repositioning or reorienting the electrode, the workpiece, or a baffle separating the electrode from the workpiece, or may modify aspects of a fluid flow within the reaction chamber in attempts to improve the level uniformity achieved by the process.
  • Electrodes In a multiple-electrode reaction chamber, two or more electrodes are arranged in some pattern. Each of the electrodes is connected to an electrical power supply that provides the electrical power used to execute the electrochemical processing operations. Preferably, at least some of the electrodes are connected to different electrical nodes so that the electrical power provided to them by the power supply may be provided independent of the electrical power provided to other electrodes in the array.
  • Electrode arrays having a plurality of electrodes facilitate localized control of the electrical parameters used to electrochemically process the microelectronic workpiece.
  • This localized control of the electrical parameters can be used to provide greater uniformity of the electrochemical processing across the surface of the microelectronic workpiece when compared to single electrode systems without necessitating hardware changes.
  • determining the electrical parameters for each of the electrodes in the array to achieve the desired process uniformity can be problematic.
  • the electrical parameter i.e., electrical current, voltage, etc.
  • the electrical parameters do not easily translate to other electrochemical processes.
  • a given set of electrical parameters used to electroplate a metal to a thickness X onto the surface of a microelectronic workpiece cannot easily be used to derive the electrical parameters used to electroplate a metal to a thickness Y.
  • the electrical parameters used to electroplate a desired film thickness X of a given metal e.g., copper
  • the electrical parameters used to electroplate a desired film thickness X of a given metal are generally not suitable for use in electroplating another metal (e.g., platinum).
  • Similar deficiencies in this trial and error approach are associated with other types of electrochemical processes (i.e., anodization, electropolishing, etc.).
  • this manual trial and error approach often must be repeated in several common circumstances, such as when the thickness or level of uniformity of the seed layer changes, when the target plating thickness or profile changes, or when the plating rate changes.
  • a system for electrochemically processing a microelectronic workpiece that can be used to automatically identify electrical parameters that cause a multiple electrode array to achieve a high level of uniformity for a wide range of electrochemical processing variables (e.g., seed layer thicknesses, seed layer types, electroplating materials, etc.) would have significant utility.
  • a facility for automatically identifying electrical parameters that produce a high level of uniformity in electrochemically processing a microelectronic workpiece is described.
  • Embodiments of this facility are adapted to accommodate various electrochemical processes; reactor designs and conditions; plating materials and solutions; workpiece dimensions, materials, and conditions, and the nature and condition of existing coatings on the workpiece. Accordingly, use of the facility may typically result in substantial automation of electrochemical processing, even where a large number of variables in different dimensions are present. Such automation has the capacity to reduce the cost of skilled labor required to oversee a processing operation, as well as increase output quality and throughput. Additionally, use of the facility can both streamline and improve the process of designing new electroplating reactors.
  • the facility selects and refines electrical parameters for processing a microelectronic workpiece in a processing chamber.
  • the facility initially configures the electrical parameters in accordance with either a mathematical model of the processing chamber or experimental data derived from operating the actual processing chamber. After a workpiece is processed with the initial parameter configuration, the results are measured and a sensitivity matrix based upon the mathematical model of the processing chamber is used to select new parameters that correct for any deficiencies measured in the processing of the first workpiece. These parameters are then used in processing a second workpiece, which may be similarly measured, and the results used to further refine the parameters.
  • the facility utilizes a sensitivity matrix data structure.
  • the sensitivity matrix data structure relates to a deposition chamber for depositing material on a workpiece.
  • the deposition chamber has a number of deposition initiators, associated with each of which is a control parameter.
  • the deposition chamber may have deposition initiators that are electrodes, whose control parameters are electrical current levels or other control parameters.
  • the data structure contains a number of quantitative entries, each of which predicts, for a given change in the control parameter associated with a given deposition initiator, the expected change in deposited material thickness at a given radius. The contents of this data structure may be used to determine revised deposition initiator parameters for better conforming deposited material thicknesses to a target profile for deposited material thicknesses.
  • the facility utilizes a material deposition process data structure, which contains a set of parameter values used in a material deposition process. These parameters have been generated by adjusting an earlier-used set of parameters to resolve differences between measurements of a workpiece deposited using the earlier-used set of parameters in a target deposition profile specified for the deposition process. The contents of this data structure may be used to deposit an additional workpiece in great conformance with the specified deposition profile.
  • the facility controls an electroplating process having multiple steps, which is performed in an electroplating chamber having a number of electrodes. For each electrode, the facility determines the net plating charge delivered through the electrode during a first plating cycle to plate a first workpiece. This is accomplished by summing the plating charges delivered through the electrode in each step of the process. The facility then compares a plating profile achieved in plating the first workpiece to a target plating profile. In such comparison, the facility identifies deviations between the achieved plating profile and the target plating profile. The facility determines new net plating charges for each electrode selected to reduce the identified deviations in the second workpiece.
  • the facility For each of these new net plating charges, the facility distributes the new net plating charge across the steps of the process, and uses the distributed new net plating charges to determine a current for each electrode for each step of the process. A second plating cycle may then be conducted to plate a second workpiece using the currents determined for each electrode for each step.
  • the facility evaluates a design for an electroplating reactor.
  • the facility first applies a mathematical model embodying the reactor design to a set of initial electrode current to determine a first resulting plating profile.
  • the facility compares the first resulting plating profile to a target plating profile to obtain a first difference.
  • the facility then applies a sensitivity technique to identify a set of revised electrode currents, and applies the mathematical model to the set of revised electrode currents to determine a second resulting plating profile.
  • the facility compares the second resulting plating profile to the target plating profile to obtain a second difference, and evaluates the design based on the obtained second difference.
  • the facility is embodied in an apparatus for selecting parameters for use in controlling operation of a deposition chamber to deposit material on a selected wafer in a way that optimizes conformity with a specified deposition pattern.
  • the apparatus includes a measurement receiving subsystem that receives the following measurements: pre-deposition thicknesses of the selected wafer before material is deposited on the wafer; post-deposition thicknesses of an already-deposited wafer after material is deposited on the already-deposited wafer; and pre-deposition thicknesses of the already-deposited wafer before material is deposited on the wafer.
  • the apparatus further includes a parameter selection subsystem that selects the parameters to be used to deposit material on the selected wafer based on the specified deposition pattern, the pre-deposition thicknesses of the selected wafer, the pre-deposition thicknesses of the already-deposited wafer, parameters used for depositing material on the already-deposited wafer, and the post-deposition thicknesses of the already-deposited wafer.
  • the facility electroplates a selected surface using a plurality of electrodes.
  • the facility obtains a current specification set comprised of a plurality of current levels, each specified for a particular one of the plurality of electrodes.
  • the current levels of the current specification set each represent a modification of current levels of a distinguished current specification set, modified in order to improve results produced by electroplating in accordance with the distinguished current specification set.
  • the facility delivers the current level specified for the electrode by the current specification set to the electrode in order to electroplate the selected surface.
  • the facility automatically configures parameters usable to control operation of a reaction chamber to electropolish a selected wafer in a way that optimizes conformity with a specified electropolishing pattern.
  • the facility receives pre-polishing thicknesses of the selected wafer before the selected wafer is polished.
  • the facility also receives post-polishing thicknesses of an already-polished wafer the already-polished wafer is polished.
  • the facility further receives pre-polishing thicknesses of the already-polished wafer before the already-polished wafer is polished.
  • the facility selects the parameters to polish the selected wafer based on the specified polishing pattern, the pre-polishing thicknesses of the selected wafer, the pre-polishing thicknesses of the already-polished wafer, parameters used for polishing the already-polished wafer, and the post-polishing thicknesses of the already-polished wafer.
  • the facility electroplates a microelectronic workpiece.
  • the facility receives data representing a profile of a seed layer that has been applied to the workpiece, such as from a metrology station.
  • the facility identifies deficiencies in the seed layer based upon the profile of the seed layer represented by the received data, and determines a set of control parameters for plating the workpiece in a manner that compensates for the identified deficiencies in the seed layer.
  • the facility communicates this determined set of control parameters to a plating tool for use in plating the workpiece.
  • FIG. 1 is a process schematic diagram showing inputs and outputs of the optimizer.
  • FIG. 2 is a process schematic diagram showing a branched correction system utilized by some embodiments of the optimizer.
  • FIG. 3 is schematic block diagram of an electrochemical processing system constructed in accordance with one embodiment of the optimizer.
  • FIG. 4 is a flowchart illustrating one manner in which the optimizer of FIG. 3 can use a predetermined set of sensitivity values to generate a more accurate electrical parameter set for use in meeting targeted physical characteristics in the processing of a microelectronic workpiece.
  • FIG. 5 is a graph of a sample Jacobian sensitivity matrix for a multiple-electrode reaction chamber.
  • FIG. 6 is a spreadsheet diagram showing the new current outputs calculated from the inputs for the first optimization run.
  • FIG. 7 is a spreadsheet diagram showing the new current outputs calculated from the inputs for the second optimization run.
  • the optimizer determines process parameters affecting the processing of a round workpiece as a function of processing results at various radii on the workpiece.
  • the optimizer adjusts the electrode currents for a multiple electrode electroplating chamber, such as multiple anode reaction chambers of the Paragon tool provided by Semitool, Inc. of Kalispell, Mont., in order to achieve a specified thickness profile (i.e., flat, convex, concave, etc.) of a coating, such as a metal or other conductor, applied to a semiconductor wafer.
  • the optimizer adjusts electrode currents for successive workpieces to compensate for changes in the thickness of the seed layer of the incoming workpiece (a source of feed forward control), and/or to correct for non-uniformities produced in prior wafers at the anode currents used to plate them (a source of feedback control). In this way, the optimizer is able to quickly achieve a high level of uniformity in the coating deposited on workpieces without substantial manual intervention.
  • the facility typically operates an electroplating chamber containing a principal fluid flow chamber, and a plurality of electrodes disposed in the principal fluid flow chamber.
  • the electroplating chamber typically further contains a workpiece holder positioned to hold at least one surface of the microelectronic workpiece in contact with an electrochemical processing fluid in the principal fluid flow chamber, at least during electrochemical processing of the microelectronic workpiece.
  • One or more electrical contacts are configured to contact the at least one surface of the microelectronic workpiece, and an electrical power supply is connected to the one or more electrical contacts and to the plurality of electrodes. At least two of the plurality of electrodes are independently connected to the electrical power supply to facilitate independent supply of power thereto.
  • the apparatus also includes a control system that is connected to the electrical power supply to control at least one electrical power parameter respectively associated with each of the independently connected electrodes.
  • the control system sets the at least one electrical power parameter for a given one of the independently connected electrodes based on one or more user input parameters and a plurality of predetermined sensitivity values; wherein the sensitivity values correspond to process perturbations resulting from perturbations of the electrical power parameter for the given one of the independently connected electrodes.
  • the teachings herein can also be extended to other types of microelectronic workpiece processing.
  • teachings herein can be extended to other microelectronic workpiece processing systems that have individually controlled processing elements that are responsive to control parameters and that have interdependent effects on a physical characteristic of the microelectronic workpiece that is processed using the elements.
  • Such systems may employ sensitivity tables or matrices as set forth herein and use them in calculations with one or more input parameters sets to arrive at control parameter values that accurately result in the targeted physical characteristic of the microelectronic workpiece.
  • FIG. 1 is a process schematic diagram showing inputs and outputs of the optimizer.
  • FIG. 1 shows that the optimizer 140 uses up to three sources of input: baseline currents 110 , seed change 120 , and thickness error 130 .
  • the baseline currents 110 are the anode currents used to plate the previous wafer or another set of currents for which plating thickness results are known.
  • the baseline currents used to plate the wafer are typically specified by a source other than the optimizer. For example, they may be specified by a recipe used to plate the wafers, or may be manually determined.
  • the seed change 120 is the difference between the thickness of the seed layer of the incoming wafer 121 and the thickness of the seed layer of the previous plated wafer 122 .
  • the seed change input 120 is said to be a source of feed-forward control in the optimizer, in that it incorporates information about the upcoming plating cycle, as it reflects the measurement the wafer to be plated in the upcoming plating cycle.
  • Thickness error 130 is the difference in thickness between the previous plated wafer 132 and the target thickness profile 131 specified for the upcoming plating cycle.
  • the thickness error 130 is said to be a source of feedback control, because it incorporates information from an earlier plating cycle, that is, the thickness of the wafer plated in the previous plating cycle.
  • FIG. 1 further shows that the optimizer outputs new plating charges 150 for each electrode in the upcoming plating cycle, expressed in amp-minute units.
  • the new plating charges output is combined with a recipe schedule and a current waveform 161 to generate the currents 162 , in amps, to be delivered through each electrode at each point in the recipe schedule.
  • These new currents are used by the plating process to plate a wafer in the next plating cycle.
  • other types of control parameters are generated by the optimizer for use in operating the power supply. For example, where a voltage control power supply is used, the control parameters generated by the optimizer are voltages, expressed in volts.
  • the wafer so plated is then subjected to post-plating metrology to measure its plated thickness 132 .
  • the optimizer is shown as receiving inputs and producing outputs at various points in the processing of these values, it will be understood by those in the art that the optimizer may be variously defined to include or exclude aspects of such processing.
  • FIG. 1 shows the generation of seed change from baseline wafer seed thickness and seed layer thickness outside the optimizer, it is contemplated that such generation may alternatively be performed within the optimizer.
  • FIG. 2 is a process schematic diagram showing a branched correction system utilized by some embodiments of the optimizer.
  • the branched adjustment system utilizes two independently-engageable correction adjustments, a feedback adjustment ( 230 , 240 , 272 ) due to thickness errors and a feed forward adjustment ( 220 , 240 , 271 ) due to incoming seed layer thickness variation.
  • the feedback loop may be disengaged from the transformation of baseline currents 210 to new currents 280 .
  • the feed forward compensation may be disengaged in situations where the seed layer variations are not expected to affect thickness uniformity. For example, after the first wafer of a similar batch is corrected for, the feed-forward compensation may be disengaged and the corrections may be applied to each sequential wafer in the batch.
  • FIG. 3 is schematic block diagram of an electrochemical processing system constructed in accordance with one embodiment of the optimizer.
  • FIG. 3 shows a reactor assembly 20 for electrochemically processing a microelectronic workpiece 25 , such as a semiconductor wafer, that can be used in connection with the present invention.
  • an embodiment of the reactor assembly 20 includes a reactor head 30 and a corresponding reactor base or container shown generally at 35 .
  • the reactor base 35 can be a bowl and cup assembly for containing a flow of an electrochemical processing solution.
  • the reactor 20 of FIG. 3 can be used to implement a variety of electrochemical processing operations such as electroplating, electropolishing, anodization, etc., as well as to implement a wide variety of other material deposition techniques.
  • electroplating electroplating
  • electropolishing electropolishing
  • anodization etc.
  • the reactor head 30 of the reactor assembly 20 can include a stationary assembly (not shown) and a rotor assembly (not shown).
  • the rotor assembly may be configured to receive and carry an associated microelectronic workpiece 25 , position the microelectronic workpiece in a process-side down orientation within reactor container 35 , and to rotate or spin the workpiece.
  • the reactor head 30 can also include one or more contacts 85 (shown schematically) that provide electroplating power to the surface of the microelectronic workpiece.
  • the contacts 85 are configured to contact a seed layer or other conductive material that is to be plated on the plating surface microelectronic workpiece 25 .
  • the contacts 85 can engage either the front side or the backside of the workpiece depending upon the appropriate conductive path between the contacts and the area that is to be plated.
  • Suitable reactor heads 30 with contacts 85 are disclosed in U.S. Pat. No. 6,080,291 and U.S. application Ser. Nos. 09/386,803; 09/386,610; 09/386,197; 09/717,927; and 09/823,948, all of which are expressly incorporated herein in their entirety by reference.
  • the reactor head 30 can be carried by a lift/rotate apparatus that rotates the reactor head 30 from an upwardly-facing orientation in which it can receive the microelectronic workpiece to a downwardly facing orientation in which the plating surface of the microelectronic workpiece can contact the electroplating solution in reactor base 35 .
  • the lift/rotate apparatus can bring the workpiece 25 into contact with the electroplating solution either coplanar or at a given angle.
  • a robotic system which can include an end effector, is typically employed for loading/unloading the microelectronic workpiece 25 on the head 30 . It will be recognized that other reactor assembly configurations may be used with the inventive aspects of the disclosed reactor chamber, the foregoing being merely illustrative.
  • the reactor base 35 can include an outer overflow container 37 and an interior processing container 39 .
  • a flow of electroplating fluid flows into the processing container 39 through an inlet 42 (arrow 1 ).
  • the electroplating fluid flows through the interior of the processing container 39 and overflows a weir 44 at the top of processing container 39 (arrow F).
  • the fluid overflowing the weir 44 then passes through an overflow container 37 and exits the reactor 20 through an outlet 46 (arrow O).
  • the fluid exiting the outlet 46 may be directed to a recirculation system, chemical replenishment system, disposal system, etc.
  • the reactor 20 also includes an electrode in the processing container 39 to contact the electrochemical processing fluid (e.g., the electroplating fluid) as it flows through the reactor 20 .
  • the reactor 20 includes an electrode assembly 50 having a base member 52 through which a plurality of fluid flow apertures 54 extend.
  • the fluid flow apertures 54 assist in disbursing the electroplating fluid flow entering inlet 42 so that the flow of electroplating fluid at the surface of microelectronic workpiece 25 is less localized and has a desired radial distribution.
  • the electrode assembly 50 also includes an electrode array 56 that can comprise a plurality of individual electrodes 58 supported by the base member 52 .
  • the electrode array 56 can have several configurations, including those in which electrodes are disposed at different distances from the microelectronic workpiece.
  • the particular physical configuration that is utilized in a given reactor can depend on the particular type and shape of the microelectronic workpiece 25 .
  • the microelectronic workpiece 25 is a disk-shaped semiconductor wafer. Accordingly, the present inventors have found that the individual electrodes 58 may be formed as rings of different diameters and that they may be arranged concentrically in alignment with the center of microelectronic workpiece 25 . It will be recognized, however, that grid arrays or other electrode array configurations may also be employed without departing from the scope of the present invention.
  • One suitable configuration of the reactor base 35 and electrode array 56 is disclosed in U.S.
  • the plating surface of the workpiece 25 functions as a cathode in the electrochemical reaction and the electrode array 56 functions as an anode.
  • the plating surface of workpiece 25 is connected to a negative potential terminal of a power supply 60 through contacts 85 and the individual electrodes 58 of the electrode array 56 are connected to positive potential terminals of the supply 60 .
  • each of the individual electrodes 58 is connected to a discrete terminal of the supply 60 so that the supply 60 may individually set and/or alter one or more electrical parameters, such as the current flow, associated with each of the individual electrodes 58 .
  • the electrode array 56 preferably comprises at least two individually controllable electrodes.
  • the electrode array 56 and the power supply 60 facilitate localized control of the electrical parameters used to electrochemically process the microelectronic workpiece 25 .
  • This localized control of the electrical parameters can be used to enhance the uniformity of the electrochemical processing across the surface of the microelectronic workpiece when compared to a single electrode system.
  • determining the electrical parameters for each of the electrodes 58 in the array 56 to achieve the desired process uniformity can be difficult.
  • the optimizer simplifies and substantially automates the determination of the electrical parameters associated with each of the individually controllable electrodes.
  • the optimizer determines a plurality of sensitivity values, either experimentally or through numerical simulation, and subsequently uses the sensitivity values to adjust the electrical parameters associated with each of the individually controllable electrodes.
  • the sensitivity values may be placed in a table or may be in the form of a Jacobian matrix.
  • This table/matrix holds information corresponding to process parameter changes (i.e., thickness of the electroplated film) at various points on the workpiece 25 due to electrical parameter perturbations (i.e., electrical current changes) to each of the individually controllable electrodes.
  • This table/matrix is derived from data from a baseline workpiece plus data from separate runs with a perturbation of a controllable electrical parameter to each of the individually controllable electrode.
  • the optimizer typically executes in a control system 65 that is connected to the power supply 60 in order to supply current values for a plating cycle.
  • the control system 65 can take a variety of forms, including general- or special-purpose computer systems, either integrated into the manufacturing tool containing the reaction chamber or separate from the manufacturing tool, such as a laptop or other portable computer system.
  • the control system may be communicatively connected to the power supply 60 , or may output current values that are in turn manually inputted to the power supply. Where the control system is connected to the power supply by a network, other computer systems and similar devices may intervene between the control system and the power supply.
  • control system contains such components as one or more processors, a primary memory for storing programs and data, a persistent memory for persistently storing programs and data, input/output devices, and a computer-readable medium drive, such as a CD-ROM drive or a DVD drive.
  • FIG. 4 is a flow diagram illustrating one manner in which the sensitivity table/matrix may be used to calculate an electrical parameter (i.e., current) for each of the individually controllable electrodes 58 that may be used to meet a process target parameter (i.e., target thickness of the electroplated film).
  • an electrical parameter i.e., current
  • a process target parameter i.e., target thickness of the electroplated film
  • the optimizer utilizes two sets of input parameters along with the sensitivity table/matrix to calculate the required electrical parameters.
  • the optimizer performs a first plating cycle (a “test run”) using a known, predetermined set of electrical parameters.
  • a test run can be performed by subjecting a microelectronic workpiece 25 to an electroplating process in which the current provided to each of the individually controllable electrodes 58 is fixed at a predetermined magnitude for a given period of time.
  • the optimizer measures the physical characteristics (i.e., thickness of the electroplated film) of the test workpiece to produce a first set of parameters.
  • the test workpiece may be subjected to thickness measurements using a metrology station, producing a set of parameters containing thickness measurements at each of a number of points on the test workpiece.
  • the optimizer compares the physical characteristics of the test workpiece measured in step 72 against a second set of input parameters.
  • the second set of input parameters corresponds to the target physical characteristics of the microelectronic workpiece that are to be ultimately achieved by the process (i.e., the thickness of the electroplated film).
  • the target physical characteristics can either be uniform over the surface of the microelectronic workpiece 25 or vary over the surface.
  • the thickness of an electroplated film on the surface of the microelectronic workpiece 25 can be used as the target physical characteristic, and the user may expressly specify the target thicknesses at various radial distances from the center of the workpiece, a grid relative to the workpiece, or other reference systems relative to fiducials on the workpiece.
  • step 74 the optimizer uses the first and second set of input parameters to generate a set of process error values.
  • step 80 the optimizer derives a new electrical parameter set based on calculations including the set of process error values and the values of the sensitivity table/matrix.
  • step 82 once the new electrical parameter set is derived, the optimizer directs power supply 60 to use the derived electrical parameters in processing the next microelectronic workpiece.
  • step 404 the optimizer measures physical characteristics of the test workpiece in a manner similar to step 72 .
  • step 406 the optimizer compares the characteristics measured in step 404 with a set of target characteristics to generate a set of process error values.
  • the set of target characteristics may be the same set of target characteristics as used in step 74 , or may be a different set of target characteristics.
  • step 408 if the error values generated in step 406 are within a predetermined range, then the optimizer continues in step 410 , else the facility continues in 80 .
  • step 80 the optimizer derives a new electrical parameter set.
  • step 410 the optimizer uses the newest electrical parameter derived in step 80 in processing subsequent microelectronic workpieces. In some embodiments (not shown), the processed microelectronic workpieces, and/or their measured characteristics are examined, either manually or automatically, in order to further troubleshoot the process.
  • the first and second set of input parameters may be provided to the control system 65 by a user interface 64 and/or a metrics tool 86 .
  • the user interface 64 can include a keyboard, a touch-sensitive screen, a voice recognition system, and/or other input devices.
  • the metrics tool 86 may be an automated tool that is used to measure the physical characteristics of the test workpiece after the test run, such as a metrology station. When both a user interface 64 and a metrics tool 86 are employed, the user interface 64 may be used to input the target physical characteristics that are to be achieved by the process while metrics tool 86 may be used to directly communicate the measured physical characteristics of the test workpiece to the control system 65 .
  • control system 65 In the absence of a metrics tool that can communicate with control system 65 , the measured physical characteristics of the test workpiece can be provided to control system 65 through the user interface 64 , or by removable data storage media, such as a floppy disk. It will be recognized that the foregoing are only examples of suitable data communications devices and that other data communications devices may be used to provide the first and second set of input parameters to control system 65 .
  • the optimizer In order to predict change in thickness as a function of change in current, the optimizer generates a Jacobian sensitivity matrix.
  • a Jacobian sensitivity matrix An example in which the sensitivity matrix generated by the optimizer is based upon a mathematical model of the reaction chamber is discussed below. In additional embodiments, however, the sensitivity matrix used by the optimizer is based upon experimental results produced by operating the actual reaction chamber.
  • the data modeled in the sensitivity matrix includes a baseline film thickness profile and as many perturbation curves as anodes, where each perturbation curve involves adding roughly 0.05 amps to one specific anode.
  • the Jacobian is a matrix of partial derivatives, representing the change in thickness in microns over the change in current in amp minutes.
  • the Jacobian is an m ⁇ n matrix where m, the number of rows, is equal to the number of radial location data points in the modeled data and n, the number of columns, is equal to the number of anodes on the reactor.
  • m the number of rows
  • n the number of columns
  • m the number of anodes on the reactor.
  • the value of m is relatively large (>100) due to the computational mesh chosen for the model of the chamber.
  • the components of the matrix are calculated by taking the quotient of the difference in thickness due to the perturbed anode and the current change in amp-minutes, which is the product of the current change in amps and the run time in minutes.
  • the optimizer uses the thickness of the most-recently plated wafer at each of a number of radial positions on the plated wafer. These radial positions may either be selected from the radial positions corresponding to the rows of the matrix, or may be interpolated between the radial positions corresponding to the rows of the matrix. A wide range of numbers of radial positions may be used. As the number of radial positions used increases, the optimizer's results in terms of coating uniformity improves. However, as the number of radial positions used increases, the amount of time required to measure the wafer, to input the measurement results, and/or to operate the optimizer to generate new currents can increase.
  • One approach is to use the number of radial test points within a standard metrology contour map (4 for 200 mm and 4 or 6 for 300 mm) plus one, where the extra point is added to better the 3 sigma uniformity for all the points (i.e., to better the diameter scan).
  • a specific measurement point map may be designed for the metrology station, which will measure the appropriate points on the wafer corresponding with the radial positions necessary for the optimizer operation.
  • the optimizer can further be understood with reference to a specific embodiment in which the electrochemical process is electroplating, the thickness of the electroplated film is the target physical parameter, and the current provided to each of the individually controlled electrodes 58 is the electrical parameter that is to be controlled to achieve the target film thickness.
  • a Jacobian sensitivity matrix is first derived from experimental or numerically simulated data.
  • FIG. 5 is a graph of a sample Jacobian sensitivity matrix for a multiple-electrode reaction chamber. In particular, FIG.
  • FIG. 5 is a graph of a sample change in electroplated film thickness per change in current-time as a function of radial position on the microelectronic workpiece 25 for each of a number of individually controlled electrodes, such as anodes A1-A4 shown in FIG. 3 .
  • a first baseline workpiece is electroplated for a predetermined period of time by delivering a predetermined set of current values to electrodes in the multiple anode reactor.
  • the thickness of the resulting electroplated film is then measured as a function of the radial position on the workpiece.
  • These data points are then used as baseline measurements that are compared to the data acquired as the current to each of the anodes A1-A4 is perturbated.
  • Line 90 is a plot of the Jacobian terms associated with a perturbation in the current provided by power supply 60 to anode A1 with the current to the remaining anodes A2-A4 held at their constant predetermined values.
  • Line 92 is a plot of the Jacobian terms associated with a perturbation in the current provided by power supply 60 to anode A2 with the current to the remaining anodes A1 and A3-A4 held at their constant predetermined values.
  • Line 94 is a plot of the Jacobian terms associated with a perturbation in the current provided by power supply 60 to anode A3 with the current to the remaining anodes A1-A2 and A4 held at their constant predetermined values.
  • line 96 is a plot of the Jacobian terms associated with a perturbation in the current provided by power supply 60 to anode A4 with the current to the remaining anodes A1-A3 held at their constant predetermined values.
  • the Jacobian sensitivity matrix is an index of the Jacobian values computed using Equations (A1)-(A4).
  • the Jacobian matrix may be generated either using a simulation of the operation of the deposition chamber based upon a mathematical model of the deposition chamber, or using experimental data derived from the plating of one or more test wafers. Construction of such a mathematical model, as well as its use to simulate operation of the modeled deposition chamber, is discussed in detail in G. Ritter, P. McHugh, G. Wilson and T. Ritzdorf, “Two- and three-dimensional numerical modeling of copper electroplating for advanced ULSI metallization,” Solid State Electronics, volume 44, issue 5, pp.
  • the values in the Jacobian matrix are also presented as highlighted data points in the graph of FIG. 5 . These values correspond to the radial positions on the surface of a semiconductor wafer that are typically chosen for measurement. Once the values for the Jacobian sensitivity matrix have been derived, they may be stored in control system 65 for further use.
  • Table 1 sets forth exemplary data corresponding to a test run in which a 200 mm wafer is plated with copper in a multiple anode system using a nominally 2000 ⁇ thick initial copper seed-layer. Identical currents of 1.12 Amps (for 3 minutes) were provided to all four anodes A1-A4. The resulting thickness at five radial locations was then measured and is recorded in the second column of Table 1. The 3 sigma uniformity of the wafer is 9.4% using a 49 point contour map. Target thickness were then provided and are set forth in column 3 of Table 1. In this example, because a flat coating is desired, the target thickness is the same at each radial position.
  • the thickness errors (processed errors) between the plated film and the target thickness were then calculated and are provided in the last column of Table 1. These calculated thickness errors are used by the optimizer as a source of feedback control.
  • the Jacobian sensitivity matrix may then be used along with the thickness error values to provide a revised set of anode current values that should yield better film uniformity.
  • t i target is the target thickness required to obtain a wafer of desired profile while considering the total current adjustment
  • t i old is the old overall thickness
  • t i new seed is the thickness of the new seed layer
  • t i old seed is the thickness of the old seed layer
  • t i specified is the thickness specification relative to the center of the wafer, that is, the thickness specified by the target plating profile.
  • the term t i specified represents the target thickness
  • the quantity t i target ⁇ t i old represents feedback from the previous wafer
  • the quantity t i new seed ⁇ t i old seed represents feedforward from the thickness of the seed layer of the incoming wafer—to disable feedback control, the first quantity is omitted from equation (B3); to disable feedforward control, the second quantity is omitted from equation (B3).
  • Table 2 shows the foregoing equations as applied to the given data set and the corresponding current changes that have been derived from the equations to meet the target thickness at each radial location (best least square fit).
  • Such application of the equations, and construction of the Jacobian matrix is in some embodiments performed using a spreadsheet application program, such as Microsoft Excel®, in connection with specialized macro programs. In other embodiments, different approaches are used in constructing the Jacobian matrix and applying the above equations.
  • control system 65 of FIG. 3 directs power supply 60 to provide the corrected current to the respective anode A1-A4 during subsequent processes to meet the target film thickness and uniformity.
  • the Jacobian sensitivity matrix in the foregoing example quantifies the system response to anode current changes about a baseline condition. Ideally, a different matrix may be employed if the processing conditions vary significantly from the baseline.
  • the number of system parameters that may influence the sensitivity values of the sensitivity matrix is quite large. Such system parameters include the seed layer thickness, the electrolyte conductivity, the metal being plated, the film thickness, the plating rate, the contact ring geometry, the wafer position relative to the chamber, and the anode shape/current distribution.
  • Anode shape/current distribution is included to accommodate chamber designs where changes in the shape of consumable anodes over time affect plating characteristics of the chamber.
  • sensitivity tables/matrices may be derived for different processing conditions and stored in control system 65 . Which of the sensitivity tables/matrices is to be used by the control system 65 can be entered manually by a user, or can be set automatically depending on measurements taken by certain sensors or the like (i.e., temperature sensors, chemical analysis units, etc.) that indicate the existence of one or more particular processing conditions.
  • the optimizer may also be used to compensate for differences and non-uniformities of the initial seed layer of the microelectronic workpiece.
  • a blanket seed layer can affect the uniformity of a plated film in two ways:
  • this non-uniformity is added to the final film.
  • the final film thickness may also be 100 ⁇ thinner at the outer edge.
  • the resistance of the seed-layer will change resulting in a modified current density distribution across the wafer and altered film uniformity. For example, if the seed layer decreases from 2000 ⁇ to 1000 ⁇ , the final film will not only be thinner (because the initial film is thinner) but it will also be relatively thicker at the outer edge due to the higher resistivity of the 1000 ⁇ seed-layer compared to the 2000 ⁇ seed-layer (assuming an edge contact).
  • the optimizer can be used to compensate for such seed-layer deviations, thereby utilizing seed-layer thicknesses as a source of feed-forward control.
  • the changes in seed-layer uniformity may be handled in the same manner that errors between target thickness and measured thickness are handled.
  • a pre-measurement of the wafer quantifies changes in the seed-layer thickness at the various radial measurement locations and these changes (errors) are figured into the current adjustment calculations. Using this approach, excellent uniformity results can be obtained on the new seed layer, even on the first attempt at electroplating.
  • an update of or selection of another stored sensitivity/Jacobian matrix can be used to account for a significantly different resistance of the seed-layer.
  • a simple method to adjust for the new seed layer thickness is to plate a film onto the new seed layer using the same currents used in plating a film on the previous seed layer. The thickness errors measured from this wafer can be used with a sensitivity matrix appropriate for the new seed-layer to adjust the currents.
  • a second test run is described.
  • the optimization process begins with a baseline current set or standard recipe currents.
  • a wafer must be pre-read for seed layer thickness data, and then plated using the indicated currents. After plating, the wafer is re-measured for the final thickness values. The following wafer must also be pre-read for seed layer thickness data. Sixty-seven points at the standard five radial positions (0 mm, 31.83 mm, 63.67 mm, 80 mm, 95.5 mm) are typically measured and averaged for each wafer reading.
  • the thickness data from the previous wafer, and the new wafer seed layer, in addition to the anode currents, are entered into the input page of the optimizer.
  • the user may also elect to input a thickness specification, or chose to modify the plating thickness by adjusting the total current in amp-minutes.
  • the user activates the optimizer.
  • the optimizer predicts thickness changes and calculates new currents.
  • the new wafer is then plated with the adjusted anode currents and then measured. A second modification may be required if the thickness profile is not satisfactory.
  • the optimization is continued.
  • the post-plated wafer is measured for thickness values, and another wafer is pre-read for a new seed set of seed layer thickness values. Then, the following quantities are entered on the input page:
  • the recipe time and thickness profile specification should be consistent with the previous iteration.
  • the program is now ready to be run again to provide a new set of anode currents for the next plating attempt.
  • the processed wafer is measured and if the uniformity is still not acceptable, the procedure may be continued with another iteration.
  • the standard value determining the uniformity of a wafer is the 3- ⁇ , which is the standard deviation of the measured points relative to the mean and multiplied by three.
  • a forty-nine point map is used with measurements at the radial positions of approximately 0 mm, 32 mm, 64 mm, and 95 mm to test for uniformity.
  • Wafer #3934 is the first plated wafer using a set of standard anode currents: 0.557/0.818/1.039/0.786 (anode1/anode2/anode3/anode4 in amps) with a recipe time of 2.33 minutes (140 seconds). Before plating, the wafer is pre-read for seed layer data. These thickness values, in microns, from the center to the outer edge, are shown in Table 3: TABLE 3 SEED LAYER THICKNESS VALUES FOR WAFER #3934 Radius (mm) Thickness ( ⁇ m) 0.00 0.130207 31.83 0.13108 63.67 0.131882 80.00 0.129958 95.50 0.127886
  • the wafer is then sent to the plating chamber, and then re-measured after being processed.
  • the resulting thickness values (in microns) for the post-plated wafer #3934 are shown in Table 4: TABLE 4 THICKNESS VALUES FOR POST-PLATED WAFER #3934 Radius (mm) Thickness ( ⁇ m) 0.00 0.615938 31.83 0.617442 63.67 0.626134 80.00 0.626202 95.50 0.628257
  • the 3- ⁇ for the plated wafer is calculated to be 2.67% over a range of 230.4 Angstroms. Since the currents are already producing a wafer below 3%, any adjustments are going to be minor. The subsequent wafer has to be pre-read for seed layer values in order to compensate for any seed layer differences.
  • Wafer #4004 is measured and the thickness values in microns are shown in Table 5: TABLE 5 SEED LAYER THICKNESS VALUES FOR WAFER #4004 Radius (mm) Thickness ( ⁇ m) 0.00 0.130308 31.83 0.131178 63.67 0.132068 80.00 0.13079 95.50 0.130314
  • FIG. 6 is a spreadsheet diagram showing the new current outputs calculated from the inputs for the first optimization run. It can be seen that the input values 601 have generated output 602 , including a new current set. The optimizer has also predicted the absolute end changed thicknesses 603 that this new current set will produce.
  • the new anode currents are sent to the process recipe and run in the plating chamber.
  • the run time and total currents remain constant, and the current density on the wafer is unchanged.
  • the new seed layer data from this run for wafer #4004 will become the old seed layer data for the next iteration.
  • the thickness (microns) resulting from the adjusted currents plated on wafer #4004 are shown in Table 6: TABLE 6 THICKNESS VALUES FOR POST-PLATED WAFER #4004 Radius (mm) Thickness ( ⁇ m) 0.00 0.624351 31.83 0.621553 63.67 0.622704 80.00 0.62076 95.50 0.618746
  • the post-plated wafer has a 3- ⁇ of 2.117% over a range of 248.6 Angstroms.
  • Wafer # 4220 is pre-measured and the thickness values in microns are shown in Table 7: TABLE 7 SEED LAYER THICKNESS VALUES FOR WAFER #4220 Radius (mm) Thickness ( ⁇ m) 0.00 0.127869 31.83 0.129744 63.67 0.133403 80.00 0.134055 95.50 0.1335560
  • FIG. 7 is a spreadsheet diagram showing the new current outputs calculated from the inputs for the second optimization run. It can be seen that, from input value 701 , the optimizer has produced output 702 including a new current set. It can further be seen that that the facility has predicted absolute and changed thicknesses 703 that will be produced using the new currents.
  • the corrected anode currents are again sent to the recipe and applied to the plating process.
  • the 2 nd adjustments on the anode currents produce the thickness values in microns shown in Table 8: TABLE 8 THICKNESS VALUES FOR POST-PLATED WAFER #4220 Radius (mm) Thickness ( ⁇ m) 0.00 0.624165 31.83 0.622783 63.67 0.626911 80.00 0.627005 95.50 0.623823
  • the 3- ⁇ for wafer #4220 is 1.97% over a range of 213.6 Angstroms.
  • the procedure may continue to better the uniformity, but the for the purpose of this explanation, a 3- ⁇ below 2% is acceptable.
  • the optimizer may also be used to compensate for reactor-to-reactor variations in a multiple reactor system, such as the LT-210CTM available from Semitool, Inc., of Kalispell, Mont.
  • a multiple reactor system such as the LT-210CTM available from Semitool, Inc., of Kalispell, Mont.
  • the anode currents required to plate a specified film might be different on one reactor when compared to another.
  • Some possible sources for such differences include variations in the wafer position due to tolerances in the lift-rotate mechanism, variations in the current provided to each anode due to power supply manufacturing tolerances, variations in the chamber geometry due to manufacturing tolerances, variations in the plating solution, etc.
  • reactor-to-reactor variation In a single anode system, the reactor-to-reactor variation is typically reduced either by reducing hardware manufacturing tolerances or by making slight hardware modifications to each reactor to compensate for reactor variations.
  • reactor-to-reactor variations can be reduced/eliminated by running slightly different current sets in each reactor. As long as the reactor variations do not fundamentally change the system response (i.e., the sensitivity matrix), the self-tuning scheme disclosed herein is expected to find anode currents that meet film thickness targets.
  • Reactor-to-reactor variations can be quantified by comparing differences in the final anode currents for each chamber.
  • these differences can be saved in one or more offset tables in the control system 65 so that the same recipe may be utilized in each reactor.
  • these offset tables may be used to increase the efficiency of entering new processing recipes into the control system 65 .
  • these findings can be used to trouble-shoot reactor set up. For example, if the values in the offset table are over a particular threshold, the deviation may indicate a hardware deficiency that needs to be corrected.
  • embodiments of the optimizer may be used to set currents and other parameters for complex deposition recipes that specify changes in current during the deposition cycle.
  • embodiments of the optimizer may be used to determine anode currents in accordance with recipe having two different steps.
  • Step 1 of the recipe lasts for 0.5 minutes, during which a total of +1 amp of current is delivered through four electrodes.
  • Step 2 of the recipe which immediately follows step 1, is 1.25 minutes long.
  • a total current of +9 amps is delivered for 95 milliseconds.
  • a total current of ⁇ 4.3 amps is delivered for 25 milliseconds.
  • the cycle repeats, delivering +9 amps for another 95 milliseconds.
  • the period during which a positive current is being delivered is known as the “forward phase” of the step, while the time during which a negative current is being delivered is known as the “backward phase” of the step.
  • Backward phases may be used, for example, to reduce irregularities formed in the plated surface as the result of organic substances within the plating solution.
  • initial currents are chosen in accordance with the recipe. These are shown below in Table 9. TABLE 9 Initial Multi-step Recipe Step 1 Step 2 1. time 0.5 1.25 2. forward fraction 1 0.730769 3. anode 1 current 0.2 1.8 4. anode 2 current 0.24 2.16 5. anode 3 current 0.34 3.06 6. anode 4 current 0.22 1.98 7. backward fraction 0.192307 8. anode 1 current ⁇ 0.86 9. anode 2 current ⁇ 1.03 10. anode 3 current ⁇ 1.46 11. anode 4 current ⁇ 0.95 12. forward amp-min 0.5 8.221153 13. backward amp-min 0 ⁇ 1.033653 14. Total Amp-min 7.6875
  • the left-hand column of Table 9 shows currents and other information for the first step of the recipe, while the right-hand column shows currents and other information for the second step of the recipe.
  • step 1 has a duration of 0.5 minutes
  • step 2 has a duration of 1.25 minutes.
  • step 2 it can be seen that, in step 1, forward plating is performed for 100% of the duration of the step, while in step 2, forward plating is performed for about 73% of the duration of the step (95 milliseconds out of the 130 millisecond period of the step).
  • Lines 3-6 show the currents delivered through each of the anodes during the forward phase of each of the two steps. For example, it can be seen that 0.24 amps are delivered through anode 2 for the duration of step 1.
  • a negative current is delivered for about 19% of the duration of step 2 (25 milliseconds out of the total period of 130 milliseconds).
  • Lines 8-11 show the negative currents delivered during the backward phase of step 2.
  • Line 12 shows the charge, in amp-minutes, delivered in the forward phase of each step. For step 1 , this is 0.5 amp-minutes, computed by multiplying the step 1 duration of 0.5 minutes by the forward fraction of 1, and by the sum of step 1 forward currents, 1 amp.
  • the forward plating charge for step 2 is about 8.22 amp-minutes, computed by multiplying the duration of step 2, 1.25 minutes, by the forward fraction of about 73%, and by the sum of the forward currents in step 2, 9 amps.
  • Line 13 shows the results of a similar calculation for the backward phase of step 2.
  • Line 14 shows the net plating charge, 7.6875 amp-minutes obtained by summing the signed charge values on lines 12 and 13.
  • the deposition chamber is used to deposit a wafer in accordance with these initial currents. That is, during the first half-minute of deposition (step 1), +0.2 amps are delivered through anode 1. During the next 1.25 minutes of the process (step 2), +1.8 amps are delivered through anode 1 for 95 milliseconds, then ⁇ 0.86 amps are delivered through anode 1 for 25 milliseconds, then no current flows through 1 for 10 milliseconds, and then the cycle is repeated until the end of the 1.25 minute duration of step 2. Overall, the charge of 1.537 amp-minutes is delivered through anode 1.
  • This value is determined by multiplying duration, forward fraction, and anode 1 current from step 1, then adding the product of the duration of step 2, the forward fraction of step 2, and the forward anode 1 current of step 2, then adding the product of the duration of step 2, the backward fraction of step 2, and the backward anode 1 current of step 2.
  • Such net plating charges may be calculated for each of the anodes, as shown below in Table 10. TABLE 10 Net Plating Charges in Initial Multi-step Recipe Anode1 1.537 Amp-min Anode2 1.845 Amp-min Anode3 2.614 Amp-min Anode4 1.690 Amp-min
  • the optimizer then computes for each anode a share of the current to be delivered through the anode by dividing the new net plating charge determined for the anode by the sum of the net plating charges determined for all of the anodes.
  • the forward anode 2 current for step 2 is about 1.61 amps, computed by multiplying the +9 amps total current for the forward phase of step 2 by the current share of 17.9% computed for anode 2 shown in Table 12.
  • the optimizer may utilize various other schemes for distributing plating charge changes within the recipe. For example, it may alternatively distribute all the changes to step 2 of the recipe, leaving step 1 of the recipe unchanged from the initial multi-step recipe. In some embodiments, the optimizer maintains and applies a different sensitivity matrix for each step in a multi-step recipe.
  • the facility utilizes a form of predictive control feedback.
  • the optimizer generates, for each set of revised currents, a set of predicted plating thicknesses.
  • the optimizer determines the difference between these predicted thicknesses and the actual plated thicknesses of the corresponding workpiece. For each workpiece, this set of differences represents the level of error produced by the optimizer in setting currents for the workpiece.
  • the optimizer uses the set of differences for the previous workpiece to improve performance on the incoming workpiece by subtracting these differences from the target thickness changes to be effected by current changes for the incoming workpiece. In this way, the optimizer is able to more quickly achieve the target plating profile.
  • Table 13 below shows a sample wafer processing process employing the optimizer, from which a subset of the steps may be selected and/or modified to define additional such processes.
  • TABLE 13 Sample Wafer Processing Process Employing Optimizer Step Tool/Process 1.
  • PVD physical vapor deposition
  • Measure seed layer film thickness using metrology station either on the tool or an independent station - metrology stations can infer film thickness from sheet resistance measurements or from optical measurements of the film 3.
  • steps may be qualified in a variety of ways including: the measurement/optimizer sequence steps can be performed during tool qualification or “dial-in”; the measurement/optimizer sequence steps sequence can be performed periodically to monitor performance; the measurement/optimizer sequence steps sequence can be performed on each wafer; SLE process may be optional depending upon the measurement results in step 2 (i.e., this wafer may routed around this and associated process steps); wafer sequence may be terminated, rerouted, or restarted based upon the measurement results of step 2, 6, 8, 12, and 14; measurement/optimizer steps may be performed only after process/hardware changes; measurements before and after annealing (e.g., sheet resistance) may be used to determine effectiveness of annealing process; metal deposition steps 4 and 10 may be deposition of same metals or different metals—they could deposit the same metal using different baths; one or more metal deposition steps could be used, which deposit one or more different metals; the optimization steps may adjust currents to generate a flat thickness profile or one with a specified shape; the optimization
  • Table 14 below shows an additional sample process: TABLE 14 Sample Wafer Processing Process Employing Optimizer Step Tool/Process 1. Deposit metal seed layer using PVD tool 2. Measure seed layer film thickness using metrology station 3. Apply optimizer in ECD chamber using measurements from step 2 (feedforward) and measurement results from previous ECD wafer on step 7 (feedback) 4. Deposit final metal layer in ECD chamber 5. Anneal wafer in anneal chamber 6. Clean and bevel etch wafer in Capsule chamber 7. Measure wafer thickness using Metrology Station
  • Table 15 below shows an additional sample process: TABLE 15 Sample Wafer Processing Process Employing Optimizer Step Tool/Process 1. Deposit metal seed layer using PVD tool 2. Measure seed layer film thickness using metrology station 3. Apply optimizer in ECD chamber using measurements from step 2 (feedforward) and measurement results from previous ECD wafer on step 6 (feedback) 4. Deposit final metal layer in ECD chamber 6. Clean and bevel etch wafer in Capsule chamber 7. Measure wafer thickness using Metrology Station
  • Table 16 below shows an additional sample process: TABLE 16 Sample Wafer Processing Process Employing Optimizer Step Tool/Process 1. Deposit metal seed layer using PVD tool 2. Measure seed layer film thickness using metrology station 3. Apply optimizer in ECD chamber using measurements from step 2 (feedforward) and measurement results from previous SLE wafer on step 6 (feedback) 4. Deposit metal layer in SLE chamber 6. Clean and bevel etch wafer in Capsule chamber 7. Measure wafer thickness using Metrology Station
  • the thickness uniformity of a wafer with a PVD-deposited seed layer is measured on a dedicated metrology tool, after which the wafer is brought to the plating tool and placed in an SLE process chamber.
  • the optimizer is used to select an SLE recipe that will augment the PVD-deposited seed layer to yield a seed layer with improved thickness uniformity, and the SLE process is performed on the wafer.
  • the wafer is transferred to a plating chamber where the optimizer is then used to select a plating recipe that will yield a uniform bulk film, at the desired thickness, based on the nominal seed layer thickness.
  • the wafer is transferred to a capsule cleaning chamber, whereupon it is removed from the tool.
  • a wafer is brought to the plating tool and placed in the on-board metrology station to determine the thickness profile of the CVD-deposited seed layer.
  • the wafer is then transferred to a plating chamber.
  • the optimizer is used to select a plating recipe that will yield a convex (center-thick) bulk film, at the desired nominal thickness.
  • the wafer is transferred to a capsule cleaning chamber, whereupon it is removed from the tool.
  • a wafer comes to an electroplating tool with a seed layer, applied using physical vapor deposition, that is non-uniform.
  • a metrology station is used to measure the non-uniformity, and the optimizer operates the multiple-electrode reactor to correct the measured non-uniformity.
  • Seed layer repair is then performed using an electroless ion plating process to produce a final, more uniform, seed layer. The optimizer then operates to deposit bulk metal onto the repaired seed layer.
  • a semiconductor fabricator has two physical vapor deposition tools (“PVD tools”), each of which has its own particular characteristics.
  • PVD tools physical vapor deposition tools
  • a wafer processed by the first PVD tool and having a seed layer non-uniformity is directed to a first multiple-electrode reactor for seed layer repair.
  • a wafer from the second PVD tool that has a different seed layer non-uniformity is directed to a second multiple-electrode reactor for seed layer repair.
  • Bulk metal is then deposited onto the repaired seed layers of the two wafers in a third CFD reactor under the control of the optimizer.
  • Additional applications of the optimizer include:
  • the production environment can involve many recipes on a tool because each wafer may require multiple processing steps. For example, there may be 5-7 metal interconnect layers and each of the layers have different process parameters. Furthermore, a tool may be processing several different products.
  • the advantage having a multiple anode reactor on the tool is that unique anode currents and optimal performance may be specified for all the different recipes on all the different chambers on the tool.
  • a basic application of the optimizer is to aid in the initial dial-in process for all of the recipes that are going to be run on a tool in production.
  • recipes will be written and tested experimentally prior to production, using the optimizer as an aid to obtained uniformity specifications.
  • the optimizer is used during the set-up phase only, saving the process engineer much time in setting up the tool and each of the recipes. If seed-layers coming into the tool are identical and stable, the above picture is sufficient.
  • off-tool metrology or integrated metrology can be used to monitor the changes in the seed-layers and the optimizer can be used to modify the anode currents in the recipe to compensate for these variations.
  • ECD seed followed by bulk ECD In the case of sequential plating steps, metrology before and after each plating step allows for recipe current adjustments with the optimizer to each process.
  • ECD seed the initial PVD or CVD layer of metal can be measured and adjusted for using the feed-forward feature of the optimizer. Note: In this process the resistance of the barrier layer under the seed layer can also have a large influence on the plating uniformity, if the resistance of this layer can be measured, then the optimizer can be used to compensate for this effect (it may take more than one iteration of the optimizer).
  • the optimizer can be used to help dial in recipes that insure uniform current density during the feature filling step.
  • the optimal currents to plate uniformly on different thickness seed-layers can be determined in advance, using the optimizer to find these currents. Then the currents can be pulled from a table, when the resistivity of the seed layer is measured. This may be quite useful for platen plating (solder) where the seed layer resistance is constant for the whole plating run.
  • the optimizer may be used in one or more stages of widely-varying processes for processing semiconductor workpieces. It is further envisioned that the optimizer may operate completely separately from the processing tools performing such processes, with only some mechanism for the optimizer to pass control parameters to such processing tools. Indeed, the optimizer and processing tools may be operated under the control and/or ownership of different parties, and/or in different physical locations.
  • the teachings herein can also be extended to other types of microelectronic workpiece processing, including various kinds of material deposition processes.
  • the optimizer may be used to control electrophoretic deposition of material, such as positive or negative electrophoretic photoresists or electrophoretic paints; chemical or physical vapor deposition; etc.
  • teachings herein can be extended to other microelectronic workpiece processing systems that have individually controlled processing elements that are responsive to control parameters and that have interdependent effects on a physical characteristic of the microelectronic workpiece that is processed using the elements.
  • Such systems may employ sensitivity tables or matrices as set forth herein and use them in calculations with one or more input parameters sets to arrive at control parameter values that accurately result in the targeted physical characteristic of the microelectronic workpiece.

Abstract

A facility for selecting and refining electrical parameters for processing a microelectronic workpiece in a processing chamber is described. The facility initially configures the electrical parameters in accordance with either a mathematical model of the processing chamber or experimental data derived from operating the actual processing chamber. After a workpiece is processed with the initial parameter configuration, the results are measured and a sensitivity matrix based upon the mathematical model of the processing chamber is used to select new parameters that correct for any deficiencies measured in the processing of the first workpiece. These parameters are then used in processing a second workpiece, which may be similarly measured, and the results used to further refine the parameters. In some embodiments, the facility analyzes a profile of the seed layer applied to a workpiece, and determines and communicates to a material deposition tool a set of control parameters designed to deposit material on the workpiece in a manner that compensates for deficiencies in the seed layer.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • The present application is a continuation-in-part of U.S. patent application Ser. No. 09/849,505, filed May 4, 2001, which claims the benefit of U.S. Provisional Patent Application No. 60/206,663, filed May 24, 2000, and which is a continuation-in-part of International Patent Application No. PCT/US00/10120, filed Apr. 13, 2000, designating the United States and claiming the benefit of U.S. Provisional Patent Application Nos. 60/182,160, filed Feb. 14, 2000, 60/143,769, filed Jul. 12, 1999, and 60/129,055, filed Apr. 13, 1999; and this application claims the benefit of provisional application No. 60/206,663, filed May 24, 2000; the disclosures of each of which are hereby expressly incorporated by reference in their entireties.
  • FIELD OF THE INVENTION
  • The present invention is directed to the field of automatic process control, and, more particularly, to the field of controlling a material deposition process.
  • BACKGROUND OF THE INVENTION
  • The fabrication of microelectronic components from a microelectronic workpiece, such as a semiconductor wafer substrate, polymer substrate, etc., involves a substantial number of processes. For purposes of the present application, a microelectronic workpiece is defined to include a workpiece formed from a substrate upon which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are formed. There are a number of different processing operations performed on the microelectronic workpiece to fabricate the microelectronic component(s). Such operations include, for example, material deposition, patterning, doping, chemical mechanical polishing, electropolishing, and heat treatment.
  • Material deposition processing involves depositing or otherwise forming thin layers of material on the surface of the microelectronic workpiece. Patterning provides selective deposition of a thin layer and/or removal of selected portions of these added layers. Doping of the semiconductor wafer, or similar microelectronic workpiece, is the process of adding impurities known as “dopants” to selected portions of the wafer to alter the electrical characteristics of the substrate material. Heat treatment of the microelectronic workpiece involves heating and/or cooling the workpiece to achieve specific process results. Chemical mechanical polishing involves the removal of material through a combined chemical/mechanical process while electropolishing involves the removal of material from a workpiece surface using electrochemical reactions.
  • Numerous processing devices, known as processing “tools,” have been developed to implement one or more of the foregoing processing operations. These tools take on different configurations depending on the type of workpiece used in the fabrication process and the process or processes executed by the tool. One tool configuration, known as the LT-210C™ processing tool and available from Semitool, Inc., of Kalispell, Mont., includes a plurality of microelectronic workpiece processing stations that are serviced by one or more workpiece transfer robots. Several of the workpiece processing stations utilize a workpiece holder and a process bowl or container for implementing wet processing operations. Such wet processing operations include electroplating, etching, cleaning, electroless deposition, electropolishing, etc. In connection with the present invention, it is the electrochemical processing stations used in the LT-210C™ that are noteworthy. Such electrochemical processing stations perform the foregoing electroplating, electropolishing, anodization, etc., of the microelectronic workpiece. It will be recognized that the electrochemical processing system set forth herein is readily adapted to implement each of the foregoing electrochemical processes.
  • In accordance with one configuration of the LT-210C™ tool, the electrochemical processing stations include a workpiece holder and a process container that are disposed proximate one another. The workpiece holder and process container are operated to bring the microelectronic workpiece held by the workpiece holder into contact with an electrochemical processing fluid disposed in the process container. When the microelectronic workpiece is positioned in this manner, the workpiece holder and process container form a processing chamber that may be open, enclosed, or substantially enclosed.
  • Electroplating and other electrochemical processes have become important in the production of semiconductor integrated circuits and other microelectronic devices from microelectronic workpieces. For example, electroplating is often used in the formation of one or more metal layers on the workpiece. These metal layers are often used to electrically interconnect the various devices of the integrated circuit. Further, the structures formed from the metal layers may constitute microelectronic devices such as read/write heads, etc.
  • Electroplated metals typically include copper, nickel, gold, platinum, solder, nickel-iron, etc. Electroplating is generally effected by initial formation of a seed layer on the microelectronic workpiece in the form of a very thin layer of metal, whereby the surface of the microelectronic workpiece is rendered electrically conductive. This electro-conductivity permits subsequent formation of a blanket or patterned layer of the desired metal by electroplating. Subsequent processing, such as chemical mechanical planarization, may be used to remove unwanted portions of the patterned or metal blanket layer formed during electroplating, resulting in the formation of the desired metallized structure.
  • Electropolishing of metals at the surface of a workpiece involves the removal of at least some of the metal using an electrochemical process. The electrochemical process is effectively the reverse of the electroplating reaction and is often carried out using the same or similar reactors as electroplating.
  • Anodization typically involves oxidizing a thin-film layer at the surface of the workpiece. For example, it may be desirable to selectively oxidize certain portions of a metal layer, such as a Cu layer, to facilitate subsequent removal of the selected portions in a solution that etches the oxidized material faster than the non-oxidized material. Further, anodization may be used to deposit certain materials, such as perovskite materials, onto the surface of the workpiece.
  • As the size of various microelectronic circuits and components decreases, there is a corresponding decrease in the manufacturing tolerances that must be met by the manufacturing tools. In connection with the present invention as described below, electrochemical processes must uniformly process the surface of a given microelectronic workpiece. Further, the electrochemical process must meet workpiece-to-workpiece uniformity requirements.
  • Electrochemical processes may be conducted in reaction chambers having either a single electrode or multiple electrodes. Where a single-electrode reaction chamber is used, improving the level uniformity achieved by the process often involves manual trial-and-error modifications to the hardware configuration of the reaction chamber. For example, operators of the process may experiment with repositioning or reorienting the electrode, the workpiece, or a baffle separating the electrode from the workpiece, or may modify aspects of a fluid flow within the reaction chamber in attempts to improve the level uniformity achieved by the process.
  • In a multiple-electrode reaction chamber, two or more electrodes are arranged in some pattern. Each of the electrodes is connected to an electrical power supply that provides the electrical power used to execute the electrochemical processing operations. Preferably, at least some of the electrodes are connected to different electrical nodes so that the electrical power provided to them by the power supply may be provided independent of the electrical power provided to other electrodes in the array.
  • Electrode arrays having a plurality of electrodes facilitate localized control of the electrical parameters used to electrochemically process the microelectronic workpiece. This localized control of the electrical parameters can be used to provide greater uniformity of the electrochemical processing across the surface of the microelectronic workpiece when compared to single electrode systems without necessitating hardware changes. However, determining the electrical parameters for each of the electrodes in the array to achieve the desired process uniformity can be problematic. Typically, the electrical parameter (i.e., electrical current, voltage, etc.) for a given electrode in a given electrochemical process is determined experimentally using a manual trial and error approach. Using such a manual trial and error approach, however, can be very time-consuming. Further, the electrical parameters do not easily translate to other electrochemical processes. For example, a given set of electrical parameters used to electroplate a metal to a thickness X onto the surface of a microelectronic workpiece cannot easily be used to derive the electrical parameters used to electroplate a metal to a thickness Y. Still further, the electrical parameters used to electroplate a desired film thickness X of a given metal (e.g., copper) are generally not suitable for use in electroplating another metal (e.g., platinum). Similar deficiencies in this trial and error approach are associated with other types of electrochemical processes (i.e., anodization, electropolishing, etc.). Also, this manual trial and error approach often must be repeated in several common circumstances, such as when the thickness or level of uniformity of the seed layer changes, when the target plating thickness or profile changes, or when the plating rate changes.
  • In view of the foregoing, a system for electrochemically processing a microelectronic workpiece that can be used to automatically identify electrical parameters that cause a multiple electrode array to achieve a high level of uniformity for a wide range of electrochemical processing variables (e.g., seed layer thicknesses, seed layer types, electroplating materials, etc.) would have significant utility.
  • SUMMARY
  • In the following, a facility for automatically identifying electrical parameters that produce a high level of uniformity in electrochemically processing a microelectronic workpiece is described. Embodiments of this facility are adapted to accommodate various electrochemical processes; reactor designs and conditions; plating materials and solutions; workpiece dimensions, materials, and conditions, and the nature and condition of existing coatings on the workpiece. Accordingly, use of the facility may typically result in substantial automation of electrochemical processing, even where a large number of variables in different dimensions are present. Such automation has the capacity to reduce the cost of skilled labor required to oversee a processing operation, as well as increase output quality and throughput. Additionally, use of the facility can both streamline and improve the process of designing new electroplating reactors.
  • In one exemplary embodiment, the facility selects and refines electrical parameters for processing a microelectronic workpiece in a processing chamber. The facility initially configures the electrical parameters in accordance with either a mathematical model of the processing chamber or experimental data derived from operating the actual processing chamber. After a workpiece is processed with the initial parameter configuration, the results are measured and a sensitivity matrix based upon the mathematical model of the processing chamber is used to select new parameters that correct for any deficiencies measured in the processing of the first workpiece. These parameters are then used in processing a second workpiece, which may be similarly measured, and the results used to further refine the parameters.
  • In another exemplary embodiment, the facility utilizes a sensitivity matrix data structure. The sensitivity matrix data structure relates to a deposition chamber for depositing material on a workpiece. The deposition chamber has a number of deposition initiators, associated with each of which is a control parameter. For example, the deposition chamber may have deposition initiators that are electrodes, whose control parameters are electrical current levels or other control parameters. The data structure contains a number of quantitative entries, each of which predicts, for a given change in the control parameter associated with a given deposition initiator, the expected change in deposited material thickness at a given radius. The contents of this data structure may be used to determine revised deposition initiator parameters for better conforming deposited material thicknesses to a target profile for deposited material thicknesses.
  • In another exemplary embodiment, the facility utilizes a material deposition process data structure, which contains a set of parameter values used in a material deposition process. These parameters have been generated by adjusting an earlier-used set of parameters to resolve differences between measurements of a workpiece deposited using the earlier-used set of parameters in a target deposition profile specified for the deposition process. The contents of this data structure may be used to deposit an additional workpiece in great conformance with the specified deposition profile.
  • In another exemplary embodiment, the facility controls an electroplating process having multiple steps, which is performed in an electroplating chamber having a number of electrodes. For each electrode, the facility determines the net plating charge delivered through the electrode during a first plating cycle to plate a first workpiece. This is accomplished by summing the plating charges delivered through the electrode in each step of the process. The facility then compares a plating profile achieved in plating the first workpiece to a target plating profile. In such comparison, the facility identifies deviations between the achieved plating profile and the target plating profile. The facility determines new net plating charges for each electrode selected to reduce the identified deviations in the second workpiece. For each of these new net plating charges, the facility distributes the new net plating charge across the steps of the process, and uses the distributed new net plating charges to determine a current for each electrode for each step of the process. A second plating cycle may then be conducted to plate a second workpiece using the currents determined for each electrode for each step.
  • In another exemplary embodiment, the facility evaluates a design for an electroplating reactor. The facility first applies a mathematical model embodying the reactor design to a set of initial electrode current to determine a first resulting plating profile. The facility compares the first resulting plating profile to a target plating profile to obtain a first difference. The facility then applies a sensitivity technique to identify a set of revised electrode currents, and applies the mathematical model to the set of revised electrode currents to determine a second resulting plating profile. The facility compares the second resulting plating profile to the target plating profile to obtain a second difference, and evaluates the design based on the obtained second difference.
  • In another exemplary embodiment, the facility is embodied in an apparatus for selecting parameters for use in controlling operation of a deposition chamber to deposit material on a selected wafer in a way that optimizes conformity with a specified deposition pattern. The apparatus includes a measurement receiving subsystem that receives the following measurements: pre-deposition thicknesses of the selected wafer before material is deposited on the wafer; post-deposition thicknesses of an already-deposited wafer after material is deposited on the already-deposited wafer; and pre-deposition thicknesses of the already-deposited wafer before material is deposited on the wafer. The apparatus further includes a parameter selection subsystem that selects the parameters to be used to deposit material on the selected wafer based on the specified deposition pattern, the pre-deposition thicknesses of the selected wafer, the pre-deposition thicknesses of the already-deposited wafer, parameters used for depositing material on the already-deposited wafer, and the post-deposition thicknesses of the already-deposited wafer.
  • In another exemplary embodiment, the facility electroplates a selected surface using a plurality of electrodes. The facility obtains a current specification set comprised of a plurality of current levels, each specified for a particular one of the plurality of electrodes. The current levels of the current specification set each represent a modification of current levels of a distinguished current specification set, modified in order to improve results produced by electroplating in accordance with the distinguished current specification set. For each electrode, the facility delivers the current level specified for the electrode by the current specification set to the electrode in order to electroplate the selected surface.
  • In another exemplary embodiment, the facility automatically configures parameters usable to control operation of a reaction chamber to electropolish a selected wafer in a way that optimizes conformity with a specified electropolishing pattern. The facility receives pre-polishing thicknesses of the selected wafer before the selected wafer is polished. The facility also receives post-polishing thicknesses of an already-polished wafer the already-polished wafer is polished. The facility further receives pre-polishing thicknesses of the already-polished wafer before the already-polished wafer is polished. The facility selects the parameters to polish the selected wafer based on the specified polishing pattern, the pre-polishing thicknesses of the selected wafer, the pre-polishing thicknesses of the already-polished wafer, parameters used for polishing the already-polished wafer, and the post-polishing thicknesses of the already-polished wafer.
  • In another exemplary embodiment, the facility electroplates a microelectronic workpiece. The facility receives data representing a profile of a seed layer that has been applied to the workpiece, such as from a metrology station. The facility identifies deficiencies in the seed layer based upon the profile of the seed layer represented by the received data, and determines a set of control parameters for plating the workpiece in a manner that compensates for the identified deficiencies in the seed layer. The facility communicates this determined set of control parameters to a plating tool for use in plating the workpiece.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a process schematic diagram showing inputs and outputs of the optimizer.
  • FIG. 2 is a process schematic diagram showing a branched correction system utilized by some embodiments of the optimizer.
  • FIG. 3 is schematic block diagram of an electrochemical processing system constructed in accordance with one embodiment of the optimizer.
  • FIG. 4 is a flowchart illustrating one manner in which the optimizer of FIG. 3 can use a predetermined set of sensitivity values to generate a more accurate electrical parameter set for use in meeting targeted physical characteristics in the processing of a microelectronic workpiece.
  • FIG. 5 is a graph of a sample Jacobian sensitivity matrix for a multiple-electrode reaction chamber.
  • FIG. 6 is a spreadsheet diagram showing the new current outputs calculated from the inputs for the first optimization run.
  • FIG. 7 is a spreadsheet diagram showing the new current outputs calculated from the inputs for the second optimization run.
  • DETAILED DESCRIPTION
  • A facility for automatically selecting and refining electrical parameters for processing a microelectronic workpiece (“the optimizer”) is disclosed. In many embodiments, the optimizer determines process parameters affecting the processing of a round workpiece as a function of processing results at various radii on the workpiece. In some embodiments, the optimizer adjusts the electrode currents for a multiple electrode electroplating chamber, such as multiple anode reaction chambers of the Paragon tool provided by Semitool, Inc. of Kalispell, Mont., in order to achieve a specified thickness profile (i.e., flat, convex, concave, etc.) of a coating, such as a metal or other conductor, applied to a semiconductor wafer. The optimizer adjusts electrode currents for successive workpieces to compensate for changes in the thickness of the seed layer of the incoming workpiece (a source of feed forward control), and/or to correct for non-uniformities produced in prior wafers at the anode currents used to plate them (a source of feedback control). In this way, the optimizer is able to quickly achieve a high level of uniformity in the coating deposited on workpieces without substantial manual intervention.
  • The facility typically operates an electroplating chamber containing a principal fluid flow chamber, and a plurality of electrodes disposed in the principal fluid flow chamber. The electroplating chamber typically further contains a workpiece holder positioned to hold at least one surface of the microelectronic workpiece in contact with an electrochemical processing fluid in the principal fluid flow chamber, at least during electrochemical processing of the microelectronic workpiece. One or more electrical contacts are configured to contact the at least one surface of the microelectronic workpiece, and an electrical power supply is connected to the one or more electrical contacts and to the plurality of electrodes. At least two of the plurality of electrodes are independently connected to the electrical power supply to facilitate independent supply of power thereto. The apparatus also includes a control system that is connected to the electrical power supply to control at least one electrical power parameter respectively associated with each of the independently connected electrodes. The control system sets the at least one electrical power parameter for a given one of the independently connected electrodes based on one or more user input parameters and a plurality of predetermined sensitivity values; wherein the sensitivity values correspond to process perturbations resulting from perturbations of the electrical power parameter for the given one of the independently connected electrodes.
  • For example, although the present invention is described in the context of electrochemical processing of the microelectronic workpiece, the teachings herein can also be extended to other types of microelectronic workpiece processing. In effect, the teachings herein can be extended to other microelectronic workpiece processing systems that have individually controlled processing elements that are responsive to control parameters and that have interdependent effects on a physical characteristic of the microelectronic workpiece that is processed using the elements. Such systems may employ sensitivity tables or matrices as set forth herein and use them in calculations with one or more input parameters sets to arrive at control parameter values that accurately result in the targeted physical characteristic of the microelectronic workpiece.
  • FIG. 1 is a process schematic diagram showing inputs and outputs of the optimizer. FIG. 1 shows that the optimizer 140 uses up to three sources of input: baseline currents 110, seed change 120, and thickness error 130. The baseline currents 110 are the anode currents used to plate the previous wafer or another set of currents for which plating thickness results are known. For the first workpiece in a sequence of workpieces, the baseline currents used to plate the wafer are typically specified by a source other than the optimizer. For example, they may be specified by a recipe used to plate the wafers, or may be manually determined.
  • The seed change 120 is the difference between the thickness of the seed layer of the incoming wafer 121 and the thickness of the seed layer of the previous plated wafer 122. The seed change input 120 is said to be a source of feed-forward control in the optimizer, in that it incorporates information about the upcoming plating cycle, as it reflects the measurement the wafer to be plated in the upcoming plating cycle. Thickness error 130 is the difference in thickness between the previous plated wafer 132 and the target thickness profile 131 specified for the upcoming plating cycle. The thickness error 130 is said to be a source of feedback control, because it incorporates information from an earlier plating cycle, that is, the thickness of the wafer plated in the previous plating cycle.
  • FIG. 1 further shows that the optimizer outputs new plating charges 150 for each electrode in the upcoming plating cycle, expressed in amp-minute units. The new plating charges output is combined with a recipe schedule and a current waveform 161 to generate the currents 162, in amps, to be delivered through each electrode at each point in the recipe schedule. These new currents are used by the plating process to plate a wafer in the next plating cycle. In embodiments in which different types of power supplies are used, other types of control parameters are generated by the optimizer for use in operating the power supply. For example, where a voltage control power supply is used, the control parameters generated by the optimizer are voltages, expressed in volts. The wafer so plated is then subjected to post-plating metrology to measure its plated thickness 132.
  • While the optimizer is shown as receiving inputs and producing outputs at various points in the processing of these values, it will be understood by those in the art that the optimizer may be variously defined to include or exclude aspects of such processing. For example, while FIG. 1 shows the generation of seed change from baseline wafer seed thickness and seed layer thickness outside the optimizer, it is contemplated that such generation may alternatively be performed within the optimizer.
  • FIG. 2 is a process schematic diagram showing a branched correction system utilized by some embodiments of the optimizer. The branched adjustment system utilizes two independently-engageable correction adjustments, a feedback adjustment (230, 240, 272) due to thickness errors and a feed forward adjustment (220, 240, 271) due to incoming seed layer thickness variation. When the anode currents produce an acceptable uniformity, the feedback loop may be disengaged from the transformation of baseline currents 210 to new currents 280. The feed forward compensation may be disengaged in situations where the seed layer variations are not expected to affect thickness uniformity. For example, after the first wafer of a similar batch is corrected for, the feed-forward compensation may be disengaged and the corrections may be applied to each sequential wafer in the batch.
  • FIG. 3 is schematic block diagram of an electrochemical processing system constructed in accordance with one embodiment of the optimizer. FIG. 3 shows a reactor assembly 20 for electrochemically processing a microelectronic workpiece 25, such as a semiconductor wafer, that can be used in connection with the present invention. Generally stated, an embodiment of the reactor assembly 20 includes a reactor head 30 and a corresponding reactor base or container shown generally at 35. The reactor base 35 can be a bowl and cup assembly for containing a flow of an electrochemical processing solution. The reactor 20 of FIG. 3 can be used to implement a variety of electrochemical processing operations such as electroplating, electropolishing, anodization, etc., as well as to implement a wide variety of other material deposition techniques. For purposes of the following discussion, aspects of the specific embodiment set forth herein will be described, without limitation, in the context of an electroplating process.
  • The reactor head 30 of the reactor assembly 20 can include a stationary assembly (not shown) and a rotor assembly (not shown). The rotor assembly may be configured to receive and carry an associated microelectronic workpiece 25, position the microelectronic workpiece in a process-side down orientation within reactor container 35, and to rotate or spin the workpiece. The reactor head 30 can also include one or more contacts 85 (shown schematically) that provide electroplating power to the surface of the microelectronic workpiece. In the illustrated embodiment, the contacts 85 are configured to contact a seed layer or other conductive material that is to be plated on the plating surface microelectronic workpiece 25. It will be recognized, however, that the contacts 85 can engage either the front side or the backside of the workpiece depending upon the appropriate conductive path between the contacts and the area that is to be plated. Suitable reactor heads 30 with contacts 85 are disclosed in U.S. Pat. No. 6,080,291 and U.S. application Ser. Nos. 09/386,803; 09/386,610; 09/386,197; 09/717,927; and 09/823,948, all of which are expressly incorporated herein in their entirety by reference.
  • The reactor head 30 can be carried by a lift/rotate apparatus that rotates the reactor head 30 from an upwardly-facing orientation in which it can receive the microelectronic workpiece to a downwardly facing orientation in which the plating surface of the microelectronic workpiece can contact the electroplating solution in reactor base 35. The lift/rotate apparatus can bring the workpiece 25 into contact with the electroplating solution either coplanar or at a given angle. A robotic system, which can include an end effector, is typically employed for loading/unloading the microelectronic workpiece 25 on the head 30. It will be recognized that other reactor assembly configurations may be used with the inventive aspects of the disclosed reactor chamber, the foregoing being merely illustrative.
  • The reactor base 35 can include an outer overflow container 37 and an interior processing container 39. A flow of electroplating fluid flows into the processing container 39 through an inlet 42 (arrow 1). The electroplating fluid flows through the interior of the processing container 39 and overflows a weir 44 at the top of processing container 39 (arrow F). The fluid overflowing the weir 44 then passes through an overflow container 37 and exits the reactor 20 through an outlet 46 (arrow O). The fluid exiting the outlet 46 may be directed to a recirculation system, chemical replenishment system, disposal system, etc.
  • The reactor 20 also includes an electrode in the processing container 39 to contact the electrochemical processing fluid (e.g., the electroplating fluid) as it flows through the reactor 20. In the embodiment of FIG. 3, the reactor 20 includes an electrode assembly 50 having a base member 52 through which a plurality of fluid flow apertures 54 extend. The fluid flow apertures 54 assist in disbursing the electroplating fluid flow entering inlet 42 so that the flow of electroplating fluid at the surface of microelectronic workpiece 25 is less localized and has a desired radial distribution. The electrode assembly 50 also includes an electrode array 56 that can comprise a plurality of individual electrodes 58 supported by the base member 52. The electrode array 56 can have several configurations, including those in which electrodes are disposed at different distances from the microelectronic workpiece. The particular physical configuration that is utilized in a given reactor can depend on the particular type and shape of the microelectronic workpiece 25. In the illustrated embodiment, the microelectronic workpiece 25 is a disk-shaped semiconductor wafer. Accordingly, the present inventors have found that the individual electrodes 58 may be formed as rings of different diameters and that they may be arranged concentrically in alignment with the center of microelectronic workpiece 25. It will be recognized, however, that grid arrays or other electrode array configurations may also be employed without departing from the scope of the present invention. One suitable configuration of the reactor base 35 and electrode array 56 is disclosed in U.S. Ser. No. 09/804,696, filed Mar. 12, 2001 (Attorney Docket No. 29195.8119US), while another suitable configuration is disclosed in U.S. Ser. No. 09/804,697, filed Mar. 12, 2001 (Attorney Docket No. 29195.8120US), both of which are hereby incorporated by reference.
  • When the reactor 20 electroplates at least one surface of microelectronic workpiece 25, the plating surface of the workpiece 25 functions as a cathode in the electrochemical reaction and the electrode array 56 functions as an anode. To this end, the plating surface of workpiece 25 is connected to a negative potential terminal of a power supply 60 through contacts 85 and the individual electrodes 58 of the electrode array 56 are connected to positive potential terminals of the supply 60. In the illustrated embodiment, each of the individual electrodes 58 is connected to a discrete terminal of the supply 60 so that the supply 60 may individually set and/or alter one or more electrical parameters, such as the current flow, associated with each of the individual electrodes 58. As such, each of the individual electrodes 58 of FIG. 3 is an individually controllable electrode. It will be recognized, however, that one or more of the individual electrodes 58 of the electrode array 56 may be connected to a common node/terminal of the power supply 60. In such instances, the power supply 60 will alter the one or more electrical parameters of the commonly connected electrodes 58 concurrently, as opposed to individually, thereby effectively making the commonly connected electrodes 58 a single, individually controllable electrode. As such, individually controllable electrodes can be physically distinct electrodes that are connected to discrete terminals of power supply 60 as well as physically distinct electrodes that are commonly connected to a single discrete terminal of power supply 60. The electrode array 56 preferably comprises at least two individually controllable electrodes.
  • The electrode array 56 and the power supply 60 facilitate localized control of the electrical parameters used to electrochemically process the microelectronic workpiece 25. This localized control of the electrical parameters can be used to enhance the uniformity of the electrochemical processing across the surface of the microelectronic workpiece when compared to a single electrode system. Unfortunately, determining the electrical parameters for each of the electrodes 58 in the array 56 to achieve the desired process uniformity can be difficult. The optimizer, however, simplifies and substantially automates the determination of the electrical parameters associated with each of the individually controllable electrodes. In particular, the optimizer determines a plurality of sensitivity values, either experimentally or through numerical simulation, and subsequently uses the sensitivity values to adjust the electrical parameters associated with each of the individually controllable electrodes. The sensitivity values may be placed in a table or may be in the form of a Jacobian matrix. This table/matrix holds information corresponding to process parameter changes (i.e., thickness of the electroplated film) at various points on the workpiece 25 due to electrical parameter perturbations (i.e., electrical current changes) to each of the individually controllable electrodes. This table/matrix is derived from data from a baseline workpiece plus data from separate runs with a perturbation of a controllable electrical parameter to each of the individually controllable electrode.
  • The optimizer typically executes in a control system 65 that is connected to the power supply 60 in order to supply current values for a plating cycle. The control system 65 can take a variety of forms, including general- or special-purpose computer systems, either integrated into the manufacturing tool containing the reaction chamber or separate from the manufacturing tool, such as a laptop or other portable computer system. The control system may be communicatively connected to the power supply 60, or may output current values that are in turn manually inputted to the power supply. Where the control system is connected to the power supply by a network, other computer systems and similar devices may intervene between the control system and the power supply. In many embodiments, the control system contains such components as one or more processors, a primary memory for storing programs and data, a persistent memory for persistently storing programs and data, input/output devices, and a computer-readable medium drive, such as a CD-ROM drive or a DVD drive.
  • Once the values for the sensitivity table/matrix have been determined, the values may be stored in and used by control system 65 to control one or more of the electrical parameters that power supply 60 uses in connection with each of the individually controllable electrodes 58. FIG. 4 is a flow diagram illustrating one manner in which the sensitivity table/matrix may be used to calculate an electrical parameter (i.e., current) for each of the individually controllable electrodes 58 that may be used to meet a process target parameter (i.e., target thickness of the electroplated film).
  • In the steps shown in FIG. 4, the optimizer utilizes two sets of input parameters along with the sensitivity table/matrix to calculate the required electrical parameters. In step 70, the optimizer performs a first plating cycle (a “test run”) using a known, predetermined set of electrical parameters. For example, a test run can be performed by subjecting a microelectronic workpiece 25 to an electroplating process in which the current provided to each of the individually controllable electrodes 58 is fixed at a predetermined magnitude for a given period of time.
  • In step 72, after the test run is complete, the optimizer measures the physical characteristics (i.e., thickness of the electroplated film) of the test workpiece to produce a first set of parameters. For example, in step 72, the test workpiece may be subjected to thickness measurements using a metrology station, producing a set of parameters containing thickness measurements at each of a number of points on the test workpiece. In step 74, the optimizer compares the physical characteristics of the test workpiece measured in step 72 against a second set of input parameters. In the illustrated embodiment of the method, the second set of input parameters corresponds to the target physical characteristics of the microelectronic workpiece that are to be ultimately achieved by the process (i.e., the thickness of the electroplated film). Notably, the target physical characteristics can either be uniform over the surface of the microelectronic workpiece 25 or vary over the surface. For example, in the illustrated embodiment, the thickness of an electroplated film on the surface of the microelectronic workpiece 25 can be used as the target physical characteristic, and the user may expressly specify the target thicknesses at various radial distances from the center of the workpiece, a grid relative to the workpiece, or other reference systems relative to fiducials on the workpiece.
  • In step 74, the optimizer uses the first and second set of input parameters to generate a set of process error values. In step 80, the optimizer derives a new electrical parameter set based on calculations including the set of process error values and the values of the sensitivity table/matrix. In step 82, once the new electrical parameter set is derived, the optimizer directs power supply 60 to use the derived electrical parameters in processing the next microelectronic workpiece. Then, in step 404, the optimizer measures physical characteristics of the test workpiece in a manner similar to step 72. In step 406, the optimizer compares the characteristics measured in step 404 with a set of target characteristics to generate a set of process error values. The set of target characteristics may be the same set of target characteristics as used in step 74, or may be a different set of target characteristics. In step 408, if the error values generated in step 406 are within a predetermined range, then the optimizer continues in step 410, else the facility continues in 80. In step 80, the optimizer derives a new electrical parameter set. In step 410, the optimizer uses the newest electrical parameter derived in step 80 in processing subsequent microelectronic workpieces. In some embodiments (not shown), the processed microelectronic workpieces, and/or their measured characteristics are examined, either manually or automatically, in order to further troubleshoot the process.
  • With reference again to FIG. 3, the first and second set of input parameters may be provided to the control system 65 by a user interface 64 and/or a metrics tool 86. The user interface 64 can include a keyboard, a touch-sensitive screen, a voice recognition system, and/or other input devices. The metrics tool 86 may be an automated tool that is used to measure the physical characteristics of the test workpiece after the test run, such as a metrology station. When both a user interface 64 and a metrics tool 86 are employed, the user interface 64 may be used to input the target physical characteristics that are to be achieved by the process while metrics tool 86 may be used to directly communicate the measured physical characteristics of the test workpiece to the control system 65. In the absence of a metrics tool that can communicate with control system 65, the measured physical characteristics of the test workpiece can be provided to control system 65 through the user interface 64, or by removable data storage media, such as a floppy disk. It will be recognized that the foregoing are only examples of suitable data communications devices and that other data communications devices may be used to provide the first and second set of input parameters to control system 65.
  • In order to predict change in thickness as a function of change in current, the optimizer generates a Jacobian sensitivity matrix. An example in which the sensitivity matrix generated by the optimizer is based upon a mathematical model of the reaction chamber is discussed below. In additional embodiments, however, the sensitivity matrix used by the optimizer is based upon experimental results produced by operating the actual reaction chamber. The data modeled in the sensitivity matrix includes a baseline film thickness profile and as many perturbation curves as anodes, where each perturbation curve involves adding roughly 0.05 amps to one specific anode. The Jacobian is a matrix of partial derivatives, representing the change in thickness in microns over the change in current in amp minutes. Specifically, the Jacobian is an m×n matrix where m, the number of rows, is equal to the number of radial location data points in the modeled data and n, the number of columns, is equal to the number of anodes on the reactor. Typically, the value of m is relatively large (>100) due to the computational mesh chosen for the model of the chamber. The components of the matrix are calculated by taking the quotient of the difference in thickness due to the perturbed anode and the current change in amp-minutes, which is the product of the current change in amps and the run time in minutes.
  • As one source of feedback control, the optimizer uses the thickness of the most-recently plated wafer at each of a number of radial positions on the plated wafer. These radial positions may either be selected from the radial positions corresponding to the rows of the matrix, or may be interpolated between the radial positions corresponding to the rows of the matrix. A wide range of numbers of radial positions may be used. As the number of radial positions used increases, the optimizer's results in terms of coating uniformity improves. However, as the number of radial positions used increases, the amount of time required to measure the wafer, to input the measurement results, and/or to operate the optimizer to generate new currents can increase. Accordingly, the smallest number of radial positions that produce acceptable results is typically used. One approach is to use the number of radial test points within a standard metrology contour map (4 for 200 mm and 4 or 6 for 300 mm) plus one, where the extra point is added to better the 3 sigma uniformity for all the points (i.e., to better the diameter scan).
  • A specific measurement point map may be designed for the metrology station, which will measure the appropriate points on the wafer corresponding with the radial positions necessary for the optimizer operation.
  • The optimizer can further be understood with reference to a specific embodiment in which the electrochemical process is electroplating, the thickness of the electroplated film is the target physical parameter, and the current provided to each of the individually controlled electrodes 58 is the electrical parameter that is to be controlled to achieve the target film thickness. In accordance with this specific embodiment, a Jacobian sensitivity matrix is first derived from experimental or numerically simulated data. FIG. 5 is a graph of a sample Jacobian sensitivity matrix for a multiple-electrode reaction chamber. In particular, FIG. 5 is a graph of a sample change in electroplated film thickness per change in current-time as a function of radial position on the microelectronic workpiece 25 for each of a number of individually controlled electrodes, such as anodes A1-A4 shown in FIG. 3. A first baseline workpiece is electroplated for a predetermined period of time by delivering a predetermined set of current values to electrodes in the multiple anode reactor. The thickness of the resulting electroplated film is then measured as a function of the radial position on the workpiece. These data points are then used as baseline measurements that are compared to the data acquired as the current to each of the anodes A1-A4 is perturbated. Line 90 is a plot of the Jacobian terms associated with a perturbation in the current provided by power supply 60 to anode A1 with the current to the remaining anodes A2-A4 held at their constant predetermined values. Line 92 is a plot of the Jacobian terms associated with a perturbation in the current provided by power supply 60 to anode A2 with the current to the remaining anodes A1 and A3-A4 held at their constant predetermined values. Line 94 is a plot of the Jacobian terms associated with a perturbation in the current provided by power supply 60 to anode A3 with the current to the remaining anodes A1-A2 and A4 held at their constant predetermined values. Lastly, line 96 is a plot of the Jacobian terms associated with a perturbation in the current provided by power supply 60 to anode A4 with the current to the remaining anodes A1-A3 held at their constant predetermined values.
  • The data for the Jacobian parameters shown in FIG. 5 may be computed using the following equations: J ij = t i AM j t i ( AM + ɛ j ) - t i ( AM ) ɛ j Equation ( A1 ) t(AM)=[t 1(AM)t 2(AM) . . . t m(AM)]  Equation (A2)
    AM=[AM1AM2 . . . AMn]  Equation (A3) ɛ 1 = [ Δ AM 1 0 · · 0 ] ɛ 2 = [ 0 Δ AM 2 0 · 0 ] ɛ n = [ 0 · · 0 Δ AM n ] Equation ( A4 )
      • where:
      • t represents thickness [microns];
      • AM represents current [amp-minutes];
      • ε represents perturbation [amp-minutes];
      • i is an integer corresponding to a radial position on the workpiece;
      • j is an integer representing a particular anode;
      • m is an integer corresponding to the total number of radial positions on the workpiece; and
      • n is an integer representing the total number of individually-controllable anodes.
  • The Jacobian sensitivity matrix, set forth below as Equation (A5), is an index of the Jacobian values computed using Equations (A1)-(A4). The Jacobian matrix may be generated either using a simulation of the operation of the deposition chamber based upon a mathematical model of the deposition chamber, or using experimental data derived from the plating of one or more test wafers. Construction of such a mathematical model, as well as its use to simulate operation of the modeled deposition chamber, is discussed in detail in G. Ritter, P. McHugh, G. Wilson and T. Ritzdorf, “Two- and three-dimensional numerical modeling of copper electroplating for advanced ULSI metallization,” Solid State Electronics, volume 44, issue 5, pp. 797-807 (May 2000), available from http://www.elsevier.nl/gej-ng/10/30/25/29/28/27/article.pdf, also available from http://journals.ohiolink.edu/pdflinks/01040215463800982.pdf. J = 0.192982 0.071570 0.030913 0.017811 0.148448 0.084824 0.039650 0.022264 0.066126 0.087475 0.076612 0.047073 0.037112 0.057654 0.090725 0.092239 0.029689 0.045725 0.073924 0.138040 Equation ( A5 )
  • The values in the Jacobian matrix are also presented as highlighted data points in the graph of FIG. 5. These values correspond to the radial positions on the surface of a semiconductor wafer that are typically chosen for measurement. Once the values for the Jacobian sensitivity matrix have been derived, they may be stored in control system 65 for further use.
  • Table 1 below sets forth exemplary data corresponding to a test run in which a 200 mm wafer is plated with copper in a multiple anode system using a nominally 2000 Å thick initial copper seed-layer. Identical currents of 1.12 Amps (for 3 minutes) were provided to all four anodes A1-A4. The resulting thickness at five radial locations was then measured and is recorded in the second column of Table 1. The 3 sigma uniformity of the wafer is 9.4% using a 49 point contour map. Target thickness were then provided and are set forth in column 3 of Table 1. In this example, because a flat coating is desired, the target thickness is the same at each radial position. The thickness errors (processed errors) between the plated film and the target thickness were then calculated and are provided in the last column of Table 1. These calculated thickness errors are used by the optimizer as a source of feedback control.
    TABLE 1
    DATA FROM WAFER PLATED WITH 1.12 AMPS TO
    EACH ANODE.
    Radial Measured Target
    Location Thickness Thickness Error
    (m) (microns) (microns) (microns)
    0 1.1081 1.0291 −0.0790
    0.032 1.0778 1.0291 −0.0487
    0.063 1.0226 1.0291 0.0065
    0.081 1.0169 1.0291 0.0122
    0.098 0.09987 1.0291 0.0304
  • The Jacobian sensitivity matrix may then be used along with the thickness error values to provide a revised set of anode current values that should yield better film uniformity. The equations summarizing this approach are set forth below:
    ΔAM=J−1Δt  Equation (B1)
      • (for a square system in which the number of measured radial positions corresponds to the number of individually controlled anodes in the system); and
        ΔAM=(J T J)−1 J T Δt  Equation (B2)
      • (for a non-square system in which the number of measured radial positions is different than the number of individually controlled anodes in the system).
        Δt i =t i target −t i old−(t i new seed −t i old seed)+t i specified  Equation (B3)
  • In Equation (B3), ti target is the target thickness required to obtain a wafer of desired profile while considering the total current adjustment, ti old is the old overall thickness, ti new seed is the thickness of the new seed layer, ti old seed is the thickness of the old seed layer, and ti specified is the thickness specification relative to the center of the wafer, that is, the thickness specified by the target plating profile. In particular, the term ti specified represents the target thickness, while the quantity ti target−ti old represents feedback from the previous wafer, and the quantity ti new seed−ti old seed represents feedforward from the thickness of the seed layer of the incoming wafer—to disable feedback control, the first quantity is omitted from equation (B3); to disable feedforward control, the second quantity is omitted from equation (B3).
  • Table 2 shows the foregoing equations as applied to the given data set and the corresponding current changes that have been derived from the equations to meet the target thickness at each radial location (best least square fit). Such application of the equations, and construction of the Jacobian matrix is in some embodiments performed using a spreadsheet application program, such as Microsoft Excel®, in connection with specialized macro programs. In other embodiments, different approaches are used in constructing the Jacobian matrix and applying the above equations.
  • The wafer uniformity obtained with the currents in the last column of Table 2 was 1.7% (compared to 9.4% for the test run wafer). This procedure can be repeated again to try to further improve the uniformity. In this example, the differences between the seed layers were ignored since the seed layers are substantially the same.
    TABLE 2
    CURRENT ADJUSTMENT
    Change to
    Anode Anode Anode
    Currents for Currents Currents for
    Anode # Run #1 (Amps) (Amps) Run #2 (Amps)
    1 1.12 −0.21 0.91
    2 1.12 0.20 1.32
    3 1.12 −0.09 1.03
    4 1.12 0.10 1.22
  • Once the corrected values for the anode currents have been calculated, control system 65 of FIG. 3 directs power supply 60 to provide the corrected current to the respective anode A1-A4 during subsequent processes to meet the target film thickness and uniformity.
  • In some instances, it may be desirable to iteratively apply the foregoing equations to arrive at a set of current change values (the values shown in column 3 of Table 2) that add up to zero. For example, doing so enables the total plating charge—and therefore the total mass of plated material—to be held constant without having to vary the recipe time.
  • The Jacobian sensitivity matrix in the foregoing example quantifies the system response to anode current changes about a baseline condition. Ideally, a different matrix may be employed if the processing conditions vary significantly from the baseline. The number of system parameters that may influence the sensitivity values of the sensitivity matrix is quite large. Such system parameters include the seed layer thickness, the electrolyte conductivity, the metal being plated, the film thickness, the plating rate, the contact ring geometry, the wafer position relative to the chamber, and the anode shape/current distribution. Anode shape/current distribution is included to accommodate chamber designs where changes in the shape of consumable anodes over time affect plating characteristics of the chamber. Changes to all of these items can change the current density across the wafer for a given set of anode currents and, as a result, can change the response of the system to changes in the anode currents. It is expected, however, that small changes to many of these parameters will not require the calculation of a new sensitivity matrix. Nevertheless, a plurality of sensitivity tables/matrices may be derived for different processing conditions and stored in control system 65. Which of the sensitivity tables/matrices is to be used by the control system 65 can be entered manually by a user, or can be set automatically depending on measurements taken by certain sensors or the like (i.e., temperature sensors, chemical analysis units, etc.) that indicate the existence of one or more particular processing conditions.
  • The optimizer may also be used to compensate for differences and non-uniformities of the initial seed layer of the microelectronic workpiece. Generally stated, a blanket seed layer can affect the uniformity of a plated film in two ways:
  • 1. If the seed layer non-uniformity changes, this non-uniformity is added to the final film. For example, if the seed layer is 100 Å thinner at the outer edge than expected, the final film thickness may also be 100 Å thinner at the outer edge.
  • 2. If the average seed-layer thickness changes significantly, the resistance of the seed-layer will change resulting in a modified current density distribution across the wafer and altered film uniformity. For example, if the seed layer decreases from 2000 Å to 1000 Å, the final film will not only be thinner (because the initial film is thinner) but it will also be relatively thicker at the outer edge due to the higher resistivity of the 1000 Å seed-layer compared to the 2000 Å seed-layer (assuming an edge contact).
  • The optimizer can be used to compensate for such seed-layer deviations, thereby utilizing seed-layer thicknesses as a source of feed-forward control. In the first case above, the changes in seed-layer uniformity may be handled in the same manner that errors between target thickness and measured thickness are handled. A pre-measurement of the wafer quantifies changes in the seed-layer thickness at the various radial measurement locations and these changes (errors) are figured into the current adjustment calculations. Using this approach, excellent uniformity results can be obtained on the new seed layer, even on the first attempt at electroplating.
  • In the second case noted above, an update of or selection of another stored sensitivity/Jacobian matrix can be used to account for a significantly different resistance of the seed-layer. A simple method to adjust for the new seed layer thickness is to plate a film onto the new seed layer using the same currents used in plating a film on the previous seed layer. The thickness errors measured from this wafer can be used with a sensitivity matrix appropriate for the new seed-layer to adjust the currents.
  • To further illuminate the operation of the optimizer, a second test run is described. In the second test run, the optimization process begins with a baseline current set or standard recipe currents. A wafer must be pre-read for seed layer thickness data, and then plated using the indicated currents. After plating, the wafer is re-measured for the final thickness values. The following wafer must also be pre-read for seed layer thickness data. Sixty-seven points at the standard five radial positions (0 mm, 31.83 mm, 63.67 mm, 80 mm, 95.5 mm) are typically measured and averaged for each wafer reading.
  • The thickness data from the previous wafer, and the new wafer seed layer, in addition to the anode currents, are entered into the input page of the optimizer. The user may also elect to input a thickness specification, or chose to modify the plating thickness by adjusting the total current in amp-minutes. After all the data is correctly inputted, the user activates the optimizer. In response, the optimizer predicts thickness changes and calculates new currents.
  • The new wafer is then plated with the adjusted anode currents and then measured. A second modification may be required if the thickness profile is not satisfactory.
  • When a further iteration is required, the optimization is continued. As before, the post-plated wafer is measured for thickness values, and another wafer is pre-read for a new seed set of seed layer thickness values. Then, the following quantities are entered on the input page:
      • 1. plated wafer thickness,
      • 2. anode currents,
      • 3. plated wafer seed layer thickness, and
      • 4. new wafer seed layer thickness
  • The recipe time and thickness profile specification should be consistent with the previous iteration. The program is now ready to be run again to provide a new set of anode currents for the next plating attempt.
  • After plating with the new currents, the processed wafer is measured and if the uniformity is still not acceptable, the procedure may be continued with another iteration. The standard value determining the uniformity of a wafer is the 3-σ, which is the standard deviation of the measured points relative to the mean and multiplied by three. Usually a forty-nine point map is used with measurements at the radial positions of approximately 0 mm, 32 mm, 64 mm, and 95 mm to test for uniformity.
  • The above procedure will be demonstrated using a multi-iteration example. Wafer #3934 is the first plated wafer using a set of standard anode currents: 0.557/0.818/1.039/0.786 (anode1/anode2/anode3/anode4 in amps) with a recipe time of 2.33 minutes (140 seconds). Before plating, the wafer is pre-read for seed layer data. These thickness values, in microns, from the center to the outer edge, are shown in Table 3:
    TABLE 3
    SEED LAYER THICKNESS VALUES FOR WAFER
    #
    3934
    Radius (mm) Thickness (μm)
    0.00 0.130207
    31.83 0.13108
    63.67 0.131882
    80.00 0.129958
    95.50 0.127886
  • The wafer is then sent to the plating chamber, and then re-measured after being processed. The resulting thickness values (in microns) for the post-plated wafer #3934 are shown in Table 4:
    TABLE 4
    THICKNESS VALUES FOR POST-PLATED WAFER
    #
    3934
    Radius (mm) Thickness (μm)
    0.00 0.615938
    31.83 0.617442
    63.67 0.626134
    80.00 0.626202
    95.50 0.628257
  • The 3-σ for the plated wafer is calculated to be 2.67% over a range of 230.4 Angstroms. Since the currents are already producing a wafer below 3%, any adjustments are going to be minor. The subsequent wafer has to be pre-read for seed layer values in order to compensate for any seed layer differences. Wafer #4004 is measured and the thickness values in microns are shown in Table 5:
    TABLE 5
    SEED LAYER THICKNESS VALUES FOR WAFER
    #
    4004
    Radius (mm) Thickness (μm)
    0.00 0.130308
    31.83 0.131178
    63.67 0.132068
    80.00 0.13079
    95.50 0.130314
  • For this optimization run, there is no thickness profile specification, or overall thickness adjustment. All of the preceding data is inputted into the optimizer, and the optimizer is activated to generate a new set of currents. These currents will be used to plate the next wafer. FIG. 6 is a spreadsheet diagram showing the new current outputs calculated from the inputs for the first optimization run. It can be seen that the input values 601 have generated output 602, including a new current set. The optimizer has also predicted the absolute end changed thicknesses 603 that this new current set will produce.
  • The new anode currents are sent to the process recipe and run in the plating chamber. The run time and total currents (amp-minutes) remain constant, and the current density on the wafer is unchanged. The new seed layer data from this run for wafer #4004 will become the old seed layer data for the next iteration.
  • The thickness (microns) resulting from the adjusted currents plated on wafer #4004 are shown in Table 6:
    TABLE 6
    THICKNESS VALUES FOR POST-PLATED WAFER
    #
    4004
    Radius (mm) Thickness (μm)
    0.00 0.624351
    31.83 0.621553
    63.67 0.622704
    80.00 0.62076
    95.50 0.618746
  • The post-plated wafer has a 3-σ of 2.117% over a range of 248.6 Angstroms. To do another iteration, a new seed layer measurement is required, unless notified that the batch of wafers has equivalent seed layers. Wafer # 4220 is pre-measured and the thickness values in microns are shown in Table 7:
    TABLE 7
    SEED LAYER THICKNESS VALUES FOR WAFER
    #4220
    Radius (mm) Thickness (μm)
    0.00 0.127869
    31.83 0.129744
    63.67 0.133403
    80.00 0.134055
    95.50 0.1335560
  • Again, all of the new data is inputted into the optimizer, along with the currents used to plate the new wafer and the thickness of the plated wafer's seed. The optimizer automatically transfers the new currents into the old currents among the inputs. The optimizer is then activated to generate a new set of currents. FIG. 7 is a spreadsheet diagram showing the new current outputs calculated from the inputs for the second optimization run. It can be seen that, from input value 701, the optimizer has produced output 702 including a new current set. It can further be seen that that the facility has predicted absolute and changed thicknesses 703 that will be produced using the new currents.
  • The corrected anode currents are again sent to the recipe and applied to the plating process. The 2nd adjustments on the anode currents produce the thickness values in microns shown in Table 8:
    TABLE 8
    THICKNESS VALUES FOR POST-PLATED WAFER
    #4220
    Radius (mm) Thickness (μm)
    0.00 0.624165
    31.83 0.622783
    63.67 0.626911
    80.00 0.627005
    95.50 0.623823
  • The 3-σ for wafer #4220 is 1.97% over a range of 213.6 Angstroms. The procedure may continue to better the uniformity, but the for the purpose of this explanation, a 3-σ below 2% is acceptable.
  • The optimizer may also be used to compensate for reactor-to-reactor variations in a multiple reactor system, such as the LT-210C™ available from Semitool, Inc., of Kalispell, Mont. In such a system, there is a possibility that the anode currents required to plate a specified film might be different on one reactor when compared to another. Some possible sources for such differences include variations in the wafer position due to tolerances in the lift-rotate mechanism, variations in the current provided to each anode due to power supply manufacturing tolerances, variations in the chamber geometry due to manufacturing tolerances, variations in the plating solution, etc.
  • In a single anode system, the reactor-to-reactor variation is typically reduced either by reducing hardware manufacturing tolerances or by making slight hardware modifications to each reactor to compensate for reactor variations. In a multiple anode reactor constructed in accordance with the teachings of the present invention, reactor-to-reactor variations can be reduced/eliminated by running slightly different current sets in each reactor. As long as the reactor variations do not fundamentally change the system response (i.e., the sensitivity matrix), the self-tuning scheme disclosed herein is expected to find anode currents that meet film thickness targets. Reactor-to-reactor variations can be quantified by comparing differences in the final anode currents for each chamber. These differences can be saved in one or more offset tables in the control system 65 so that the same recipe may be utilized in each reactor. In addition, these offset tables may be used to increase the efficiency of entering new processing recipes into the control system 65. Furthermore, these findings can be used to trouble-shoot reactor set up. For example, if the values in the offset table are over a particular threshold, the deviation may indicate a hardware deficiency that needs to be corrected.
  • As mentioned above, embodiments of the optimizer may be used to set currents and other parameters for complex deposition recipes that specify changes in current during the deposition cycle. As an example, embodiments of the optimizer may be used to determine anode currents in accordance with recipe having two different steps. Step 1 of the recipe lasts for 0.5 minutes, during which a total of +1 amp of current is delivered through four electrodes. Step 2 of the recipe, which immediately follows step 1, is 1.25 minutes long. During step 2, a total current of +9 amps is delivered for 95 milliseconds. Immediately afterwards, a total current of −4.3 amps is delivered for 25 milliseconds. Ten milliseconds after delivery of the −4.3 amp current is concluded, the cycle repeats, delivering +9 amps for another 95 milliseconds. The period during which a positive current is being delivered is known as the “forward phase” of the step, while the time during which a negative current is being delivered is known as the “backward phase” of the step. Backward phases may be used, for example, to reduce irregularities formed in the plated surface as the result of organic substances within the plating solution.
  • In order to apply the optimizer to optimize currents for this recipe, initial currents are chosen in accordance with the recipe. These are shown below in Table 9.
    TABLE 9
    Initial Multi-step Recipe
    Step
    1 Step 2
    1. time 0.5 1.25
    2. forward fraction 1 0.730769
    3. anode 1 current 0.2 1.8
    4. anode 2 current 0.24 2.16
    5. anode 3 current 0.34 3.06
    6. anode 4 current 0.22 1.98
    7. backward fraction 0.192307
    8. anode 1 current −0.86
    9. anode 2 current −1.03
    10. anode 3 current −1.46
    11. anode 4 current −0.95
    12. forward amp-min 0.5 8.221153
    13. backward amp-min 0 −1.033653
    14. Total Amp-min 7.6875
  • The left-hand column of Table 9 shows currents and other information for the first step of the recipe, while the right-hand column shows currents and other information for the second step of the recipe. In line 1, it can be seen that step 1 has a duration of 0.5 minutes, while step 2 has a duration of 1.25 minutes. In line 2, it can be seen that, in step 1, forward plating is performed for 100% of the duration of the step, while in step 2, forward plating is performed for about 73% of the duration of the step (95 milliseconds out of the 130 millisecond period of the step). Lines 3-6 show the currents delivered through each of the anodes during the forward phase of each of the two steps. For example, it can be seen that 0.24 amps are delivered through anode 2 for the duration of step 1. In line 7, it can be seen that a negative current is delivered for about 19% of the duration of step 2 (25 milliseconds out of the total period of 130 milliseconds). Lines 8-11 show the negative currents delivered during the backward phase of step 2. Line 12 shows the charge, in amp-minutes, delivered in the forward phase of each step. For step 1, this is 0.5 amp-minutes, computed by multiplying the step 1 duration of 0.5 minutes by the forward fraction of 1, and by the sum of step 1 forward currents, 1 amp. The forward plating charge for step 2 is about 8.22 amp-minutes, computed by multiplying the duration of step 2, 1.25 minutes, by the forward fraction of about 73%, and by the sum of the forward currents in step 2, 9 amps. Line 13 shows the results of a similar calculation for the backward phase of step 2. Line 14 shows the net plating charge, 7.6875 amp-minutes obtained by summing the signed charge values on lines 12 and 13.
  • The deposition chamber is used to deposit a wafer in accordance with these initial currents. That is, during the first half-minute of deposition (step 1), +0.2 amps are delivered through anode 1. During the next 1.25 minutes of the process (step 2), +1.8 amps are delivered through anode 1 for 95 milliseconds, then −0.86 amps are delivered through anode 1 for 25 milliseconds, then no current flows through 1 for 10 milliseconds, and then the cycle is repeated until the end of the 1.25 minute duration of step 2. Overall, the charge of 1.537 amp-minutes is delivered through anode 1. This value is determined by multiplying duration, forward fraction, and anode 1 current from step 1, then adding the product of the duration of step 2, the forward fraction of step 2, and the forward anode 1 current of step 2, then adding the product of the duration of step 2, the backward fraction of step 2, and the backward anode 1 current of step 2. Such net plating charges may be calculated for each of the anodes, as shown below in Table 10.
    TABLE 10
    Net Plating Charges in Initial Multi-step Recipe
    Anode1 1.537 Amp-min
    Anode2 1.845 Amp-min
    Anode3 2.614 Amp-min
    Anode4 1.690 Amp-min
  • These plating charge values are submitted to the optimizer together with thicknesses measured from the wafer plated using the initial current. In response, the optimizer generates a set of new net plating charges for each electrode. These new net plating charges are shown below in Table 11.
    TABLE 11
    New Net Plating Charges for Revised Recipe
    Anode1 1.537 Amp-min + 0.171286 Amp-min = 1.709 Amp-min
    Anode2 1.845 Amp-min − 0.46657 Amp-min = 1.379 Amp-min
    Anode3 2.614 Amp-min + 0.106337 Amp-min = 1.271 Amp-min
    Anode4 1.690 Amp-min + 0.188942 Amp-min = 1.879 Amp-min
  • The optimizer then computes for each anode a share of the current to be delivered through the anode by dividing the new net plating charge determined for the anode by the sum of the net plating charges determined for all of the anodes.
  • These current shares are shown below in Table 12.
    TABLE 12
    Current Shares for Revised Recipe
    Anode1 1.709/7.6875 = 22.2%
    Anode2 1.379/7.6875 = 17.9%
    Anode3 1.271/7.6875 = 35.5%
    Anode4 1.879/7.6875 = 24.4%
  • The optimizer then determines a new current for each anode in each step and phase of the recipe by multiplying the total current for the step and phase by the current share computed for each anode. These are shown in Table 13 below.
    TABLE 13
    Revised Multi-Step Recipe
    Step
    1 Step 2
    1. time 0.5 1.25
    2. forward fraction 1 0.730769
    3. anode 1 current 0.222281 2.000530
    4. anode 2 current 0.179371 1.614339
    5. anode 3 current 0.353895 3.185055
    6. anode 4 current 0.244452 2.200075
    7. backward fraction 0.192307
    8. anode 1 current 0 −0.955808
    9. anode 2 current 0 −0.771295
    10. anode 3 current 0 −1.521748
    11. anode 4 current 0 −1.051147
    12. forward amp-min 0.5 8.221153
    13. backward amp-min 0 −1.033653
    14. Total Amp-min 7.6875
  • For example, it can be seen in line 4 of Table 13 that the forward anode 2 current for step 2 is about 1.61 amps, computed by multiplying the +9 amps total current for the forward phase of step 2 by the current share of 17.9% computed for anode 2 shown in Table 12.
  • By comparing Table 13 to Table 9, it can be seen that the net plating charge changes specified by the optimizer for the revised recipe are distributed evenly across the steps and phases of this recipe. It can also be seen that the total plating charge for each step and phase of the revised recipe, as well as the total plating charge, is unchanged from the initial multistep recipe. The optimizer may utilize various other schemes for distributing plating charge changes within the recipe. For example, it may alternatively distribute all the changes to step 2 of the recipe, leaving step 1 of the recipe unchanged from the initial multi-step recipe. In some embodiments, the optimizer maintains and applies a different sensitivity matrix for each step in a multi-step recipe.
  • In some embodiments, the facility utilizes a form of predictive control feedback. In these embodiments, the optimizer generates, for each set of revised currents, a set of predicted plating thicknesses. The optimizer determines the difference between these predicted thicknesses and the actual plated thicknesses of the corresponding workpiece. For each workpiece, this set of differences represents the level of error produced by the optimizer in setting currents for the workpiece. The optimizer uses the set of differences for the previous workpiece to improve performance on the incoming workpiece by subtracting these differences from the target thickness changes to be effected by current changes for the incoming workpiece. In this way, the optimizer is able to more quickly achieve the target plating profile.
  • Further sample wafer processing processes employing the optimizer are discussed below. It should be noted that no attempt is made to exhaustively list such processes, and that those included are merely exemplary.
  • Table 13 below shows a sample wafer processing process employing the optimizer, from which a subset of the steps may be selected and/or modified to define additional such processes.
    TABLE 13
    Sample Wafer Processing Process Employing Optimizer
    Step Tool/Process
    1. Deposit metal seed layer using one or more physical vapor
    deposition (“PVD”) tools, different chambers on the same PVD
    tool, or CVD chambers or electroless deposition chambers.
    2. Measure seed layer film thickness using metrology station, either
    on the tool or an independent station - metrology stations can infer
    film thickness from sheet resistance measurements or from
    optical measurements of the film
    3. Apply optimizer - residing on tool or off tool on a personal
    computer - in a seed layer enhancement (“SLE”) chamber using
    measurements from step 2 (feedforward) and measurement
    results from previous SLE wafer on step 6 or 8 (feedback)
    4. Deposit metal layer in SLE chamber
    5. Rinse wafer in SRD/Capsule chamber
    6. Measure wafer thickness using Metrology Station
    7. Anneal wafer in annealing chamber on the tool or in independent
    stations
    8. Measure wafer thickness using Metrology Station
    9. Apply optimizer in ECD chamber using measurements from step 7
    (feedforward) and measurement results from previous ECD wafer
    on step 12 or 14 (feedback)
    10. Deposit final metal layer in ECD chamber
    11. Clean and bevel etch wafer in Capsule chamber
    12. Measure wafer thickness using Metrology Station
    13. Anneal wafer in anneal chamber
    14. Measure wafer thickness using Metrology Station
  • These steps may be qualified in a variety of ways including: the measurement/optimizer sequence steps can be performed during tool qualification or “dial-in”; the measurement/optimizer sequence steps sequence can be performed periodically to monitor performance; the measurement/optimizer sequence steps sequence can be performed on each wafer; SLE process may be optional depending upon the measurement results in step 2 (i.e., this wafer may routed around this and associated process steps); wafer sequence may be terminated, rerouted, or restarted based upon the measurement results of step 2, 6, 8, 12, and 14; measurement/optimizer steps may be performed only after process/hardware changes; measurements before and after annealing (e.g., sheet resistance) may be used to determine effectiveness of annealing process; metal deposition steps 4 and 10 may be deposition of same metals or different metals—they could deposit the same metal using different baths; one or more metal deposition steps could be used, which deposit one or more different metals; the optimization steps may adjust currents to generate a flat thickness profile or one with a specified shape; the optimization steps may adjust current to generate a desired current density profile for future filling; the wafer may be returned to a deposition chamber for additional metal deposition if the film thickness is insufficient, based upon metrology results.
  • Table 14 below shows an additional sample process:
    TABLE 14
    Sample Wafer Processing Process Employing Optimizer
    Step Tool/Process
    1. Deposit metal seed layer using PVD tool
    2. Measure seed layer film thickness using metrology station
    3. Apply optimizer in ECD chamber using measurements from step 2
    (feedforward) and measurement results from previous ECD wafer
    on step 7 (feedback)
    4. Deposit final metal layer in ECD chamber
    5. Anneal wafer in anneal chamber
    6. Clean and bevel etch wafer in Capsule chamber
    7. Measure wafer thickness using Metrology Station
  • Table 15 below shows an additional sample process:
    TABLE 15
    Sample Wafer Processing Process Employing Optimizer
    Step Tool/Process
    1. Deposit metal seed layer using PVD tool
    2. Measure seed layer film thickness using metrology station
    3. Apply optimizer in ECD chamber using measurements from step 2
    (feedforward) and measurement results from previous ECD wafer
    on step 6 (feedback)
    4. Deposit final metal layer in ECD chamber
    6. Clean and bevel etch wafer in Capsule chamber
    7. Measure wafer thickness using Metrology Station
      • 7. Measure Wafer Thickness Using Metrology Station
  • Table 16 below shows an additional sample process:
    TABLE 16
    Sample Wafer Processing Process Employing Optimizer
    Step Tool/Process
    1. Deposit metal seed layer using PVD tool
    2. Measure seed layer film thickness using metrology station
    3. Apply optimizer in ECD chamber using measurements from step 2
    (feedforward) and measurement results from previous SLE wafer
    on step 6 (feedback)
    4. Deposit metal layer in SLE chamber
    6. Clean and bevel etch wafer in Capsule chamber
    7. Measure wafer thickness using Metrology Station
  • As an additional sample process, the thickness uniformity of a wafer with a PVD-deposited seed layer is measured on a dedicated metrology tool, after which the wafer is brought to the plating tool and placed in an SLE process chamber. Using the measurements from the dedicated metrology tool, the optimizer is used to select an SLE recipe that will augment the PVD-deposited seed layer to yield a seed layer with improved thickness uniformity, and the SLE process is performed on the wafer. After the wafer has been cleaned and dried in one of the plating tool capsule chambers, the wafer is transferred to a plating chamber where the optimizer is then used to select a plating recipe that will yield a uniform bulk film, at the desired thickness, based on the nominal seed layer thickness. After the bulk film plating process has completed, the wafer is transferred to a capsule cleaning chamber, whereupon it is removed from the tool.
  • As an additional sample process, a wafer is brought to the plating tool and placed in the on-board metrology station to determine the thickness profile of the CVD-deposited seed layer. The wafer is then transferred to a plating chamber. Using the seed layer measurements from the on-board metrology station, the optimizer is used to select a plating recipe that will yield a convex (center-thick) bulk film, at the desired nominal thickness. After the plating process has completed, the wafer is transferred to a capsule cleaning chamber, whereupon it is removed from the tool.
  • As an additional sample process, a wafer comes to an electroplating tool with a seed layer, applied using physical vapor deposition, that is non-uniform. A metrology station is used to measure the non-uniformity, and the optimizer operates the multiple-electrode reactor to correct the measured non-uniformity. Seed layer repair is then performed using an electroless ion plating process to produce a final, more uniform, seed layer. The optimizer then operates to deposit bulk metal onto the repaired seed layer.
  • As an additional sample process, a semiconductor fabricator has two physical vapor deposition tools (“PVD tools”), each of which has its own particular characteristics. A wafer processed by the first PVD tool and having a seed layer non-uniformity is directed to a first multiple-electrode reactor for seed layer repair. A wafer from the second PVD tool that has a different seed layer non-uniformity is directed to a second multiple-electrode reactor for seed layer repair. Bulk metal is then deposited onto the repaired seed layers of the two wafers in a third CFD reactor under the control of the optimizer.
  • Additional applications of the optimizer include:
  • Single plating example: The production environment can involve many recipes on a tool because each wafer may require multiple processing steps. For example, there may be 5-7 metal interconnect layers and each of the layers have different process parameters. Furthermore, a tool may be processing several different products. The advantage having a multiple anode reactor on the tool (like the CFD reactor) is that unique anode currents and optimal performance may be specified for all the different recipes on all the different chambers on the tool.
  • A basic application of the optimizer is to aid in the initial dial-in process for all of the recipes that are going to be run on a tool in production. In this mode, recipes will be written and tested experimentally prior to production, using the optimizer as an aid to obtained uniformity specifications. In this picture of workpiece production, the optimizer is used during the set-up phase only, saving the process engineer much time in setting up the tool and each of the recipes. If seed-layers coming into the tool are identical and stable, the above picture is sufficient.
  • If the seed-layers are not consistent, then off-tool metrology or integrated metrology can be used to monitor the changes in the seed-layers and the optimizer can be used to modify the anode currents in the recipe to compensate for these variations.
  • ECD seed followed by bulk ECD: In the case of sequential plating steps, metrology before and after each plating step allows for recipe current adjustments with the optimizer to each process. In the case of ECD seed, the initial PVD or CVD layer of metal can be measured and adjusted for using the feed-forward feature of the optimizer. Note: In this process the resistance of the barrier layer under the seed layer can also have a large influence on the plating uniformity, if the resistance of this layer can be measured, then the optimizer can be used to compensate for this effect (it may take more than one iteration of the optimizer).
  • Dial-In Uniform Current Density Recipes: Using the optimizer and metrology the optimizer can be used to help dial in recipes that insure uniform current density during the feature filling step.
  • Table Look-Up: The optimal currents to plate uniformly on different thickness seed-layers (assuming the seed layers are substantially uniform) can be determined in advance, using the optimizer to find these currents. Then the currents can be pulled from a table, when the resistivity of the seed layer is measured. This may be quite useful for platen plating (solder) where the seed layer resistance is constant for the whole plating run.
  • It is envisioned that the optimizer may be used in one or more stages of widely-varying processes for processing semiconductor workpieces. It is further envisioned that the optimizer may operate completely separately from the processing tools performing such processes, with only some mechanism for the optimizer to pass control parameters to such processing tools. Indeed, the optimizer and processing tools may be operated under the control and/or ownership of different parties, and/or in different physical locations.
  • Numerous modifications may be made to the described optimizer without departing from the basic teachings thereof. For example, although the present invention is described in the context of electrochemical processing of the microelectronic workpiece, the teachings herein can also be extended to other types of microelectronic workpiece processing, including various kinds of material deposition processes. For example, the optimizer may be used to control electrophoretic deposition of material, such as positive or negative electrophoretic photoresists or electrophoretic paints; chemical or physical vapor deposition; etc. In effect, the teachings herein can be extended to other microelectronic workpiece processing systems that have individually controlled processing elements that are responsive to control parameters and that have interdependent effects on a physical characteristic of the microelectronic workpiece that is processed using the elements. Such systems may employ sensitivity tables or matrices as set forth herein and use them in calculations with one or more input parameters sets to arrive at control parameter values that accurately result in the targeted physical characteristic of the microelectronic workpiece. Although the present invention has been described in substantial detail with reference to one or more specific embodiments, those of skill in the art will recognize that changes may be made thereto without departing from the scope and spirit of the invention as set forth herein.

Claims (21)

1-100. (canceled)
101. A method for electrolytically processing a microelectronic workpiece having a seed layer, comprising:
determining a set of control parameters based on physical properties of the seed layer;
contacting the seed layer on the microelectronic workpiece with an electrolytic fluid in a processing chamber;
delivering a plurality of electrical currents through a corresponding plurality of electrodes in the processing chamber; and
operating the processing chamber according to the determined set of control parameters to change the physical properties and form a finished seed layer.
102. The method of claim 101, further comprising measuring the physical properties of the seed layer and determining the set of control parameters from the measured physical properties.
103. The method of claim 101, wherein the control parameters comprise the plurality of electrical currents delivered through the electrodes.
104. The method of claim 101 wherein operating the processing chamber according to the set of control parameters comprises delivering the electrical currents through first and second electrodes in the processing chamber to yield a finished seed layer with a desired thickness profile.
105. The method of claim 101 wherein operating the processing chamber according to the set of control parameters comprises delivering the electrical currents through first and second electrodes in the processing chamber to yield a finished seed layer with at least a substantially flat thickness profile across the workpiece.
106. The method of claim 101 wherein operating the processing chamber according to the set of control parameters comprises delivering the electrical currents through first and second electrodes in the processing chamber to yield a finished seed layer with a convex thickness profile.
107. The method of claim 101 wherein after operating the processing chamber according to the set of control parameters to form the finished seed layer, the method further comprises measuring the physical properties of the finished seed layer and revising the control parameters for enhancing seed layers of subsequent workpieces processed using the processing chamber.
108. A method for electrolytically processing a microelectronic workpiece having a seed layer, comprising:
contacting the seed layer on the workpiece with an electrolytic fluid in a processing chamber; and
delivering a plurality of electrical currents to the electrolytic fluid via a corresponding plurality of electrodes in the processing chamber to deposit material onto the seed layer in a manner that forms a finished seed layer with a desired thickness profile for subsequent plating.
109. The method of claim 108, further comprising measuring physical properties of the seed layer and determining the electrical currents from the measured physical properties.
110. The method of claim 108 wherein the electrical currents are delivered through the electrodes to yield a finished seed layer with at least a substantially flat thickness profile across the workpiece.
111. The method of claim 108 wherein the electrical currents are delivered through the electrodes to yield a finished seed layer with a convex thickness profile.
112. The method of claim 108 wherein after forming the finished seed layer, the method further comprises measuring the physical properties of the finished seed layer and revising the plurality of electrical currents delivered through the electrodes for enhancing seed layers of subsequent workpieces processed using the processing chamber.
113. A method for electrolytically processing a microelectronic workpiece having an initial seed layer, comprising:
contacting the initial seed layer with an electrolytic fluid in a processing chamber;
delivering a plurality of electrical currents through a corresponding plurality of electrodes located in the processing chamber to form a finished seed layer having an improved thickness uniformity compared to the initial seed layer; and
delivering a plurality of different electrical currents through the corresponding plurality of electrodes to plate additional material onto the finished seed layer.
114. The method of claim 113, further comprising measuring physical properties of the initial seed layer and determining the electrical currents from the measured physical properties.
115. The method of claim 113 wherein the electrical currents are delivered through the electrodes such that the finished seed layer has at least a substantially flat thickness profile across the workpiece.
116. The method of claim 113 wherein the electrical currents are delivered through the electrodes such that the finished seed layer has a convex thickness profile.
117. The method of claim 113 wherein after forming the finished seed layer, the method further comprises measuring the physical properties of the finished seed layer and revising the plurality of electrical currents delivered through the electrodes for enhancing seed layers of subsequent workpieces processed using the processing chamber.
118. The method of claim 113 wherein delivering a plurality of different electrical currents through the corresponding plurality of electrodes to plate additional material onto the finished seed layer comprises delivering a set of plating currents in a manner that produces a profiled layer on the workpiece.
119. The method of claim 118 wherein the profiled layer has at least a substantially flat surface.
120. The method of claim 118 wherein the profiled layer has a convex surface that is thicker at a central portion of the workpiece than at a perimeter portion of the workpiece.
US11/096,972 1999-04-13 2005-03-31 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece Abandoned US20050167273A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/096,972 US20050167273A1 (en) 1999-04-13 2005-03-31 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US12905599P 1999-04-13 1999-04-13
US14376999P 1999-07-12 1999-07-12
US18216000P 2000-02-14 2000-02-14
PCT/US2000/010120 WO2000061498A2 (en) 1999-04-13 2000-04-13 System for electrochemically processing a workpiece
US20666300P 2000-05-24 2000-05-24
US09/849,505 US7020537B2 (en) 1999-04-13 2001-05-04 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US09/866,391 US7189318B2 (en) 1999-04-13 2001-05-24 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US11/096,972 US20050167273A1 (en) 1999-04-13 2005-03-31 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/866,391 Continuation US7189318B2 (en) 1999-04-13 2001-05-24 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece

Publications (1)

Publication Number Publication Date
US20050167273A1 true US20050167273A1 (en) 2005-08-04

Family

ID=46204137

Family Applications (6)

Application Number Title Priority Date Filing Date
US09/866,391 Expired - Lifetime US7189318B2 (en) 1999-04-13 2001-05-24 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US10/817,659 Abandoned US20040188259A1 (en) 1999-04-13 2004-04-02 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US11/096,972 Abandoned US20050167273A1 (en) 1999-04-13 2005-03-31 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US11/097,068 Abandoned US20050167274A1 (en) 1999-04-13 2005-03-31 Tuning electrodes used in a reactor for electrochemically processing a microelectronics workpiece
US11/097,671 Abandoned US20050189227A1 (en) 1999-04-13 2005-03-31 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US11/639,733 Abandoned US20070089991A1 (en) 1999-04-13 2006-12-14 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/866,391 Expired - Lifetime US7189318B2 (en) 1999-04-13 2001-05-24 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US10/817,659 Abandoned US20040188259A1 (en) 1999-04-13 2004-04-02 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/097,068 Abandoned US20050167274A1 (en) 1999-04-13 2005-03-31 Tuning electrodes used in a reactor for electrochemically processing a microelectronics workpiece
US11/097,671 Abandoned US20050189227A1 (en) 1999-04-13 2005-03-31 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US11/639,733 Abandoned US20070089991A1 (en) 1999-04-13 2006-12-14 Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece

Country Status (1)

Country Link
US (6) US7189318B2 (en)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6749391B2 (en) 1996-07-15 2004-06-15 Semitool, Inc. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
JP4384825B2 (en) * 2001-04-26 2009-12-16 上村工業株式会社 Method for calculating film thickness of electrodeposition coating
US7276264B1 (en) * 2002-02-11 2007-10-02 Battelle Energy Alliance, Llc Methods for coating conduit interior surfaces utilizing a thermal spray gun with extension arm
US7334485B2 (en) 2002-02-11 2008-02-26 Battelle Energy Alliance, Llc System, method and computer-readable medium for locating physical phenomena
US6889557B2 (en) * 2002-02-11 2005-05-10 Bechtel Bwxt Idaho, Llc Network and topology for identifying, locating and quantifying physical phenomena, systems and methods for employing same
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
DE10327578A1 (en) * 2003-06-18 2005-01-13 Micronas Gmbh Method and device for filtering a signal
WO2005033377A2 (en) * 2003-09-30 2005-04-14 Advanced Micro Devices, Inc. A method and a system for automatically controlling a current distribution of a multi-anode arrangement during the plating of a metal on a substrate surface
DE10345376B4 (en) * 2003-09-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale A method and system for automatically controlling a current distribution of a multi-anode array during plating a metal onto a substrate surface
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US7531060B2 (en) * 2004-07-09 2009-05-12 Semitool, Inc. Integrated tool assemblies with intermediate processing modules for processing of microfeature workpieces
US7632542B2 (en) * 2005-10-26 2009-12-15 University Of Maryland Method for controlling uniformity of thin films fabricated in processing systems
US7914657B2 (en) * 2005-12-01 2011-03-29 Hitachi Global Storage Technologies, Netherlands B.V. Controlling the thickness of wafers during the electroplating process
US7655126B2 (en) 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
JP5000941B2 (en) * 2006-07-27 2012-08-15 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
JP2008277450A (en) * 2007-04-26 2008-11-13 Tokyo Seimitsu Co Ltd Device and method for controlling polishing condition of cmp apparatus
DE102008009641A1 (en) * 2007-08-31 2009-03-05 Advanced Micro Devices, Inc., Sunnyvale Profile control in ring anode plating chambers for multi-step recipes
EP2218055B1 (en) 2007-11-14 2014-07-16 Varcode Ltd. A system and method for quality management utilizing barcode indicators
US9062388B2 (en) 2010-08-19 2015-06-23 International Business Machines Corporation Method and apparatus for controlling and monitoring the potential
US9075941B2 (en) 2013-05-14 2015-07-07 Hong Kong Applied Science and Technology Research Institute Company Limited Method for optimizing electrodeposition process of a plurality of vias in wafer
JP6092156B2 (en) * 2014-06-09 2017-03-08 株式会社荏原製作所 Plating apparatus and plating method
CN105316756B (en) * 2014-07-29 2019-04-05 盛美半导体设备(上海)有限公司 Optimize the method for process recipe in pulsively electrochemical polishing technique
CN104984873B (en) 2015-06-12 2017-10-17 合肥京东方光电科技有限公司 Coating method and apparatus for coating
US10655226B2 (en) 2017-05-26 2020-05-19 Applied Materials, Inc. Apparatus and methods to improve ALD uniformity
CN108855235B (en) * 2018-07-06 2021-05-25 重庆交通大学 Preparation method of self-cleaning fabric for improving air quality through nano titanium dioxide photocatalysis
CN110293270B (en) * 2019-07-02 2020-07-17 哈尔滨工业大学 Material type identification device and method for electric spark machining of insulating ceramic coating-metal material

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US3309263A (en) * 1964-12-03 1967-03-14 Kimberly Clark Co Web pickup and transfer for a papermaking machine
US3716462A (en) * 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3798003A (en) * 1972-02-14 1974-03-19 E Ensley Differential microcalorimeter
US3798033A (en) * 1971-05-11 1974-03-19 Spectral Data Corp Isoluminous additive color multispectral display
US3930963A (en) * 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
US4072557A (en) * 1974-12-23 1978-02-07 J. M. Voith Gmbh Method and apparatus for shrinking a travelling web of fibrous material
US4132567A (en) * 1977-10-13 1979-01-02 Fsi Corporation Apparatus for and method of cleaning and removing static charges from substrates
US4134802A (en) * 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4137867A (en) * 1977-09-12 1979-02-06 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4246088A (en) * 1979-01-24 1981-01-20 Metal Box Limited Method and apparatus for electrolytic treatment of containers
US4259166A (en) * 1980-03-31 1981-03-31 Rca Corporation Shield for plating substrate
US4378283A (en) * 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4431361A (en) * 1980-09-02 1984-02-14 Heraeus Quarzschmelze Gmbh Methods of and apparatus for transferring articles between carrier members
US4437943A (en) * 1980-07-09 1984-03-20 Olin Corporation Method and apparatus for bonding metal wire to a base metal substrate
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4495153A (en) * 1981-06-12 1985-01-22 Nissan Motor Company, Limited Catalytic converter for treating engine exhaust gases
US4495453A (en) * 1981-06-26 1985-01-22 Fujitsu Fanuc Limited System for controlling an industrial robot
US4500394A (en) * 1984-05-16 1985-02-19 At&T Technologies, Inc. Contacting a surface for plating thereon
US4566847A (en) * 1982-03-01 1986-01-28 Kabushiki Kaisha Daini Seikosha Industrial robot
US4576689A (en) * 1979-06-19 1986-03-18 Makkaev Almaxud M Process for electrochemical metallization of dielectrics
US4576685A (en) * 1985-04-23 1986-03-18 Schering Ag Process and apparatus for plating onto articles
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
US4648944A (en) * 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US4800818A (en) * 1985-11-02 1989-01-31 Hitachi Kiden Kogyo Kabushiki Kaisha Linear motor-driven conveyor means
US4898647A (en) * 1985-12-24 1990-02-06 Gould, Inc. Process and apparatus for electroplating copper foil
US4902398A (en) * 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US4903717A (en) * 1987-11-09 1990-02-27 Sez Semiconductor-Equipment Zubehoer Fuer die Halbleiterfertigung Gesellschaft m.b.H Support for slice-shaped articles and device for etching silicon wafers with such a support
US4906341A (en) * 1987-09-24 1990-03-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and apparatus therefor
US4982215A (en) * 1988-08-31 1991-01-01 Kabushiki Kaisha Toshiba Method and apparatus for creation of resist patterns by chemical development
US4982753A (en) * 1983-07-26 1991-01-08 National Semiconductor Corporation Wafer etching, cleaning and stripping apparatus
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5083364A (en) * 1987-10-20 1992-01-28 Convac Gmbh System for manufacturing semiconductor substrates
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
US5178639A (en) * 1990-06-28 1993-01-12 Tokyo Electron Sagami Limited Vertical heat-treating apparatus
US5180273A (en) * 1989-10-09 1993-01-19 Kabushiki Kaisha Toshiba Apparatus for transferring semiconductor wafers
US5183377A (en) * 1988-05-31 1993-02-02 Mannesmann Ag Guiding a robot in an array
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5388945A (en) * 1992-08-04 1995-02-14 International Business Machines Corporation Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers
US5391285A (en) * 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5500081A (en) * 1990-05-15 1996-03-19 Bergman; Eric J. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5501768A (en) * 1992-04-17 1996-03-26 Kimberly-Clark Corporation Method of treating papermaking fibers for making tissue
US5591262A (en) * 1994-03-24 1997-01-07 Tazmo Co., Ltd. Rotary chemical treater having stationary cleaning fluid nozzle
US5593545A (en) * 1995-02-06 1997-01-14 Kimberly-Clark Corporation Method for making uncreped throughdried tissue products without an open draw
US5597460A (en) * 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5597836A (en) * 1991-09-03 1997-01-28 Dowelanco N-(4-pyridyl) (substituted phenyl) acetamide pesticides
US5600532A (en) * 1994-04-11 1997-02-04 Ngk Spark Plug Co., Ltd. Thin-film condenser
US5609239A (en) * 1994-03-21 1997-03-11 Thyssen Aufzuege Gmbh Locking system
US5711646A (en) * 1994-10-07 1998-01-27 Tokyo Electron Limited Substrate transfer apparatus
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5718763A (en) * 1994-04-04 1998-02-17 Tokyo Electron Limited Resist processing apparatus for a rectangular substrate
US5723028A (en) * 1990-08-01 1998-03-03 Poris; Jaime Electrodeposition apparatus with virtual anode
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5868866A (en) * 1995-03-03 1999-02-09 Ebara Corporation Method of and apparatus for cleaning workpiece
US5871626A (en) * 1995-09-27 1999-02-16 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, TAB microbumps, and ultra large scale interconnects
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5882433A (en) * 1995-05-23 1999-03-16 Tokyo Electron Limited Spin cleaning method
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5885755A (en) * 1997-04-30 1999-03-23 Kabushiki Kaisha Toshiba Developing treatment apparatus used in the process for manufacturing a semiconductor device, and method for the developing treatment
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6025600A (en) * 1998-05-29 2000-02-15 International Business Machines Corporation Method for astigmatism correction in charged particle beam systems
US6028986A (en) * 1995-11-10 2000-02-22 Samsung Electronics Co., Ltd. Methods of designing and fabricating intergrated circuits which take into account capacitive loading by the intergrated circuit potting material
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6174796B1 (en) * 1998-01-30 2001-01-16 Fujitsu Limited Semiconductor device manufacturing method
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6184068B1 (en) * 1994-06-02 2001-02-06 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193859B1 (en) * 1997-11-13 2001-02-27 Novellus Systems, Inc. Electric potential shaping apparatus for holding a semiconductor wafer during electroplating
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6199301B1 (en) * 1997-01-22 2001-03-13 Industrial Automation Services Pty. Ltd. Coating thickness control
US20020008036A1 (en) * 1998-02-12 2002-01-24 Hui Wang Plating apparatus and method
US20020008037A1 (en) * 1999-04-13 2002-01-24 Wilson Gregory J. System for electrochemically processing a workpiece
US20020022363A1 (en) * 1998-02-04 2002-02-21 Thomas L. Ritzdorf Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6350319B1 (en) * 1998-03-13 2002-02-26 Semitool, Inc. Micro-environment reactor for processing a workpiece
US20030020928A1 (en) * 2000-07-08 2003-01-30 Ritzdorf Thomas L. Methods and apparatus for processing microelectronic workpieces using metrology
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers
US20040031693A1 (en) * 1998-03-20 2004-02-19 Chen Linlin Apparatus and method for electrochemically depositing metal on a semiconductor workpiece

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US515674A (en) * 1894-02-27 g-uldhaug
US600828A (en) * 1898-03-15 Vehicle-tire
US1881713A (en) 1928-12-03 1932-10-11 Arthur K Laukel Flexible and adjustable anode
US2256274A (en) 1938-06-30 1941-09-16 Firm J D Riedel E De Haen A G Salicylic acid sulphonyl sulphanilamides
US3616284A (en) 1968-08-21 1971-10-26 Bell Telephone Labor Inc Processing arrays of junction devices
US3664933A (en) * 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
BE791401A (en) 1971-11-15 1973-05-14 Monsanto Co ELECTROCHEMICAL COMPOSITIONS AND PROCESSES
DE2244434C3 (en) * 1972-09-06 1982-02-25 Schering Ag, 1000 Berlin Und 4619 Bergkamen Aqueous bath for the galvanic deposition of gold and gold alloys
US4022679A (en) * 1973-05-10 1977-05-10 C. Conradty Coated titanium anode for amalgam heavy duty cells
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4001094A (en) * 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4000046A (en) 1974-12-23 1976-12-28 P. R. Mallory & Co., Inc. Method of electroplating a conductive layer over an electrolytic capacitor
US4046105A (en) 1975-06-16 1977-09-06 Xerox Corporation Laminar deep wave generator
US4032422A (en) 1975-10-03 1977-06-28 National Semiconductor Corporation Apparatus for plating semiconductor chip headers
US4030015A (en) 1975-10-20 1977-06-14 International Business Machines Corporation Pulse width modulated voltage regulator-converter/power converter having push-push regulator-converter means
US4165252A (en) 1976-08-30 1979-08-21 Burroughs Corporation Method for chemically treating a single side of a workpiece
US4170959A (en) 1978-04-04 1979-10-16 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4341629A (en) 1978-08-28 1982-07-27 Sand And Sea Industries, Inc. Means for desalination of water through reverse osmosis
US4222834A (en) 1979-06-06 1980-09-16 Western Electric Company, Inc. Selectively treating an article
JPS56102590A (en) 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
US4422915A (en) 1979-09-04 1983-12-27 Battelle Memorial Institute Preparation of colored polymeric film-like coating
US4238310A (en) 1979-10-03 1980-12-09 United Technologies Corporation Apparatus for electrolytic etching
US4323433A (en) * 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4443117A (en) * 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
SE8101046L (en) 1981-02-16 1982-08-17 Europafilm DEVICE FOR PLANTS, Separate for the matrices of gramophone discs and the like
US4360410A (en) 1981-03-06 1982-11-23 Western Electric Company, Inc. Electroplating processes and equipment utilizing a foam electrolyte
US4384930A (en) * 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
US4463503A (en) 1981-09-29 1984-08-07 Driall, Inc. Grain drier and method of drying grain
JPS58154842A (en) 1982-02-03 1983-09-14 Konishiroku Photo Ind Co Ltd Silver halide color photographic sensitive material
US4440597A (en) * 1982-03-15 1984-04-03 The Procter & Gamble Company Wet-microcontracted paper and concomitant process
US4475823A (en) 1982-04-09 1984-10-09 Piezo Electric Products, Inc. Self-calibrating thermometer
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4451197A (en) 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4585539A (en) * 1982-08-17 1986-04-29 Technic, Inc. Electrolytic reactor
US4541895A (en) 1982-10-29 1985-09-17 Scapa Inc. Papermakers fabric of nonwoven layers in a laminated construction
US4529480A (en) 1983-08-23 1985-07-16 The Procter & Gamble Company Tissue paper
US4469566A (en) 1983-08-29 1984-09-04 Dynamic Disk, Inc. Method and apparatus for producing electroplated magnetic memory disk, and the like
US4864239A (en) 1983-12-05 1989-09-05 General Electric Company Cylindrical bearing inspection
US4466864A (en) 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
DE8430403U1 (en) 1984-10-16 1985-04-25 Gebr. Steimel, 5202 Hennef CENTERING DEVICE
DE3500005A1 (en) 1985-01-02 1986-07-10 ESB Elektrostatische Sprüh- und Beschichtungsanlagen G.F. Vöhringer GmbH, 7758 Meersburg COATING CABIN FOR COATING THE SURFACE OF WORKPIECES WITH COATING POWDER
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4685414A (en) 1985-04-03 1987-08-11 Dirico Mark A Coating printed sheets
US4760671A (en) 1985-08-19 1988-08-02 Owens-Illinois Television Products Inc. Method of and apparatus for automatically grinding cathode ray tube faceplates
FR2587915B1 (en) 1985-09-27 1987-11-27 Omya Sa DEVICE FOR CONTACTING FLUIDS IN THE FORM OF DIFFERENT PHASES
US4949671A (en) 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US4715934A (en) 1985-11-18 1987-12-29 Lth Associates Process and apparatus for separating metals from solutions
US4761214A (en) 1985-11-27 1988-08-02 Airfoil Textron Inc. ECM machine with mechanisms for venting and clamping a workpart shroud
US4687552A (en) 1985-12-02 1987-08-18 Tektronix, Inc. Rhodium capped gold IC metallization
US4849054A (en) 1985-12-04 1989-07-18 James River-Norwalk, Inc. High bulk, embossed fiber sheet material and apparatus and method of manufacturing the same
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4924890A (en) 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
US4814197A (en) * 1986-10-31 1989-03-21 Kollmorgen Corporation Control of electroless plating baths
JPH0768639B2 (en) * 1986-12-10 1995-07-26 トヨタ自動車株式会社 Electrodeposition coating method
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0815582B2 (en) * 1987-02-28 1996-02-21 本田技研工業株式会社 Body surface treatment method
US5024746A (en) 1987-04-13 1991-06-18 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
DD260260A1 (en) 1987-05-04 1988-09-21 Polygraph Leipzig ROTATION HEADING DEVICE WITH SEPARATELY DRIVEN HEADING HEAD
DE3719952A1 (en) 1987-06-15 1988-12-29 Convac Gmbh DEVICE FOR TREATING WAFERS IN THE PRODUCTION OF SEMICONDUCTOR ELEMENTS
US4781800A (en) 1987-09-29 1988-11-01 President And Fellows Of Harvard College Deposition of metal or alloy film
JP2508540B2 (en) 1987-11-02 1996-06-19 三菱マテリアル株式会社 Wafer position detector
JPH01125821A (en) 1987-11-10 1989-05-18 Matsushita Electric Ind Co Ltd Vapor growth device
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US4868992A (en) 1988-04-22 1989-09-26 Intel Corporation Anode cathode parallelism gap gauge
US5048589A (en) 1988-05-18 1991-09-17 Kimberly-Clark Corporation Non-creped hand or wiper towel
US4959278A (en) 1988-06-16 1990-09-25 Nippon Mining Co., Ltd. Tin whisker-free tin or tin alloy plated article and coating technique thereof
US5054988A (en) 1988-07-13 1991-10-08 Tel Sagami Limited Apparatus for transferring semiconductor wafers
EP0358443B1 (en) 1988-09-06 1997-11-26 Canon Kabushiki Kaisha Mask cassette loading device
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US4913035A (en) * 1989-08-16 1990-04-03 Duh Gabri C B Apparatus for mist prevention in car windshields
US5069548A (en) 1990-08-08 1991-12-03 Industrial Technology Institute Field shift moire system
US5055036A (en) 1991-02-26 1991-10-08 Tokyo Electron Sagami Limited Method of loading and unloading wafer boat
EP0502475B1 (en) * 1991-03-04 1997-06-25 Toda Kogyo Corporation Method of plating a bonded magnet and a bonded magnet carrying a metal coating
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5301700A (en) * 1992-03-05 1994-04-12 Tokyo Electron Limited Washing system
EP0653512B1 (en) * 1993-11-16 1998-02-25 Scapa Group Plc Papermachine clothing
US5405518A (en) * 1994-04-26 1995-04-11 Industrial Technology Research Institute Workpiece holder apparatus
US5512319A (en) * 1994-08-22 1996-04-30 Basf Corporation Polyurethane foam composite
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
JPH09157846A (en) * 1995-12-01 1997-06-17 Teisan Kk Temperature controller
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US5711846A (en) * 1996-04-26 1998-01-27 Macro Technology International, Inc. Self mailing apparatus
DE19821781C2 (en) * 1997-05-15 2002-07-18 Toyoda Gosei Kk Coating process and coating device for the production of three-dimensional metal objects
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6921468B2 (en) * 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6303010B1 (en) * 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
DE19840109A1 (en) * 1998-09-03 2000-03-09 Agfa Gevaert Ag Color photographic material, e.g. film or paper, contains anilino pyrazolone magenta coupler and alpha-benzoyl-alpha-tetrazolylthio-acetamide development inhibitor releasing coupler
US6201240B1 (en) * 1998-11-04 2001-03-13 Applied Materials, Inc. SEM image enhancement using narrow band detection and color assignment
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6277607B1 (en) * 1999-05-24 2001-08-21 Sanjay Tyagi High specificity primers, amplification methods and kits
US20020000380A1 (en) * 1999-10-28 2002-01-03 Lyndon W. Graham Method, chemistry, and apparatus for noble metal electroplating on a microelectronic workpiece
WO2003018874A2 (en) * 2001-08-31 2003-03-06 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US3309263A (en) * 1964-12-03 1967-03-14 Kimberly Clark Co Web pickup and transfer for a papermaking machine
US3716462A (en) * 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3798033A (en) * 1971-05-11 1974-03-19 Spectral Data Corp Isoluminous additive color multispectral display
US3930963A (en) * 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
US3798003A (en) * 1972-02-14 1974-03-19 E Ensley Differential microcalorimeter
US4072557A (en) * 1974-12-23 1978-02-07 J. M. Voith Gmbh Method and apparatus for shrinking a travelling web of fibrous material
US4137867A (en) * 1977-09-12 1979-02-06 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4134802A (en) * 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4132567A (en) * 1977-10-13 1979-01-02 Fsi Corporation Apparatus for and method of cleaning and removing static charges from substrates
US4246088A (en) * 1979-01-24 1981-01-20 Metal Box Limited Method and apparatus for electrolytic treatment of containers
US4576689A (en) * 1979-06-19 1986-03-18 Makkaev Almaxud M Process for electrochemical metallization of dielectrics
US4259166A (en) * 1980-03-31 1981-03-31 Rca Corporation Shield for plating substrate
US4437943A (en) * 1980-07-09 1984-03-20 Olin Corporation Method and apparatus for bonding metal wire to a base metal substrate
US4431361A (en) * 1980-09-02 1984-02-14 Heraeus Quarzschmelze Gmbh Methods of and apparatus for transferring articles between carrier members
US4495153A (en) * 1981-06-12 1985-01-22 Nissan Motor Company, Limited Catalytic converter for treating engine exhaust gases
US4495453A (en) * 1981-06-26 1985-01-22 Fujitsu Fanuc Limited System for controlling an industrial robot
US4378283A (en) * 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4566847A (en) * 1982-03-01 1986-01-28 Kabushiki Kaisha Daini Seikosha Industrial robot
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4982753A (en) * 1983-07-26 1991-01-08 National Semiconductor Corporation Wafer etching, cleaning and stripping apparatus
US4500394A (en) * 1984-05-16 1985-02-19 At&T Technologies, Inc. Contacting a surface for plating thereon
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
US4576685A (en) * 1985-04-23 1986-03-18 Schering Ag Process and apparatus for plating onto articles
US4648944A (en) * 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4800818A (en) * 1985-11-02 1989-01-31 Hitachi Kiden Kogyo Kabushiki Kaisha Linear motor-driven conveyor means
US4898647A (en) * 1985-12-24 1990-02-06 Gould, Inc. Process and apparatus for electroplating copper foil
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US4906341A (en) * 1987-09-24 1990-03-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and apparatus therefor
US5083364A (en) * 1987-10-20 1992-01-28 Convac Gmbh System for manufacturing semiconductor substrates
US4903717A (en) * 1987-11-09 1990-02-27 Sez Semiconductor-Equipment Zubehoer Fuer die Halbleiterfertigung Gesellschaft m.b.H Support for slice-shaped articles and device for etching silicon wafers with such a support
US4902398A (en) * 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
US5183377A (en) * 1988-05-31 1993-02-02 Mannesmann Ag Guiding a robot in an array
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
US4982215A (en) * 1988-08-31 1991-01-01 Kabushiki Kaisha Toshiba Method and apparatus for creation of resist patterns by chemical development
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5180273A (en) * 1989-10-09 1993-01-19 Kabushiki Kaisha Toshiba Apparatus for transferring semiconductor wafers
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5500081A (en) * 1990-05-15 1996-03-19 Bergman; Eric J. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5178639A (en) * 1990-06-28 1993-01-12 Tokyo Electron Sagami Limited Vertical heat-treating apparatus
US5723028A (en) * 1990-08-01 1998-03-03 Poris; Jaime Electrodeposition apparatus with virtual anode
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
US5597836A (en) * 1991-09-03 1997-01-28 Dowelanco N-(4-pyridyl) (substituted phenyl) acetamide pesticides
US5501768A (en) * 1992-04-17 1996-03-26 Kimberly-Clark Corporation Method of treating papermaking fibers for making tissue
US5388945A (en) * 1992-08-04 1995-02-14 International Business Machines Corporation Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5391285A (en) * 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5609239A (en) * 1994-03-21 1997-03-11 Thyssen Aufzuege Gmbh Locking system
US5591262A (en) * 1994-03-24 1997-01-07 Tazmo Co., Ltd. Rotary chemical treater having stationary cleaning fluid nozzle
US5718763A (en) * 1994-04-04 1998-02-17 Tokyo Electron Limited Resist processing apparatus for a rectangular substrate
US5600532A (en) * 1994-04-11 1997-02-04 Ngk Spark Plug Co., Ltd. Thin-film condenser
US6184068B1 (en) * 1994-06-02 2001-02-06 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US5711646A (en) * 1994-10-07 1998-01-27 Tokyo Electron Limited Substrate transfer apparatus
US5593545A (en) * 1995-02-06 1997-01-14 Kimberly-Clark Corporation Method for making uncreped throughdried tissue products without an open draw
US5868866A (en) * 1995-03-03 1999-02-09 Ebara Corporation Method of and apparatus for cleaning workpiece
US5882433A (en) * 1995-05-23 1999-03-16 Tokyo Electron Limited Spin cleaning method
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US5871626A (en) * 1995-09-27 1999-02-16 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, TAB microbumps, and ultra large scale interconnects
US6028986A (en) * 1995-11-10 2000-02-22 Samsung Electronics Co., Ltd. Methods of designing and fabricating intergrated circuits which take into account capacitive loading by the intergrated circuit potting material
US5597460A (en) * 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US6199301B1 (en) * 1997-01-22 2001-03-13 Industrial Automation Services Pty. Ltd. Coating thickness control
US5885755A (en) * 1997-04-30 1999-03-23 Kabushiki Kaisha Toshiba Developing treatment apparatus used in the process for manufacturing a semiconductor device, and method for the developing treatment
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6193859B1 (en) * 1997-11-13 2001-02-27 Novellus Systems, Inc. Electric potential shaping apparatus for holding a semiconductor wafer during electroplating
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
US6174796B1 (en) * 1998-01-30 2001-01-16 Fujitsu Limited Semiconductor device manufacturing method
US20020022363A1 (en) * 1998-02-04 2002-02-21 Thomas L. Ritzdorf Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US20020008036A1 (en) * 1998-02-12 2002-01-24 Hui Wang Plating apparatus and method
US6350319B1 (en) * 1998-03-13 2002-02-26 Semitool, Inc. Micro-environment reactor for processing a workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US20040031693A1 (en) * 1998-03-20 2004-02-19 Chen Linlin Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US6025600A (en) * 1998-05-29 2000-02-15 International Business Machines Corporation Method for astigmatism correction in charged particle beam systems
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
US20020008037A1 (en) * 1999-04-13 2002-01-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6342137B1 (en) * 1999-07-12 2002-01-29 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US20030020928A1 (en) * 2000-07-08 2003-01-30 Ritzdorf Thomas L. Methods and apparatus for processing microelectronic workpieces using metrology
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers

Also Published As

Publication number Publication date
US20020139678A1 (en) 2002-10-03
US20050167274A1 (en) 2005-08-04
US20040188259A1 (en) 2004-09-30
US20070089991A1 (en) 2007-04-26
US20050189227A1 (en) 2005-09-01
US7189318B2 (en) 2007-03-13

Similar Documents

Publication Publication Date Title
US7189318B2 (en) Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7020537B2 (en) Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050183959A1 (en) Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US7160421B2 (en) Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050084987A1 (en) Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7102763B2 (en) Methods and apparatus for processing microelectronic workpieces using metrology
US20080011609A1 (en) Method and Apparatus for Controlling Vessel Characteristics, Including Shape and Thieving Current For Processing Microfeature Workpieces
US6428673B1 (en) Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
JP6427316B2 (en) Electroplating apparatus for depositing metal on wafer substrate and method of electroplating on wafer substrate
US6747734B1 (en) Apparatus and method for processing a microelectronic workpiece using metrology
US10214828B2 (en) Control of current density in an electroplating apparatus
US8323471B2 (en) Automatic deposition profile targeting
US4100036A (en) Method of regulating cathode current density in an electroplating process
US7899570B2 (en) Advanced automatic deposition profile targeting and control by applying advanced polish endpoint system feedback
US10358738B2 (en) Gap fill process stability monitoring of an electroplating process using a potential-controlled exit step
US7279084B2 (en) Apparatus having plating solution container with current applying anodes
US20140061053A1 (en) Electroplating systems and methods for high sheet resistance substrates
WO2001094656A2 (en) Plating apparatus with individually controllable anode segments and associated method
Chung et al. Introduction of copper electroplating into a manufacturing fabricator

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION