US20050217137A1 - Concentric proximity processing head - Google Patents

Concentric proximity processing head Download PDF

Info

Publication number
US20050217137A1
US20050217137A1 US10/883,301 US88330104A US2005217137A1 US 20050217137 A1 US20050217137 A1 US 20050217137A1 US 88330104 A US88330104 A US 88330104A US 2005217137 A1 US2005217137 A1 US 2005217137A1
Authority
US
United States
Prior art keywords
fluid
processing
wafer
substrate
meniscus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/883,301
Other versions
US6954993B1 (en
Inventor
Michael Smith
Michael Ravkin
Robert O'Donnell
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/261,839 external-priority patent/US7234477B2/en
Priority claimed from US10/330,843 external-priority patent/US7198055B2/en
Priority claimed from US10/404,692 external-priority patent/US6988327B2/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: O'DONNELL, ROBERT J., RAVKIN, MICHAEL, SMITH, MICHAEL G.R.
Priority to US10/883,301 priority Critical patent/US6954993B1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US10/957,384 priority patent/US6988326B2/en
Priority to US10/956,799 priority patent/US7093375B2/en
Priority to SG200503944A priority patent/SG118397A1/en
Priority to SG200503947A priority patent/SG118400A1/en
Priority to SG200503946A priority patent/SG118399A1/en
Priority to AT05253879T priority patent/ATE556428T1/en
Priority to EP05253880A priority patent/EP1612846A3/en
Priority to EP05253879A priority patent/EP1612845B1/en
Priority to AT05253885T priority patent/ATE488863T1/en
Priority to EP05253885A priority patent/EP1612847B1/en
Priority to DE602005024745T priority patent/DE602005024745D1/en
Priority to TW094120944A priority patent/TWI292176B/en
Priority to TW94120939A priority patent/TWI270645B/en
Priority to TW94120948A priority patent/TWI275138B/en
Priority to MYPI20052944A priority patent/MY139423A/en
Priority to MYPI20052943A priority patent/MY138656A/en
Priority to MYPI20052939A priority patent/MY139040A/en
Priority to JP2005189330A priority patent/JP4758694B2/en
Priority to JP2005189334A priority patent/JP5013685B2/en
Priority to JP2005189340A priority patent/JP4892203B2/en
Priority to CNB2005100791935A priority patent/CN100517587C/en
Priority to KR1020050058859A priority patent/KR101118006B1/en
Priority to KR1020050058856A priority patent/KR101157847B1/en
Priority to CNB200510079187XA priority patent/CN100517586C/en
Priority to KR1020050058852A priority patent/KR101160100B1/en
Priority to CNB2005100791865A priority patent/CN100517585C/en
Publication of US20050217137A1 publication Critical patent/US20050217137A1/en
Publication of US6954993B1 publication Critical patent/US6954993B1/en
Application granted granted Critical
Priority to US11/447,538 priority patent/US7363727B2/en
Priority to JP2011250477A priority patent/JP5331865B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Definitions

  • the present invention relates to semiconductor wafer processing and, more particularly, to apparatuses and techniques for more efficiently applying and removing fluids from wafer surfaces while reducing contamination and decreasing wafer processing costs.
  • wafer cleaning may have to be conducted where a fabrication operation has been performed that leaves unwanted residues on the surfaces of wafers.
  • a fabrication operation examples include plasma etching (e.g., tungsten etch back (WEB)) and chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • a wafer is placed in a holder which pushes a wafer surface against a rolling conveyor belt.
  • This conveyor belt uses a slurry which consists of chemicals and abrasive materials to cause the polishing.
  • This process tends to leave an accumulation of slurry particles and residues at the wafer surface.
  • the unwanted residual material and particles may cause, among other things, defects such as scratches on the wafer surface and inappropriate interactions between metallization features. In some cases, such defects may cause devices on the wafer to become inoperable.
  • the wafer After a wafer has been wet cleaned, the wafer must be dried effectively to prevent water or cleaning fluid remnants from leaving residues on the wafer. If the cleaning fluid on the wafer surface is allowed to evaporate, as usually happens when droplets form, residues or contaminants previously dissolved in the cleaning fluid will remain on the wafer surface after evaporation (e.g., and form water spots). To prevent evaporation from taking place, the cleaning fluid must be removed as quickly as possible without the formation of droplets on the wafer surface. In an attempt to accomplish this, one of several different drying techniques are employed such as spin drying, IPA, or Marangoni drying.
  • FIG. 1A illustrates movement of fluids on a wafer 10 during an SRD process.
  • a wet wafer is rotated at a high rate by rotation 14 .
  • the fluid used to rinse the wafer is pulled from the center of the wafer to the outside of the wafer and finally off of the wafer as shown by fluid directional arrows 16 .
  • a moving liquid/gas interface 12 is created at the center of the wafer and moves to the outside of the wafer (i.e., the circle produced by the moving liquid/gas interface 12 gets larger) as the drying process progresses.
  • the circle produced by the moving liquid/gas interface 12 gets larger
  • the inside area of the circle formed by the moving liquid/gas interface 12 is free from the fluid and the outside area of the circle formed by the moving liquid/gas interface 12 is the fluid. Therefore, as the drying process continues, the section inside (the dry area) of the moving liquid/gas interface 12 increases while the area (the wet area) outside of the moving liquid/gas interface 12 decreases. As stated previously, if the moving liquid/gas interface 12 breaks down, droplets of the fluid form on the wafer and contamination may occur due to evaporation of the droplets. As such, it is imperative that droplet formation and the subsequent evaporation be limited to keep contaminants off of the wafer surface. Unfortunately, the present drying methods are only partially successful at the prevention of moving liquid interface breakdown.
  • the SRD process has difficulties with drying wafer surfaces that are hydrophobic. Hydrophobic wafer surfaces can be difficult to dry because such surfaces repel water and water based (aqueous) cleaning solutions. Therefore, as the drying process continues and the cleaning fluid is pulled away from the wafer surface, the remaining cleaning fluid (if aqueous based) will be repelled by the wafer surface. As a result, the aqueous cleaning fluid will want the least amount of area to be in contact with the hydrophobic wafer surface. Additionally, the aqueous cleaning solution tends cling to itself as a result of surface tension (Le., as a result of molecular hydrogen bonding).
  • FIG. 1B illustrates an exemplary wafer drying process 18 .
  • a portion 20 of the wafer 10 has a hydrophilic area and a portion 22 has a hydrophobic area.
  • the portion 20 attracts water so a fluid 26 pools in that area.
  • the portion 22 is hydrophobic so that area repels water and therefore there can be a thinner film of water on that portion of the wafer 10 . Therefore, the hydrophobic portions of the wafer 10 often dries more quickly than the hydrophilic portions. This may lead to inconsistent wafer drying that can increase contamination levels and therefore decrease wafer production yields.
  • the present invention fills these needs by providing a substrate processing apparatus that is capable of processing wafer surfaces with multiple menisci while significantly reducing wafer contamination. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device or a method. Several inventive embodiments of the present invention are described below.
  • a method for processing a substrate which includes generating a first fluid meniscus and a second fluid meniscus at least partially surrounding the first fluid meniscus wherein the first fluid meniscus and the second fluid meniscus are generated on a surface of the substrate.
  • an apparatus for processing a substrate which includes a proximity head capable of generating a first fluid meniscus on a substrate surface and capable of generating a second fluid meniscus on the substrate surface at least partially surrounding the first fluid meniscus.
  • the proximity head capable of substantially maintaining the integrity of the second fluid meniscus when in contact with the first fluid meniscus.
  • an apparatus for processing a substrate which includes a proximity head capable of generating a first fluid meniscus and capable of generating a second fluid meniscus at least partially surrounding the first fluid meniscus.
  • the proximity head includes at least one first inlet defined in a processing surface of the proximity head configured to apply a first fluid to the surface of the wafer and at least one first outlet defined in the processing surface of the proximity head configured to remove the first fluid and at least a portion of a second fluid from the surface of the wafer.
  • the proximity head also includes at least one second inlet defined in the processing surface of the proximity head configured to apply the second fluid to the surface of the wafer and at least one second outlet defined in the processing surface of the proximity head configured to remove at least a portion of the second fluid from the surface of the wafer. At least one second inlet and the at least one second outlet at least partially surrounds the at least one first outlet and the at least one first inlet.
  • the apparatuses and methods described herein utilize multi-menisci to efficiently process (e.g., clean, dry, etc.) substrates by operations which involve optimal management of fluid application and removal from the substrate while reducing unwanted fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer processing.
  • multi-menisci to efficiently process (e.g., clean, dry, etc.) substrates by operations which involve optimal management of fluid application and removal from the substrate while reducing unwanted fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer processing.
  • the present invention enables optimal wafer processing through the generation and use of multiple fluid menisci with one meniscus at least partially surrounding another fluid meniscus.
  • concentric fluid inlets and outlets may be utilized which can generate a first fluid meniscus and a second fluid meniscus that is concentric to and surrounds the first fluid meniscus.
  • any suitable number of menisci may be concentric to and/or surround each other.
  • FIG. 1A illustrates movement of cleaning fluids on a wafer during an SRD drying process.
  • FIG. 1B illustrates an exemplary wafer drying process.
  • FIG. 2 shows a wafer processing system in accordance with one embodiment of the present invention.
  • FIG. 3 illustrates a proximity head performing a wafer processing operation in accordance with one embodiment of the present invention.
  • FIG. 4A illustrates a wafer processing operation that may be conducted by a proximity head in accordance with one embodiment of the present invention.
  • FIG. 4B illustrates a side view of exemplary proximity heads for use in a dual wafer surface processing system in accordance with one embodiment of the present invention.
  • FIG. 5A shows a multi-menisci proximity head in accordance with on embodiment of the present invention.
  • FIG. 5B shows a cross section view of the multi-menisci proximity head in accordance with one embodiment of the present invention.
  • FIG. 6A illustrates a multi-menisci proximity head in accordance with one embodiment of the present invention.
  • FIG. 6B illustrates the processing surface of the proximity head in accordance with one embodiment of the present invention.
  • FIG. 6C shows a closer view of the processing surface of the multi-meniscus proximity head in accordance with one embodiment of the present invention.
  • FIG. 6D shows the facilities plate attaching to the body to form the multi-menisci proximity head in accordance with one embodiment of the present invention.
  • FIG. 6E illustrates a cross section view of the proximity head in accordance with one embodiment of the present invention.
  • FIG. 7 illustrates a cross-sectional view of the multi-menisci proximity head in exemplary wafer processing operations in accordance with one embodiment of the present invention.
  • FIG. 8A illustrates a cross-sectional view of the multi-menisci proximity head which is utilized to process a hydrophobic barrier in accordance with one embodiment of the present invention.
  • FIG. 8B illustrates a close up view of the multi-menisci proximity head operating on a hydrophilic wafer surface in accordance with one embodiment of the present invention.
  • FIG. 8C shows a close-up view of the multi-menisci proximity head operating on a hydrophilic wafer surface in accordance with one embodiment of the present invention.
  • FIG. 9 illustrates a multi-menisci proximity head that includes rectangular shaped menisci in accordance with one embodiment of the present invention.
  • FIG. 10 shows a multi-menisci proximity head with oblong fluid menisci in accordance with one embodiment of the present invention.
  • the figures below illustrate embodiments of an exemplary wafer processing system using multi-menisci proximity heads to generate one or more of a specific shape, size, and location fluid menisci.
  • the multiple menisci that are concentric and contact each other are utilized to process a wafer.
  • This technology may be utilized to perform any suitable type of combination of types of wafer operation(s) such as, for example drying, etching, plating, etc.
  • the systems and proximity heads as described herein are exemplary in nature, and that any other suitable types of configurations that would enable the generation and movement of two or more menisci that are in contact as described herein may be utilized.
  • the proximity head(s) may move in a linear fashion from a center portion of the wafer to the edge of the wafer. It should be appreciated that other embodiments may be utilized where the proximity head(s) move in a linear fashion from one edge of the wafer to another diametrically opposite edge of the wafer, or other non-linear movements may be utilized such as, for example, in a radial motion, in a circular motion, in a spiral motion, in a zig-zag motion, in a random motion, etc. In addition, the motion may also be any suitable specified motion profile as desired by a user. In addition, in one embodiment, the wafer may be rotated and the proximity head moved in a linear fashion so the proximity head may process all portions of the wafer.
  • the proximity head and the wafer processing system as described herein may be utilized to process any shape and size of substrates such as for example, 200 mm wafers, 300 mm wafers, flat panels, etc.
  • the size of the proximity head and in turn the sizes of the menisci may vary. In one embodiment, the size of the proximity head and the sizes of the menisci may be larger than a wafer being processed, and in another embodiment, the proximity head and the sizes of the menisci may be smaller than the wafer being processed.
  • the menisci as discussed herein may be utilized with other forms of wafer processing technologies such as, for example, brushing, lithography, megasonics, etc.
  • a fluid meniscus can be supported and moved (e.g., onto, off of and across a wafer) with a proximity head.
  • Various proximity heads and methods of using the proximity heads are described in co-owned U.S. patent application Ser. No. 10/834,548 filed on Apr. 28, 2004 and entitled “Apparatus and Method for Providing a Confined Liquid for Immersion Lithography,” which is a continuation in part of U.S. patent application Ser. No. 10/606,022, filed on Jun. 24, 2003 and entitled “System And Method For Integrating In-Situ Metrology Within A Wafer Process” which is a continuation-in-part of U.S. patent application Ser. No. 10/330,843 filed on Dec.
  • FIGS. 2 through 4 B describe formation of a single meniscus and therefore process variables (e.g. flow rates, dimensions, etc.) described therein may be different than the process variables described for a multi-menisci proximity head as described in FIG. 5A through 8C .
  • FIG. 2 shows a wafer processing system 100 in accordance with one embodiment of the present invention.
  • the system 100 includes rollers 102 a and 102 b which may hold and/or rotate a wafer to enable wafer surfaces to be processed.
  • the system 100 also includes proximity heads 106 a and 106 b that, in one embodiment, are attached to an upper arm 104 a and to a lower arm 104 b respectively.
  • the proximity heads 106 a and/or 106 b may be multi-menisci proximity heads as described in further detail in reference to FIGS. 5A through 10 .
  • the term “multi-menisci proximity head” is a proximity head capable of generating one or more fluid menisci.
  • a first fluid meniscus is substantially surrounded by a second fluid meniscus.
  • the first fluid meniscus and the second fluid meniscus are concentric with the second fluid meniscus surrounding the first fluid meniscus.
  • the proximity head may be any suitable apparatus that may generate a fluid meniscus as described herein and described in the patent application incorporated by reference above.
  • the upper arm 104 a and the lower arm 104 b can be part of an assembly which enables substantially linear movement (or in another embodiment a slight arc-like movement) of the proximity heads 106 a and 106 b along a radius of the wafer.
  • the assembly may move the proximity heads 106 a and 106 b in any suitable user defined movement.
  • the arms 104 are configured to hold the proximity head 106 a above the wafer and the proximity head 106 b below the wafer in close proximity to the wafer. For example, in one exemplary embodiment this may be accomplished by having the upper arm 104 a and the lower arm 104 b be movable in a vertical manner so once the proximity heads are moved horizontally into a location to start wafer processing, the proximity heads 106 a and 106 b can be moved vertically to a position in close proximity to the wafer.
  • the upper arm 104 a and the lower arm 104 b may be configured to start the proximity heads 106 a and 106 b in a position where the menisci are generated before processing and the menisci that has already been generated between the proximity heads 106 a and 106 may be moved onto the wafer surface to be processed from an edge area of a wafer 108 . Therefore, the upper arm 104 a and the lower arm 104 b may be configured in any suitable way so the proximity heads 106 a and 106 b can be moved to enable wafer processing as described herein.
  • the system 100 may be configured in any suitable manner as long as the proximity head(s) may be moved in close proximity to the wafer to generate and control multiple meniscus that, in one embodiment, are concentric with each other. It should also be understood that close proximity may be any suitable distance from the wafer as long as a menisci may be maintained.
  • the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may each be located between about 0.1 mm to about 10 mm from the wafer to generate the fluid menisci on the wafer surface.
  • the proximity heads 106 a and 106 b may each be located bout 0.5 mm to about 2.0 mm from the wafer to generate the fluid menisci on the wafer surface, and in more preferable embodiment, the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may be located about 1.5 mm from the wafer to generate the fluid menisci on the wafer surface.
  • the arms 104 are configured to enable the proximity heads 106 a and 106 b to be moved from processed to unprocessed portions of the wafer. It should be appreciated that the arms 104 may be movable in any suitable manner that would enable movement of the proximity heads 106 a and 106 b to process the wafer as desired. In one embodiment, the arms 104 may be motivated by a motor to move the proximity head 106 a and 106 b along the surface of the wafer. It should be understood that although the wafer processing system 100 is shown with the proximity heads 106 a and 106 b , that any suitable number of proximity heads may be utilized such as, for example, 1, 2, 3, 4, 5, 6, etc.
  • the proximity heads 106 a and/or 106 b of the wafer processing system 100 may also be any suitable size or shape as shown by, for example, any of the proximity heads as described herein.
  • the different configurations described herein generate the fluid menisci between the proximity head and the wafer.
  • the fluid menisci may be moved across the wafer to process the wafer by applying fluid to the wafer surface and removing fluids from the surface. In such a way, depending on the fluids applied to the wafer, cleaning, drying, etching, and/or plating may be accomplished.
  • the first fluid meniscus may conduct one type of operation and the second fluid meniscus that at least partially surrounds the first fluid meniscus may conduct the same operation or a different wafer processing operation as the first fluid meniscus.
  • the proximity heads 106 a and 106 b can have any numerous types of configurations as shown herein or other configurations that enable the processes described herein. It should also be appreciated that the system 100 may process one surface of the wafer or both the top surface and the bottom surface of the wafer.
  • the system 100 may also be configured to process one side of the wafer with one type of process (e.g., etching, cleaning, drying, plating, etc.) and process the other side of the wafer using the same process or a different type of process by inputting and outputting different types of fluids or by using a different configuration menisci.
  • the proximity heads can also be configured to process the bevel edge of the wafer in addition to processing the top and/or bottom of the wafer. This can be accomplished by moving the menisci off (or onto) the edge the wafer which processes the bevel edge. It should also be understood that the proximity heads 106 a and 106 b may be the same type of apparatus or different types of proximity heads.
  • the wafer 108 may be held and rotated by the rollers 102 a and 102 b in any suitable orientation as long as the orientation enables a desired proximity head to be in close proximity to a portion of the wafer 108 that is to be processed.
  • the rollers 102 a and 102 b can rotate in a clockwise direction to rotate the wafer 108 in a counterclockwise direction. It should be understood that the rollers may be rotated in either a clockwise or a counterclockwise direction depending on the wafer rotation desired.
  • the rotation imparted on the wafer 108 by the rollers 102 a and 102 b serves to move a wafer area that has not been processed into close proximity to the proximity heads 106 a and 106 b .
  • the rotation itself does not dry the wafer or move fluid on the wafer surfaces towards the edge of the wafer. Therefore, in an exemplary wafer processing operation, the unprocessed areas of the wafer would be presented to the proximity heads 106 a and 106 b through both the linear motion of the proximity heads 106 a and 106 b and through the rotation of the wafer 108 .
  • the wafer processing operation itself may be conducted by at least one of the proximity heads. Consequently, in one embodiment, processed portions of the wafer 108 would expand from a center region to the edge region of the wafer 108 in a spiral movement as the processing operation progresses.
  • the processed portions of the wafer 108 would expand from the edge region of the wafer 108 to the center region of the wafer 108 in a spiral movement.
  • the proximity heads 106 a and 106 b may be configured to dry, clean, etch, and/or plate the wafer 108 .
  • the at least one of first inlet may be configured to input deionized water (DIW) (also known as a DIW inlet)
  • the at least one of a second inlet may be configured to input N 2 carrier gas containing isopropyl alcohol (IPA) in vapor form (also known as IPA inlet)
  • the at least one outlet may be configured to remove fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet).
  • DIW deionized water
  • IPA isopropyl alcohol
  • the at least one outlet may be configured to remove fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet).
  • vacuum also known as vacuum outlet
  • a cleaning solution may be substituted for the DIW.
  • An exemplary etching embodiment may be conducted where an etchant may be substituted for the DIW.
  • plating may be accomplished as described in further detail in reference to U.S. patent application Ser. No. 10/607,611 filed on Jun. 27, 2003 entitled “Apparatus and Method for Depositing and Planarizing Thin Films of Semiconductor Wafers” which was incorporated by reference above.
  • other types of solutions may be inputted into the first inlet and the second inlet depending on the processing operation desired.
  • the inlets and outlets located on a face of the proximity head may be in any suitable configuration as long as stable menisci as described herein may be utilized.
  • the at least one N 2 /IPA vapor inlet may be adjacent to the at least one vacuum outlet which is in turn adjacent to the at least one processing fluid inlet to form an IPA-vacuum-processing fluid orientation.
  • Such a configuration can generate an outside meniscus that at least partially surrounds the inside meniscus.
  • the inside meniscus may be generated through a configuration with a processing fluid-vacuum orientation.
  • one exemplary embodiment where a second fluid meniscus at least partially surrounds a first fluid meniscus may be generated by an IPA-vacuum-second processing fluid-vacuum-first processing fluid-vacuum-second processing fluid-vacuum-IPA orientation as described in further detail in reference to FIGS. 6 and 7 A.
  • IPA-vacuum-second processing fluid-vacuum-first processing fluid-vacuum-second processing fluid-vacuum-IPA orientation as described in further detail in reference to FIGS. 6 and 7 A.
  • other types of orientation combinations such as IPA-processing fluid-vacuum, processing fluid-vacuum-IPA, vacuum-IPA-processing fluid, etc. may be utilized depending on the wafer processes desired and what type of wafer processing mechanism is sought to be enhanced.
  • the IPA-vacuum-processing fluid orientation in the form described in reference to FIGS.
  • the processing fluid inlets, the N 2 /IPA vapor inlets, and the vacuum outlets may be arranged in any suitable manner if the above orientation is maintained.
  • the N 2 /IPA vapor inlet, the vacuum outlet, and the processing fluid inlet in an additional embodiment, there may be additional sets of IPA vapor outlets, processing fluid inlets and/or vacuum outlets depending on the configuration of the proximity head desired. It should be appreciated that the exact configuration of the inlet and outlet orientation may be varied depending on the application.
  • the distance between the IPA input, vacuum, and processing fluid inlet locations may be varied so the distances are consistent or so the distances are inconsistent.
  • the distances between the IPA input, vacuum, and processing fluid outlet may differ in magnitude depending on the size, shape, and configuration of the proximity head 106 a and the desired size of a process menisci (i.e., menisci shape and size).
  • exemplary IPA-vacuum-processing fluid orientation may be found as described in the U.S. patent applications referenced above.
  • the proximity heads 106 a and 106 b may be positioned in close proximity to a top surface and a bottom surface respectively of the wafer 108 and may utilize the IPA and DIW inlets and a vacuum outlets as described in further detail in reference to FIGS. 5A through 10 to generate wafer processing menisci in contact with the wafer 108 which are capable of processing the top surface and the bottom surface of the wafer 108 .
  • the wafer processing menisci may be generated in a manner consistent with the descriptions in reference to Applications referenced and incorporated by reference above.
  • a vacuum may be applied in close proximity to the wafer surface to remove the IPA vapor, the processing fluid, and/or the fluids that may be on the wafer surface.
  • IPA any other suitable type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, hexanol, ethyl glycol, acetone, etc. that may be miscible with water. These fluids may also be known as surface tension reducing fluids.
  • the portion of the processing fluid that is in the region between the proximity head and the wafer is the menisci.
  • the term “output” can refer to the removal of fluid from a region between the wafer 108 and a particular proximity head, and the term “input” can be the introduction of fluid to the region between the wafer 108 and the particular proximity head.
  • the proximity heads 106 a and 106 b may be scanned over the wafer 108 while being moved at the end of an arm that is being moved in a slight arc.
  • FIG. 3 illustrates a proximity head 106 performing a wafer processing operation in accordance with one embodiment of the present invention.
  • FIGS. 3 through 4 B show a method of generating a basic fluid meniscus while FIGS. 5A through 10 discuss apparatuses and methods for generating a more complex menisci configuration where a first fluid meniscus at least partially surrounded by a second fluid meniscus.
  • the proximity head 106 moves while in close proximity to a top surface 108 a of the wafer 108 to conduct a wafer processing operation. It should be appreciated that the proximity head 106 may also be utilized to process (e.g., clean, dry, plate, etch, etc.) a bottom surface 108 b of the wafer 108 .
  • the wafer 108 is rotating so the proximity head 106 may be moved in a linear fashion along the head motion while the top surface 108 a is being processed.
  • the IPA 310 By applying the IPA 310 through the inlet 302 , the vacuum 312 through outlet 304 , and the processing fluid 314 through the inlet 306 , the meniscus 116 may be generated.
  • the orientation of the inlets/outlets as shown in FIG. 3 is only exemplary in nature, and that any suitable inlets/outlets orientation that may produce a stable fluid meniscus may be utilized such as those configurations as described in the U.S. patent applications incorporated by reference previously.
  • FIG. 4A illustrates a wafer processing operation that may be conducted by a proximity head 106 a in accordance with one embodiment of the present invention.
  • FIG. 4A shows a top surface 108 a being processed, it should be appreciated that the wafer processing may be accomplished in substantially the same way for the bottom surface 108 b of the wafer 108 .
  • the inlet 302 may be utilized to apply isopropyl alcohol (IPA) vapor toward a top surface 108 a of the wafer 108
  • the inlet 306 may be utilized to apply a processing fluid toward the top surface 108 a of the wafer 108 .
  • IPA isopropyl alcohol
  • the outlet 304 may be utilized to apply vacuum to a region in close proximity to the wafer surface to remove fluid or vapor that may located on or near the top surface 108 a .
  • the IPA may be in any suitable form such as, for example, IPA vapor where IPA in vapor form is inputted through use of a N 2 gas.
  • any suitable fluid used for processing the wafer e.g., cleaning fluid, drying fluid, etching fluid, plating fluid, etc.
  • any suitable fluid used for processing the wafer e.g., cleaning fluid, drying fluid, etching fluid, plating fluid, etc.
  • cleaning fluid e.g., cleaning fluid, drying fluid, etching fluid, plating fluid, etc.
  • an IPA inflow 310 is provided through the inlet 302 , a vacuum 312 may be applied through the outlet 304 and processing fluid inflow 314 may be provided through the inlet 306 . Consequently, if a fluid film resides on the wafer 108 , a first fluid pressure may be applied to the wafer surface by the IPA inflow 310 , a second fluid pressure may be applied to the wafer surface by the processing fluid inflow 314 , and a third fluid pressure may be applied by the vacuum 312 to remove the processing fluid, IPA and the fluid film on the wafer surface.
  • IPA IPA/processing fluid interface 118
  • the IPA/processing fluid interface 118 reduces the surface of tension of the processing fluid.
  • the processing fluid is applied toward the wafer surface and almost immediately removed along with fluid on the wafer surface by the vacuum applied by the outlet 304 .
  • the processing that is applied toward the wafer surface and for a moment resides in the region between a proximity head and the wafer surface along with any fluid on the wafer surface forms a meniscus 116 where the borders of the meniscus 116 are the IPA/processing fluid interfaces 118 . Therefore, the meniscus 116 is a constant flow of fluid being applied toward the surface and being removed at substantially the same time with any fluid on the wafer surface.
  • the nearly immediate removal of the processing fluid from the wafer surface prevents the formation of fluid droplets on the region of the wafer surface being dried thereby reducing the possibility of contamination on the wafer 108 after the processing fluid has accomplished its purpose depending on the operation (e.g., etching, cleaning, drying, plating, etc.).
  • the pressure (which is caused by the flow rate of the IPA) of the downward injection of IPA also helps contain the meniscus 116 .
  • the flow rate of the N2 carrier gas containing the IPA may assist in causing a shift or a push of processing fluid flow out of the region between the proximity head and the wafer surface and into the outlets 304 (vacuum outlets) through which the fluids may be outputted from the proximity head.
  • the push of processing fluid flow is not a process requirement but can be used to optimize meniscus boundary control. Therefore, as the IPA and the processing fluid is pulled into the outlets 304 , the boundary making up the IPA/processing fluid interface 118 is not a continuous boundary because gas (e.g., air) is being pulled into the outlets 304 along with the fluids.
  • the flow into the outlets 304 is discontinuous.
  • This flow discontinuity is analogous to fluid and gas being pulled up through a straw when a vacuum is exerted on combination of fluid and gas. Consequently, as the proximity head 106 a moves, the meniscus moves along with the proximity head, and the region previously occupied by the meniscus has been dried due to the movement of the IPA/processing fluid interface 118 .
  • the any suitable number of inlets 302 , outlets 304 and inlets 306 may be utilized depending on the configuration of the apparatus and the meniscus size and shape desired.
  • the liquid flow rates and the vacuum flow rates are such that the total liquid flow into the vacuum outlet is continuous, so no gas flows into the vacuum outlet.
  • any suitable flow rate may be utilized for the N 2 /IPA, processing fluid, and vacuum as long as the meniscus 116 can be maintained.
  • the flow rate of the processing fluid through a set of the inlets 306 is between about 25 ml per minute to about 3,000 ml per minute. In a preferable embodiment, the flow rate of the processing fluid through the set of the inlets 306 is about 800 ml per minute. It should be understood that the flow rate of fluids may vary depending on the size of the proximity head. In one embodiment a larger head may have a greater rate of fluid flow than smaller proximity heads. This may occur because larger proximity heads, in one embodiment, have more inlets 302 and 306 and outlets 304 .
  • the flow rate of the N 2 /IPA vapor through a set of the inlets 302 is between about 1 liters per minute (SLPM) to about 100 SLPM. In a preferable embodiment, the IPA flow rate is between about 6 and 20 SLPM.
  • the flow rate for the vacuum through a set of the outlets 304 is between about 10 standard cubic feet per hour (SCFH) to about 1250 SCFH. In a preferable embodiment, the flow rate for a vacuum though the set of the outlets 304 is about 350 SCFH.
  • a flow meter may be utilized to measure the flow rate of the N 2 /IPA, processing fluid, and the vacuum.
  • any suitable type of wafer processing operation may be conducted using the meniscus depending on the processing fluid utilized.
  • a cleaning fluid such as, for example, SC-1, SC-2, etc.
  • different fluids may be utilized and similar inlet and outlet configurations may be utilized so the wafer processing meniscus may also etch and/or plate the wafer.
  • etching fluids such as, for example, HF, EKC proprietary solution, KOH etc.
  • plating fluids such as, for example, Cu Sulfate, Au Chloride, Ag Sulfate, etc. in conjunction with electrical input may be conducted.
  • FIG. 4B illustrates a side view of exemplary proximity heads 106 and 106 b for use in a dual wafer surface processing system in accordance with one embodiment of the present invention.
  • the meniscus 116 may be generated.
  • the inlets 302 and 306 may be utilized for IPA inflow 310 and processing fluid inflow 314 respectively while the outlet 304 may be utilized to apply vacuum 312 .
  • the proximity heads 106 and 106 b may be of a configuration as shown in the U.S. patent applications referenced above. Any suitable surface coming into contact with the meniscus 116 such as, for example, wafer surfaces 108 a and 108 b of the wafer 108 may be processed by the movement of the meniscus 116 into and away from the surface.
  • FIGS. 5A through 10 show embodiments of the present invention where a first fluid meniscus is at least partially surrounded by at least a second fluid meniscus.
  • first fluid meniscus and/or the second fluid meniscus may be generated to conduct any suitable type of substrate/wafer processing operation such as, for example, lithography, etching, plating, cleaning, and drying.
  • the first fluid meniscus and the second fluid meniscus may be any suitable shape or size depending on the substrate processing operation desired.
  • the first fluid meniscus and the second fluid meniscus are concentric where the second fluid meniscus surrounds the first fluid meniscus and the first fluid meniscus and the second fluid meniscus provide a continuous fluid connection.
  • the portion of the wafer processed by the first fluid meniscus is immediately processed by the second fluid meniscus without a substantial amount of the contact with the atmosphere. It should be appreciated that depending on the operation desired, in one embodiment, the first fluid meniscus may contact the second meniscus and in another embodiment, the first fluid meniscus does not directly contact the second meniscus.
  • FIG. 5A shows a multi-menisci proximity head 106 - 1 in accordance with on embodiment of the present invention.
  • the multi-menisci proximity head 106 - 1 includes a plurality of source inlets 306 a that can apply a first fluid to the wafer surface.
  • the first fluid can then be removed from the wafer surface by application of vacuum through a plurality of source outlets 304 a . Therefore, the first fluid meniscus may be generated by the conduits located within a first fluid meniscus region 402 of the processing surface on the multi-menisci proximity head 106 - 1 .
  • the multi-menisci proximity head 106 - 1 may also include a plurality of source inlets 306 b that can apply a second fluid to the wafer surface.
  • the second fluid can then be removed from the wafer surface by application of vacuum through a plurality of source outlets 304 b .
  • a portion of the second fluid is also removed by the plurality of source outlets 304 a in conjunction with the removal of the first fluid.
  • the plurality of source outlets 304 a may be called a one phase fluid removal conduit because the outlets 304 a remove liquids applied to the wafer through the source inlets 306 a and 306 b .
  • the plurality of source outlets 306 b may be called a two phase removal conduit because the outlets 306 b removes the second fluid from the source inlets 306 b and the atmosphere outside of the fluid meniscus. Therefore, in one embodiment, the outlets 306 b removes both liquid and gas while the outlets 306 a remove only liquids. As a result, the second fluid meniscus may be created by the conduits located within a second fluid meniscus region 404 of the processing surface on the multi-meniscus proximity head 106 - 1 .
  • the multi-menisci proximity head 106 - 1 may include a plurality of source inlets 302 which can apply a third fluid to the wafer surface.
  • the third fluid may be a surface tension reducing fluid that can reduce the surface tension of a liquid/atmosphere border of the second meniscus formed by that application of the second fluid to the wafer surface.
  • the processing surface (e.g., the surface area of the multi-menisci proximity head where the conduits exist) of the multi-menisci proximity head 106 - 1 may be of any suitable topography such as, for example, flat, raised, lowered.
  • the processing surface of the multi-menisci 106 - 1 may have a substantially flat surface.
  • FIG. 5B shows a cross section view of the multi-menisci proximity head 106 - 1 in accordance with one embodiment of the present invention.
  • the multi-menisci proximity head 106 - 1 can apply the first fluid through the plurality of source inlets 306 a and remove the first fluid through the plurality of source outlets 304 a .
  • the first fluid meniscus 116 a is located underneath a region substantially surrounded by the plurality of source outlets 304 a .
  • the multi-menisci proximity head 106 - a can also apply the second fluid through the plurality of source inlets 306 b and remove the second fluid through the plurality of source outlets 304 a on one side of the second fluid meniscus and 304 b on the other side.
  • the plurality of source inlets 302 may apply the third fluid to decrease the surface tension of the fluid making up the second fluid meniscus 116 b .
  • the plurality of source inlets 302 may be optionally angled to better confine the second fluid meniscus 116 b.
  • FIG. 6A illustrates a multi-menisci proximity head 106 - 2 in accordance with one embodiment of the present invention.
  • the proximity head 106 - 2 includes, in one embodiment, a facilities plate 454 and a body 458 . It should be appreciated the proximity head 106 - 2 may include any suitable numbers and/or types of pieces as long as the first fluid meniscus and the second fluid meniscus as described herein may be generated.
  • the facilities plate 454 and the body 458 may be bolted together or in another embodiment, the plate 454 and the body 458 may be attached by an adhesive.
  • the facilities plate 454 and the body 458 may be made from the same material or different materials depending on the applications and operations desired by a user.
  • the proximity head 106 - 2 may include a processing surface 458 which includes conduits where fluid(s) may be applied to surface of the wafer and the fluid(s) maybe removed from a surface of the wafer.
  • the processing surface 458 may, in one embodiment, be elevated above a surface 453 as shown by an elevated region 452 . It should be appreciated that the processing surface 458 does not have to be elevated and that the surface 458 may be substantially planar with the surface 453 of the proximity head 106 - 2 that faces the surface of the wafer being processed.
  • FIG. 6B illustrates the processing surface 458 of the proximity head 106 - 2 in accordance with one embodiment of the present invention.
  • the processing surface 458 is a region of the proximity head 106 - 2 which generates the fluid menisci.
  • the processing surface 458 may include any suitable number and type of conduits so the first fluid meniscus and the second fluid meniscus may be generated.
  • the processing surface 458 includes fluid inlets 306 a , fluid outlets 304 a , fluid inlets 306 b , fluid outlets 304 b , and fluid inlets 302 .
  • the fluid inlets 306 a may apply a first fluid to the surface of the wafer, and the fluid inlets 306 b may apply a second fluid to the surface of the wafer.
  • the fluid outlets 304 a may remove the first fluid and a portion of a second fluid from the surface of the wafer by the application of vacuum
  • the fluid outlets 304 b may remove a portion of the second fluid from the surface of the wafer by the application of vacuum
  • the fluid inlets 302 may apply a fluid that can decrease the surface tension of the second fluid.
  • the first fluid and/or the second fluid may be any suitable fluid that can facilitate any one of a lithography operation, an etching operation, a plating operation, a cleaning operation, a rinsing operation, and a drying operation.
  • FIG. 6C shows a closer view of the processing surface 458 of the multi-meniscus proximity head 106 - 2 in accordance with one embodiment of the present invention.
  • the processing surface 458 includes a first fluid meniscus region 402 which includes the fluid inlets 306 a and fluid outlets 304 a .
  • the processing surface 458 also includes a second fluid meniscus region 404 includes the fluid inlets 306 b and the fluid outlets 304 b and the fluid inlets 302 . Therefore, the first fluid meniscus region 402 can generate the first fluid meniscus and the second fluid meniscus region 404 can generate the second fluid meniscus.
  • FIG. 6D shows the facilities plate 454 attaching to the body 456 to form the multi-menisci proximity head 106 - 2 in accordance with one embodiment of the present invention.
  • Channels corresponding to the fluid inlets 306 a , 304 a , and 302 supply fluid from the facilities plate 454 into the body 456 of the multi-menisci proximity head 106 - 2
  • channels corresponding to the fluid outlets 306 b and 304 b remove fluid from the body 456 to the facilities 454 .
  • channels 506 a , 504 a , 506 b , 504 b , and 502 correspond to the fluid inlets 306 a , fluid outlets 306 b , fluid inlets 304 a , fluid outlets 304 b , and fluid inlets 302 .
  • FIG. 6E illustrates a cross section view of the proximity head 106 - 2 in accordance with one embodiment of the present invention.
  • channels 506 a , 506 b , and 502 may supply a first fluid, a second fluid, and a third fluid to fluid inlets 306 a , 306 b , and 302 respectively.
  • a channel 504 a may remove a combination of the first fluid and the second fluid from the fluid outlets 304 a
  • channel 504 b may remove combination of the second fluid and the third fluid from the outlets 304 b .
  • the first fluid is a first processing fluid that can conduct any suitable operation on a wafer surface such as, for example, etching, lithography, cleaning, rinsing, and drying.
  • the second fluid is a second processing fluid that may or may not be the same as the first fluid.
  • the second fluid may be any suitable type of processing fluid such as, for example, a fluid that can facilitate etching, lithography, cleaning, rinsing, and drying.
  • FIG. 7 illustrates a cross-sectional view of the multi-menisci proximity head in exemplary wafer processing operations in accordance with one embodiment of the present invention.
  • FIG. 7 shows a top surface of the wafer 108 being processed
  • both a top surface and a bottom surface of the wafer 108 may be concurrently processed by, any of the proximity heads described herein on the top surface of the wafer 108 and by any of the proximity heads described herein on the bottom surface of the wafer 108 .
  • a first wafer processing chemistry is applied to the wafer 108 through fluid inlet 306 a .
  • the first wafer processing chemistry is removed from the wafer surface through the fluid outlet 304 a .
  • the first wafer processing fluid may form a first fluid meniscus 116 a between the multi-menisci proximity head 106 - 2 and the wafer 108 .
  • a second processing fluid such as, for example, deionized water (DIW) is applied to the wafer surface through the fluid inlets 306 b.
  • DIW deionized water
  • the second processing fluid may be any suitable fluid that can accomplish the desired operation on the wafer surface.
  • the DIW is removed from the wafer surface through both the source outlets 304 a and 304 b .
  • the DIW between the multi-menisci proximity head 106 - 2 and the wafer surface may form a second fluid meniscus 116 b.
  • a surface tension reducing fluid such as, for example, isopropyl alcohol vapor in nitrogen gas may optionally be applied from the source inlet 302 to the wafer surface to keep the liquid/gas border of the second fluid meniscus 116 b stable.
  • the second fluid meniscus 116 b can substantially surround the first fluid meniscus 116 a . In this way, after the first fluid meniscus 116 a has processed the wafer surface, the second fluid meniscus 116 b can nearly immediately begin operating on a portion of the wafer surface already processed by the first fluid meniscus 116 a . Therefore, in one embodiment, the second fluid meniscus 116 b forms a concentric ring around the first fluid meniscus 116 a .
  • first fluid meniscus 116 a may be any suitable geometric shape such as, a circle, ellipse, square, rectangle, triangular, quadrilateral, etc.
  • the second fluid meniscus 116 b can be configured to at least partially surround whatever shape the first fluid meniscus 116 a may be. It should be appreciated that, as discussed above, the first fluid meniscus 116 a and/or the second fluid meniscus 116 b may utilize any suitable fluid(s) depending on the wafer processing operation desired.
  • an amount of the first fluid inputted into the first fluid meniscus through the source inlets 306 a should be substantially equal to the amount of the first fluid removed through the source outlets 304 a .
  • the amount of the second fluid inputted into the second fluid meniscus through the source inlets 306 b should be substantially equal to the amount of the second fluid removed through the source outlets 304 a and 304 b .
  • the flow rate of the fluids are determined by a distance 480 the proximity head 106 - 2 is off of the wafer 108 . It should be appreciated that the distance 480 may be any suitable distance as long as the menisci can be maintained and moved in a stable manner.
  • the distance 480 may be between 50 microns and 5 mm, and in another embodiment 0.5 mm to 2.5 mm. Preferably, the distance 480 is between about 1 mm and 1.5 mm. In one embodiment, the distance 480 is about 1.3.
  • the flow rates of the fluids as shown in FIG. 7 may be any suitable flow rate that can generate the first fluid meniscus and the second fluid meniscus that substantially surrounds the first meniscus. Depending on the distinction desired between the first fluid meniscus and the second fluid meniscus, the flow rates may differ.
  • source inlets 306 a may apply the first fluid at a flow rate of about 600 cc/min
  • source inlets 306 b may apply the second fluid at a flow rate of about 900 cc/min
  • a source outlets 304 a may remove the first fluid and the second fluid at a flow rate of about 1200 cc/min
  • the source outlets 304 b may remove the second fluid and atmosphere (which may include some IPA vapor in N 2 if such a surface tension reducing fluid is being applied to the wafer surface) at a flow rate of about 300 cc/min.
  • the flow rate of fluids through the source outlets 304 may equal 2 times the flow rate of fluid through the source inlets 306 a .
  • the flow rate of fluid through the source inlets 306 b may be equal to the flow rate through the source inlets 306 a plus 300 . It should be appreciated by those skilled in the art that specific flow rate relationships of the source inlets 306 a , 306 b and source inlets 304 a , 304 b may change depending on the configuration of the process area and/or the configuration of the proximity heads described herein.
  • FIG. 8A illustrates a cross-sectional view of the multi-menisci proximity head 106 - 3 which is utilized to process a hydrophobic barrier 602 in accordance with one embodiment of the present invention.
  • the multi-menisci proximity head 106 - 3 includes fluid inlets 306 a , 306 b and fluid outlets 304 a , 304 b , and optionally fluid inlet 302 .
  • the fluid inlets 306 a can apply a first processing fluid to the wafer surface.
  • the first fluid may be any suitable fluid that can process the wafer surface in the wafer processing operation desired.
  • the first fluid may be any one of a lithography enhancing fluid, an etching fluid, a cleaning fluid, a rinsing fluid, and a drying fluid.
  • the fluid inlets 302 can apply a third fluid to the wafer surface. After the processing fluid has operated on the wafer surface, the processing fluid is removed, in one example, by vacuum through the fluid outlets 304 a . After the wafer processing chemistry has processed the wafer surface, the wafer processing chemistry is removed from the wafer surface through the fluid outlets 304 a.
  • the multi-menisci proximity head 106 - 3 may also apply a second wafer processing fluid to the surface through the fluid inlets 306 b and remove the second wafer processing fluid from the surface by, in one embodiment, a vacuum applied through the fluid outlets 304 a and 304 b . In this way, the second fluid meniscus 116 b may be generated.
  • the second fluid may be any suitable fluid that can process the wafer surface in the wafer processing operation desired. Therefore, in one embodiment, the second fluid may be any one of a lithography enhancing fluid, an etching fluid, a cleaning fluid, a rinsing fluid, and a drying fluid.
  • the fluid inlets 302 can apply a third fluid to the wafer surface. It should be appreciated that the third fluid may be any suitable fluid that can reduce the surface tension of the second fluid. In one embodiment, the third fluid is is isopropyl alcohol vapor in nitrogen gas (IPA/N 2 ).
  • a phobic barrier 602 is located between the fluid inlets 304 a and the fluid inlet 306 b .
  • the wafer processing fluid forms a first fluid meniscus 116 a between the multi-menisci proximity head 106 - 2 .
  • deionized water DIW
  • DIW deionized water
  • Isopropyl alcohol vapor in nitrogen gas may optionally be applied to the wafer surface to keep the liquid/gas border of the second fluid meniscus 116 b stable.
  • the second fluid meniscus 116 b substantially surrounds the first fluid meniscus 116 a . In this way, after the first fluid meniscus 116 a has processed the wafer surface, the second fluid meniscus 116 b can nearly immediately begin operating on a portion of the wafer surface already processed by the first fluid meniscus 116 a.
  • the embodiment as shown in FIG. 8A includes the phobic barriers 602 which can separate the first fluid meniscus 116 a and the second fluid meniscus 116 b .
  • the first fluid meniscus 116 a may not directly contact the second fluid meniscus 116 b .
  • depleted fluid from the first fluid meniscus 116 a that has processed the wafer surface may be remain on the wafer surface for removal by the second fluid meniscus 116 b.
  • FIG. 8B illustrates a close up view of the multi-menisci proximity head 106 - 3 operating on a hydrophilic wafer surface in accordance with one embodiment of the present invention.
  • the multi-menisci proximity head 106 - 3 includes the first fluid meniscus 116 a that can process the wafer surface in whatever type of wafer processing operation desired as discussed above.
  • the depleted chemistry from the first fluid meniscus 116 a remaining on the wafer surface can then be processed by the second fluid meniscus 116 b (which in one embodiment as shown is a rinsing fluid meniscus to remove the depleted chemistry).
  • the embodiment shown is related to processing of hydrophilic wafers that can hold onto the depleted chemistry when the first fluid meniscus 116 a moves off of the processing area of the wafer surface.
  • FIG. 8C shows a close-up view of the multi-menisci proximity head 106 - 3 operating on a hydrophilic wafer surface in accordance with one embodiment of the present invention.
  • the wafer processing chemistry (which in one embodiment is an aqueous fluid) of the first fluid meniscus 116 a does not stay on the wafer surface after processing because the wafer surface is hydrophobic. Therefore, the phobic barrier 602 can keep the first fluid meniscus 116 a and the second fluid meniscus 116 b totally separated so there is no intermixing of the fluid of the first fluid meniscus 116 a with the fluid of the second fluid meniscus 116 b .
  • the source outlets 304 in such an embodiment only removes the first fluid from the first fluid meniscus 116 a.
  • each of the inner menisci may be generated by a set of at least one source inlet 306 a and the source outlet 304 a while the last surrounding meniscus (the last outside meniscus that would surround the menisci) may have a set of at least one source inlet 306 b and 304 b .
  • Any inner menisci may be generated by a set of source inlets 306 a and the source outlets 304 a that can apply and remove a particular processing fluid.
  • FIG. 9 illustrates a multi-menisci proximity head 106 - 4 that includes rectangular shaped menisci in accordance with one embodiment of the present invention.
  • the multi-menisci proximity head 106 - 4 includes a square shaped meniscus 116 a ′ surrounded by a meniscus 116 c which in turn is surrounded by the outside fluid meniscus 116 b ′.
  • the menisci 116 a ′, 116 c , and 116 b ′ may be generated by changing the inlet/outlet configurations as described herein.
  • the source inlets 306 a , 306 c , and 306 b may be configured to apply a first fluid, a second fluid and a third fluid to the wafer.
  • the source outlets 304 a , 304 c , and 304 b may be configured to remove (by vacuum) the first fluid and the second fluid, the second fluid and the third fluid, and the third fluid and atmosphere respectively.
  • source inlets 302 may optionally be utilized to apply a surface tension reducing fluid to an outside portion of the third fluid meniscus.
  • each of the fluid menisci 116 a ′, 116 b ′, and 116 c as described in reference to FIG. 9 may conduct any suitable operation on the wafer surface such as, for example, etching, cleaning, lithography, rinsing, drying etc.
  • FIG. 10 shows a multi-menisci proximity head 106 - 5 with oblong fluid menisci in accordance with one embodiment of the present invention.
  • the fluid meniscus 116 a is surrounded on both sides (length wise in one embodiment) by fluid menisci 116 c - 1 , 116 c - 2 which are in turn surrounded by fluid menisci 116 b - 1 and 116 b - 2 .
  • each of the fluid menisci shown in FIG. 10 may conduct any suitable operation on the wafer surface such as, for example, etching, cleaning, lithography, rinsing, drying etc.
  • the menisci shown may be generated in any suitable method consistent with the methodology and apparatuses described herein.

Abstract

In one of the many embodiments, a method for processing a substrate is disclosed which includes generating a first fluid meniscus and a second fluid meniscus at least partially surrounding the first fluid meniscus wherein the first fluid meniscus and the second fluid meniscus are generated on a surface of the substrate.

Description

    CROSS REFERENCE To RELATED APPLICATION
  • This is a continuation-in-part of a co-pending U.S. patent application Ser. No. 10/404,692, filed on Mar. 31, 2003, from which priority under 35 U.S.C. § 120 is claimed, entitled “Methods and Systems for Processing a Substrate Using a Dynamic Liquid Meniscus” which is a continuation-in-part of U.S. patent application Ser. No. 10/330,843 filed on Dec. 24, 2002 and entitled “Meniscus, Vacuum, IPA Vapor, Drying Manifold,” which is a continuation-in-part of U.S. patent application Ser. No. 10/261,839 filed on Sep. 30, 2002 and entitled “Method and Apparatus for Drying Semiconductor Wafer Surfaces Using a Plurality of Inlets and Outlets Held in Close Proximity to the Wafer Surfaces.” The aforementioned patent applications are hereby incorporated by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to semiconductor wafer processing and, more particularly, to apparatuses and techniques for more efficiently applying and removing fluids from wafer surfaces while reducing contamination and decreasing wafer processing costs.
  • 2. Description of the Related Art
  • In the semiconductor chip fabrication process, it is well-known that there is a need to process a wafer using operations such as cleaning and drying. In each of these types of operations, there is a need to effectively apply and remove fluids for the wafer operation process.
  • For example, wafer cleaning may have to be conducted where a fabrication operation has been performed that leaves unwanted residues on the surfaces of wafers. Examples of such a fabrication operation include plasma etching (e.g., tungsten etch back (WEB)) and chemical mechanical polishing (CMP). In CMP, a wafer is placed in a holder which pushes a wafer surface against a rolling conveyor belt. This conveyor belt uses a slurry which consists of chemicals and abrasive materials to cause the polishing. Unfortunately, this process tends to leave an accumulation of slurry particles and residues at the wafer surface. If left on the wafer, the unwanted residual material and particles may cause, among other things, defects such as scratches on the wafer surface and inappropriate interactions between metallization features. In some cases, such defects may cause devices on the wafer to become inoperable. In order to avoid the undue costs of discarding wafers having inoperable devices, it is therefore necessary to clean the wafer adequately yet efficiently after fabrication operations that leave unwanted residues.
  • After a wafer has been wet cleaned, the wafer must be dried effectively to prevent water or cleaning fluid remnants from leaving residues on the wafer. If the cleaning fluid on the wafer surface is allowed to evaporate, as usually happens when droplets form, residues or contaminants previously dissolved in the cleaning fluid will remain on the wafer surface after evaporation (e.g., and form water spots). To prevent evaporation from taking place, the cleaning fluid must be removed as quickly as possible without the formation of droplets on the wafer surface. In an attempt to accomplish this, one of several different drying techniques are employed such as spin drying, IPA, or Marangoni drying. All of these drying techniques utilize some form of a moving liquid/gas interface on a wafer surface which, if properly maintained, results in drying of a wafer surface without the formation of droplets. Unfortunately, if the moving liquid/gas interface breaks down, as often happens with all of the aforementioned drying methods, droplets form and evaporation occurs resulting in contaminants being left on the wafer surface. The most prevalent drying technique used today is spin rinse drying (SRD).
  • FIG. 1A illustrates movement of fluids on a wafer 10 during an SRD process. In this drying process, a wet wafer is rotated at a high rate by rotation 14. In SRD, by use of centrifugal force, the fluid used to rinse the wafer is pulled from the center of the wafer to the outside of the wafer and finally off of the wafer as shown by fluid directional arrows 16. As the fluid is being pulled off of the wafer, a moving liquid/gas interface 12 is created at the center of the wafer and moves to the outside of the wafer (i.e., the circle produced by the moving liquid/gas interface 12 gets larger) as the drying process progresses. In the example of FIG. 1, the inside area of the circle formed by the moving liquid/gas interface 12 is free from the fluid and the outside area of the circle formed by the moving liquid/gas interface 12 is the fluid. Therefore, as the drying process continues, the section inside (the dry area) of the moving liquid/gas interface 12 increases while the area (the wet area) outside of the moving liquid/gas interface 12 decreases. As stated previously, if the moving liquid/gas interface 12 breaks down, droplets of the fluid form on the wafer and contamination may occur due to evaporation of the droplets. As such, it is imperative that droplet formation and the subsequent evaporation be limited to keep contaminants off of the wafer surface. Unfortunately, the present drying methods are only partially successful at the prevention of moving liquid interface breakdown.
  • In addition, the SRD process has difficulties with drying wafer surfaces that are hydrophobic. Hydrophobic wafer surfaces can be difficult to dry because such surfaces repel water and water based (aqueous) cleaning solutions. Therefore, as the drying process continues and the cleaning fluid is pulled away from the wafer surface, the remaining cleaning fluid (if aqueous based) will be repelled by the wafer surface. As a result, the aqueous cleaning fluid will want the least amount of area to be in contact with the hydrophobic wafer surface. Additionally, the aqueous cleaning solution tends cling to itself as a result of surface tension (Le., as a result of molecular hydrogen bonding). Therefore, because of the hydrophobic interactions and the surface tension, balls (or droplets) of aqueous cleaning fluid forms in an uncontrolled manner on the hydrophobic wafer surface. This formation of droplets results in the harmful evaporation and the contamination discussed previously. The limitations of the SRD are particularly severe at the center of the wafer, where centrifugal force acting on the droplets is the smallest. Consequently, although the SRD process is presently the most common way of wafer drying, this method can have difficulties reducing formation of cleaning fluid droplets on the wafer surface especially when used on hydrophobic wafer surfaces. Certain portion of the wafer may have different hydrophobic properties.
  • FIG. 1B illustrates an exemplary wafer drying process 18. In this example a portion 20 of the wafer 10 has a hydrophilic area and a portion 22 has a hydrophobic area. The portion 20 attracts water so a fluid 26 pools in that area. The portion 22 is hydrophobic so that area repels water and therefore there can be a thinner film of water on that portion of the wafer 10. Therefore, the hydrophobic portions of the wafer 10 often dries more quickly than the hydrophilic portions. This may lead to inconsistent wafer drying that can increase contamination levels and therefore decrease wafer production yields.
  • Therefore, there is a need for a method and an apparatus that avoids the prior art by enabling optimized fluid management and application to a wafer that reduces contaminating deposits on the wafer surface. Such deposits as often occurs today reduce the yield of acceptable wafers and increase the cost of manufacturing semiconductor wafers.
  • SUMMARY OF THE INVENTION
  • Broadly speaking, the present invention fills these needs by providing a substrate processing apparatus that is capable of processing wafer surfaces with multiple menisci while significantly reducing wafer contamination. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device or a method. Several inventive embodiments of the present invention are described below.
  • In one embodiment, a method for processing a substrate is disclosed which includes generating a first fluid meniscus and a second fluid meniscus at least partially surrounding the first fluid meniscus wherein the first fluid meniscus and the second fluid meniscus are generated on a surface of the substrate.
  • In another embodiment, an apparatus for processing a substrate is provided which includes a proximity head capable of generating a first fluid meniscus on a substrate surface and capable of generating a second fluid meniscus on the substrate surface at least partially surrounding the first fluid meniscus. The proximity head capable of substantially maintaining the integrity of the second fluid meniscus when in contact with the first fluid meniscus.
  • In yet another embodiment, an apparatus for processing a substrate is disclosed which includes a proximity head capable of generating a first fluid meniscus and capable of generating a second fluid meniscus at least partially surrounding the first fluid meniscus. The proximity head includes at least one first inlet defined in a processing surface of the proximity head configured to apply a first fluid to the surface of the wafer and at least one first outlet defined in the processing surface of the proximity head configured to remove the first fluid and at least a portion of a second fluid from the surface of the wafer. The proximity head also includes at least one second inlet defined in the processing surface of the proximity head configured to apply the second fluid to the surface of the wafer and at least one second outlet defined in the processing surface of the proximity head configured to remove at least a portion of the second fluid from the surface of the wafer. At least one second inlet and the at least one second outlet at least partially surrounds the at least one first outlet and the at least one first inlet.
  • The advantages of the present invention are numerous. Most notably, the apparatuses and methods described herein utilize multi-menisci to efficiently process (e.g., clean, dry, etc.) substrates by operations which involve optimal management of fluid application and removal from the substrate while reducing unwanted fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer processing.
  • The present invention enables optimal wafer processing through the generation and use of multiple fluid menisci with one meniscus at least partially surrounding another fluid meniscus. In one embodiment, concentric fluid inlets and outlets may be utilized which can generate a first fluid meniscus and a second fluid meniscus that is concentric to and surrounds the first fluid meniscus. In additional embodiments, any suitable number of menisci may be concentric to and/or surround each other.
  • Other aspects and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the present invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings. To facilitate this description, like reference numerals designate like structural elements.
  • FIG. 1A illustrates movement of cleaning fluids on a wafer during an SRD drying process.
  • FIG. 1B illustrates an exemplary wafer drying process.
  • FIG. 2 shows a wafer processing system in accordance with one embodiment of the present invention.
  • FIG. 3 illustrates a proximity head performing a wafer processing operation in accordance with one embodiment of the present invention.
  • FIG. 4A illustrates a wafer processing operation that may be conducted by a proximity head in accordance with one embodiment of the present invention.
  • FIG. 4B illustrates a side view of exemplary proximity heads for use in a dual wafer surface processing system in accordance with one embodiment of the present invention.
  • FIG. 5A shows a multi-menisci proximity head in accordance with on embodiment of the present invention.
  • FIG. 5B shows a cross section view of the multi-menisci proximity head in accordance with one embodiment of the present invention.
  • FIG. 6A illustrates a multi-menisci proximity head in accordance with one embodiment of the present invention.
  • FIG. 6B illustrates the processing surface of the proximity head in accordance with one embodiment of the present invention.
  • FIG. 6C shows a closer view of the processing surface of the multi-meniscus proximity head in accordance with one embodiment of the present invention.
  • FIG. 6D shows the facilities plate attaching to the body to form the multi-menisci proximity head in accordance with one embodiment of the present invention.
  • FIG. 6E illustrates a cross section view of the proximity head in accordance with one embodiment of the present invention.
  • FIG. 7 illustrates a cross-sectional view of the multi-menisci proximity head in exemplary wafer processing operations in accordance with one embodiment of the present invention.
  • FIG. 8A illustrates a cross-sectional view of the multi-menisci proximity head which is utilized to process a hydrophobic barrier in accordance with one embodiment of the present invention.
  • FIG. 8B illustrates a close up view of the multi-menisci proximity head operating on a hydrophilic wafer surface in accordance with one embodiment of the present invention.
  • FIG. 8C shows a close-up view of the multi-menisci proximity head operating on a hydrophilic wafer surface in accordance with one embodiment of the present invention.
  • FIG. 9 illustrates a multi-menisci proximity head that includes rectangular shaped menisci in accordance with one embodiment of the present invention.
  • FIG. 10 shows a multi-menisci proximity head with oblong fluid menisci in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • An invention for methods and apparatuses for processing a substrate is disclosed. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be understood, however, by one of ordinary skill in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.
  • While this invention has been described in terms of several preferable embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. It is therefore intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.
  • The figures below illustrate embodiments of an exemplary wafer processing system using multi-menisci proximity heads to generate one or more of a specific shape, size, and location fluid menisci. In one embodiment, the multiple menisci that are concentric and contact each other are utilized to process a wafer. This technology may be utilized to perform any suitable type of combination of types of wafer operation(s) such as, for example drying, etching, plating, etc. It should be appreciated that the systems and proximity heads as described herein are exemplary in nature, and that any other suitable types of configurations that would enable the generation and movement of two or more menisci that are in contact as described herein may be utilized. In the embodiments shown, the proximity head(s) may move in a linear fashion from a center portion of the wafer to the edge of the wafer. It should be appreciated that other embodiments may be utilized where the proximity head(s) move in a linear fashion from one edge of the wafer to another diametrically opposite edge of the wafer, or other non-linear movements may be utilized such as, for example, in a radial motion, in a circular motion, in a spiral motion, in a zig-zag motion, in a random motion, etc. In addition, the motion may also be any suitable specified motion profile as desired by a user. In addition, in one embodiment, the wafer may be rotated and the proximity head moved in a linear fashion so the proximity head may process all portions of the wafer. It should also be understood that other embodiments may be utilized where the wafer is not rotated but the proximity head is configured to move over the wafer in a fashion that enables processing of all portions of the wafer. In addition, the proximity head and the wafer processing system as described herein may be utilized to process any shape and size of substrates such as for example, 200 mm wafers, 300 mm wafers, flat panels, etc. Moreover, the size of the proximity head and in turn the sizes of the menisci may vary. In one embodiment, the size of the proximity head and the sizes of the menisci may be larger than a wafer being processed, and in another embodiment, the proximity head and the sizes of the menisci may be smaller than the wafer being processed. Furthermore, the menisci as discussed herein may be utilized with other forms of wafer processing technologies such as, for example, brushing, lithography, megasonics, etc.
  • A fluid meniscus can be supported and moved (e.g., onto, off of and across a wafer) with a proximity head. Various proximity heads and methods of using the proximity heads are described in co-owned U.S. patent application Ser. No. 10/834,548 filed on Apr. 28, 2004 and entitled “Apparatus and Method for Providing a Confined Liquid for Immersion Lithography,” which is a continuation in part of U.S. patent application Ser. No. 10/606,022, filed on Jun. 24, 2003 and entitled “System And Method For Integrating In-Situ Metrology Within A Wafer Process” which is a continuation-in-part of U.S. patent application Ser. No. 10/330,843 filed on Dec. 24, 2002 and entitled “Meniscus, Vacuum, IPA Vapor, Drying Manifold,” which is a continuation-in-part of U.S. patent application Ser. No. 10/261,839 filed on Sep. 30, 2002 and entitled “Method and Apparatus for Drying Semiconductor Wafer Surfaces Using a Plurality of Inlets and Outlets Held in Close Proximity to the Wafer Surfaces,” both of which are incorporated herein by reference in its entirety. Additional embodiments and uses of the proximity head are also disclosed in U.S. patent application Ser. No. 10/330,897, filed on Dec. 24, 2002, entitled “System for Substrate Processing with Meniscus, Vacuum, IPA vapor, Drying Manifold” and U.S. patent application Ser. No. 10/404,692, filed on Mar. 31, 2003, entitled “Methods and Systems for Processing a Substrate Using a Dynamic Liquid Meniscus.”Still additional embodiments of the proximity head are described in U.S. patent Application Ser. No. 10/404,270, filed on Mar. 31, 2003, entitled “Vertical Proximity Processor,” U.S. patent application Ser. No. 10/603,427, filed on Jun. 24, 2003, and entitled “Methods and Systems for Processing a Bevel Edge of a Substrate Using a Dynamic Liquid Meniscus,” U.S. patent application Ser. No. 10/606,022, filed on Jun. 24, 2003, and entitled “System and Method for Integrating In-Situ Metrology within a Wafer Process,” U.S. patent application Ser. No. 10/607,611 filed on Jun. 27, 2003 entitled “Apparatus and Method for Depositing and Planarizing Thin Films of Semiconductor Wafers,” U.S. patent application Ser. No. 10/611,140 filed on Jun. 30, 2003 entitled “Method and Apparatus for Cleaning a Substrate Using Megasonic Power,” U.S. patent application Ser. No. 10/817,398 filed on Apr. 1, 2004 entitled “Controls of Ambient Environment During Wafer Drying Using Proximity Head,” U.S. patent application Ser. No. 10/817,355 filed on Apr. 1, 2004 entitled “Substrate Proximity Processing Structures and Methods for Using and Making the Same,” U.S. patent application Ser. No. 10/817,620 filed on Apr. 1, 2004 entitled “Substrate Meniscus Interface and Methods for Operation,” U.S. patent application Ser. No. 10/817,133 filed on Apr. 1, 2004 entitled “Proximity Meniscus Manifold,” U.S. Pat. No. 6,488,040, issued on Dec. 3, 2002, entitled “Capillary Proximity Heads For Single Wafer Cleaning And Drying,” U.S. Pat. No. 6,616,772, issued on Sep. 9, 2003, entitled “Methods For Wafer Proximity Cleaning And Drying,” and U.S. patent application Ser. No. 10/742,303 entitled “Proximity Brush Unit Apparatus and Method.” The aforementioned patent applications are hereby incorporated by reference in their entirety.
  • It should be appreciated that the system described herein is just exemplary in nature, and the multi-menisci proximity head may be used in any suitable system such as, for example, those described in the United States Patent Applications referenced above. It should also be appreciated that FIGS. 2 through 4B describe formation of a single meniscus and therefore process variables (e.g. flow rates, dimensions, etc.) described therein may be different than the process variables described for a multi-menisci proximity head as described in FIG. 5A through 8C.
  • FIG. 2 shows a wafer processing system 100 in accordance with one embodiment of the present invention. The system 100 includes rollers 102 a and 102 b which may hold and/or rotate a wafer to enable wafer surfaces to be processed. The system 100 also includes proximity heads 106 a and 106 b that, in one embodiment, are attached to an upper arm 104 a and to a lower arm 104 b respectively. In one embodiment, the proximity heads 106 a and/or 106 b may be multi-menisci proximity heads as described in further detail in reference to FIGS. 5A through 10. As described herein the term “multi-menisci proximity head” is a proximity head capable of generating one or more fluid menisci. In a one embodiment, a first fluid meniscus is substantially surrounded by a second fluid meniscus. In a preferable embodiment, the first fluid meniscus and the second fluid meniscus are concentric with the second fluid meniscus surrounding the first fluid meniscus. The proximity head may be any suitable apparatus that may generate a fluid meniscus as described herein and described in the patent application incorporated by reference above. The upper arm 104 a and the lower arm 104 b can be part of an assembly which enables substantially linear movement (or in another embodiment a slight arc-like movement) of the proximity heads 106 a and 106 b along a radius of the wafer. In yet another embodiment, the assembly may move the proximity heads 106 a and 106 b in any suitable user defined movement.
  • In one embodiment the arms 104 are configured to hold the proximity head 106 a above the wafer and the proximity head 106 b below the wafer in close proximity to the wafer. For example, in one exemplary embodiment this may be accomplished by having the upper arm 104 a and the lower arm 104 b be movable in a vertical manner so once the proximity heads are moved horizontally into a location to start wafer processing, the proximity heads 106 a and 106 b can be moved vertically to a position in close proximity to the wafer. In another embodiment, the upper arm 104 a and the lower arm 104 b may be configured to start the proximity heads 106 a and 106 b in a position where the menisci are generated before processing and the menisci that has already been generated between the proximity heads 106 a and 106 may be moved onto the wafer surface to be processed from an edge area of a wafer 108. Therefore, the upper arm 104 a and the lower arm 104 b may be configured in any suitable way so the proximity heads 106 a and 106 b can be moved to enable wafer processing as described herein. It should also be appreciated that the system 100 may be configured in any suitable manner as long as the proximity head(s) may be moved in close proximity to the wafer to generate and control multiple meniscus that, in one embodiment, are concentric with each other. It should also be understood that close proximity may be any suitable distance from the wafer as long as a menisci may be maintained. In one embodiment, the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may each be located between about 0.1 mm to about 10 mm from the wafer to generate the fluid menisci on the wafer surface. In a preferable embodiment, the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may each be located bout 0.5 mm to about 2.0 mm from the wafer to generate the fluid menisci on the wafer surface, and in more preferable embodiment, the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may be located about 1.5 mm from the wafer to generate the fluid menisci on the wafer surface.
  • In one embodiment, the system 100, the arms 104 are configured to enable the proximity heads 106 a and 106 b to be moved from processed to unprocessed portions of the wafer. It should be appreciated that the arms 104 may be movable in any suitable manner that would enable movement of the proximity heads 106 a and 106 b to process the wafer as desired. In one embodiment, the arms 104 may be motivated by a motor to move the proximity head 106 a and 106 b along the surface of the wafer. It should be understood that although the wafer processing system 100 is shown with the proximity heads 106 a and 106 b, that any suitable number of proximity heads may be utilized such as, for example, 1, 2, 3, 4, 5, 6, etc. The proximity heads 106 a and/or 106 b of the wafer processing system 100 may also be any suitable size or shape as shown by, for example, any of the proximity heads as described herein. The different configurations described herein generate the fluid menisci between the proximity head and the wafer. The fluid menisci may be moved across the wafer to process the wafer by applying fluid to the wafer surface and removing fluids from the surface. In such a way, depending on the fluids applied to the wafer, cleaning, drying, etching, and/or plating may be accomplished. In addition, the first fluid meniscus may conduct one type of operation and the second fluid meniscus that at least partially surrounds the first fluid meniscus may conduct the same operation or a different wafer processing operation as the first fluid meniscus. Therefore, the proximity heads 106 a and 106 b can have any numerous types of configurations as shown herein or other configurations that enable the processes described herein. It should also be appreciated that the system 100 may process one surface of the wafer or both the top surface and the bottom surface of the wafer.
  • In addition, besides processing the top and/or bottom surfaces of the wafer, the system 100 may also be configured to process one side of the wafer with one type of process (e.g., etching, cleaning, drying, plating, etc.) and process the other side of the wafer using the same process or a different type of process by inputting and outputting different types of fluids or by using a different configuration menisci. The proximity heads can also be configured to process the bevel edge of the wafer in addition to processing the top and/or bottom of the wafer. This can be accomplished by moving the menisci off (or onto) the edge the wafer which processes the bevel edge. It should also be understood that the proximity heads 106 a and 106 b may be the same type of apparatus or different types of proximity heads.
  • The wafer 108 may be held and rotated by the rollers 102 a and 102 b in any suitable orientation as long as the orientation enables a desired proximity head to be in close proximity to a portion of the wafer 108 that is to be processed. In one embodiment, the rollers 102 a and 102 b can rotate in a clockwise direction to rotate the wafer 108 in a counterclockwise direction. It should be understood that the rollers may be rotated in either a clockwise or a counterclockwise direction depending on the wafer rotation desired. In one embodiment, the rotation imparted on the wafer 108 by the rollers 102 a and 102 b serves to move a wafer area that has not been processed into close proximity to the proximity heads 106 a and 106 b. However, the rotation itself does not dry the wafer or move fluid on the wafer surfaces towards the edge of the wafer. Therefore, in an exemplary wafer processing operation, the unprocessed areas of the wafer would be presented to the proximity heads 106 a and 106 b through both the linear motion of the proximity heads 106 a and 106 b and through the rotation of the wafer 108. The wafer processing operation itself may be conducted by at least one of the proximity heads. Consequently, in one embodiment, processed portions of the wafer 108 would expand from a center region to the edge region of the wafer 108 in a spiral movement as the processing operation progresses. In another embodiment, when the proximity heads 106 a and 106 b are moved from the periphery of the wafer 108 to the center of the wafer 108, the processed portions of the wafer 108 would expand from the edge region of the wafer 108 to the center region of the wafer 108 in a spiral movement.
  • In an exemplary processing operation, it should be understood that the proximity heads 106 a and 106 b may be configured to dry, clean, etch, and/or plate the wafer 108. In an exemplary drying embodiment, the at least one of first inlet may be configured to input deionized water (DIW) (also known as a DIW inlet), the at least one of a second inlet may be configured to input N2 carrier gas containing isopropyl alcohol (IPA) in vapor form (also known as IPA inlet), and the at least one outlet may be configured to remove fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet). It should be appreciated that although IPA vapor is used in some of the exemplary embodiments, any other type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, volatile chemicals, etc. that may be miscible with water.
  • In an exemplary cleaning embodiment, a cleaning solution may be substituted for the DIW. An exemplary etching embodiment may be conducted where an etchant may be substituted for the DIW. In an additional embodiment, plating may be accomplished as described in further detail in reference to U.S. patent application Ser. No. 10/607,611 filed on Jun. 27, 2003 entitled “Apparatus and Method for Depositing and Planarizing Thin Films of Semiconductor Wafers” which was incorporated by reference above. In addition, other types of solutions may be inputted into the first inlet and the second inlet depending on the processing operation desired.
  • It should be appreciated that the inlets and outlets located on a face of the proximity head may be in any suitable configuration as long as stable menisci as described herein may be utilized. In one embodiment, the at least one N2/IPA vapor inlet may be adjacent to the at least one vacuum outlet which is in turn adjacent to the at least one processing fluid inlet to form an IPA-vacuum-processing fluid orientation. Such a configuration can generate an outside meniscus that at least partially surrounds the inside meniscus. In addition, the inside meniscus may be generated through a configuration with a processing fluid-vacuum orientation. Therefore, one exemplary embodiment where a second fluid meniscus at least partially surrounds a first fluid meniscus may be generated by an IPA-vacuum-second processing fluid-vacuum-first processing fluid-vacuum-second processing fluid-vacuum-IPA orientation as described in further detail in reference to FIGS. 6 and 7A. It should be appreciated that other types of orientation combinations such as IPA-processing fluid-vacuum, processing fluid-vacuum-IPA, vacuum-IPA-processing fluid, etc. may be utilized depending on the wafer processes desired and what type of wafer processing mechanism is sought to be enhanced. In a preferable embodiment, the IPA-vacuum-processing fluid orientation in the form described in reference to FIGS. 6 and 7A may be utilized to intelligently and powerfully generate, control, and move the menisci located between a proximity head and a wafer to process wafers. The processing fluid inlets, the N2/IPA vapor inlets, and the vacuum outlets may be arranged in any suitable manner if the above orientation is maintained. For example, in addition to the N2/IPA vapor inlet, the vacuum outlet, and the processing fluid inlet, in an additional embodiment, there may be additional sets of IPA vapor outlets, processing fluid inlets and/or vacuum outlets depending on the configuration of the proximity head desired. It should be appreciated that the exact configuration of the inlet and outlet orientation may be varied depending on the application. For example, the distance between the IPA input, vacuum, and processing fluid inlet locations may be varied so the distances are consistent or so the distances are inconsistent. In addition, the distances between the IPA input, vacuum, and processing fluid outlet may differ in magnitude depending on the size, shape, and configuration of the proximity head 106 a and the desired size of a process menisci (i.e., menisci shape and size). In addition, exemplary IPA-vacuum-processing fluid orientation may be found as described in the U.S. patent applications referenced above.
  • In one embodiment, the proximity heads 106 a and 106 b may be positioned in close proximity to a top surface and a bottom surface respectively of the wafer 108 and may utilize the IPA and DIW inlets and a vacuum outlets as described in further detail in reference to FIGS. 5A through 10 to generate wafer processing menisci in contact with the wafer 108 which are capable of processing the top surface and the bottom surface of the wafer 108. The wafer processing menisci may be generated in a manner consistent with the descriptions in reference to Applications referenced and incorporated by reference above. At substantially the same time the IPA and the processing fluid is inputted, a vacuum may be applied in close proximity to the wafer surface to remove the IPA vapor, the processing fluid, and/or the fluids that may be on the wafer surface. It should be appreciated that although IPA is utilized in the exemplary embodiment, any other suitable type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, hexanol, ethyl glycol, acetone, etc. that may be miscible with water. These fluids may also be known as surface tension reducing fluids. The portion of the processing fluid that is in the region between the proximity head and the wafer is the menisci. It should be appreciated that as used herein, the term “output” can refer to the removal of fluid from a region between the wafer 108 and a particular proximity head, and the term “input” can be the introduction of fluid to the region between the wafer 108 and the particular proximity head. In another embodiment, the proximity heads 106 a and 106 b may be scanned over the wafer 108 while being moved at the end of an arm that is being moved in a slight arc.
  • FIG. 3 illustrates a proximity head 106 performing a wafer processing operation in accordance with one embodiment of the present invention. FIGS. 3 through 4B show a method of generating a basic fluid meniscus while FIGS. 5A through 10 discuss apparatuses and methods for generating a more complex menisci configuration where a first fluid meniscus at least partially surrounded by a second fluid meniscus. The proximity head 106, in one embodiment, moves while in close proximity to a top surface 108 a of the wafer 108 to conduct a wafer processing operation. It should be appreciated that the proximity head 106 may also be utilized to process (e.g., clean, dry, plate, etch, etc.) a bottom surface 108 b of the wafer 108. In one embodiment, the wafer 108 is rotating so the proximity head 106 may be moved in a linear fashion along the head motion while the top surface 108 a is being processed. By applying the IPA 310 through the inlet 302, the vacuum 312 through outlet 304, and the processing fluid 314 through the inlet 306, the meniscus 116 may be generated. It should be appreciated that the orientation of the inlets/outlets as shown in FIG. 3 is only exemplary in nature, and that any suitable inlets/outlets orientation that may produce a stable fluid meniscus may be utilized such as those configurations as described in the U.S. patent applications incorporated by reference previously.
  • FIG. 4A illustrates a wafer processing operation that may be conducted by a proximity head 106 a in accordance with one embodiment of the present invention. Although FIG. 4A shows a top surface 108 a being processed, it should be appreciated that the wafer processing may be accomplished in substantially the same way for the bottom surface 108 b of the wafer 108. In one embodiment, the inlet 302 may be utilized to apply isopropyl alcohol (IPA) vapor toward a top surface 108 a of the wafer 108, and the inlet 306 may be utilized to apply a processing fluid toward the top surface 108 a of the wafer 108. In addition, the outlet 304 may be utilized to apply vacuum to a region in close proximity to the wafer surface to remove fluid or vapor that may located on or near the top surface 108 a. As described above, it should be appreciated that any suitable combination of inlets and outlets may be utilized as long as the meniscus 116 may be formed. The IPA may be in any suitable form such as, for example, IPA vapor where IPA in vapor form is inputted through use of a N2 gas. Moreover, any suitable fluid used for processing the wafer (e.g., cleaning fluid, drying fluid, etching fluid, plating fluid, etc.) may be utilized that may enable or enhance the wafer processing. In one embodiment, an IPA inflow 310 is provided through the inlet 302, a vacuum 312 may be applied through the outlet 304 and processing fluid inflow 314 may be provided through the inlet 306. Consequently, if a fluid film resides on the wafer 108, a first fluid pressure may be applied to the wafer surface by the IPA inflow 310, a second fluid pressure may be applied to the wafer surface by the processing fluid inflow 314, and a third fluid pressure may be applied by the vacuum 312 to remove the processing fluid, IPA and the fluid film on the wafer surface.
  • Therefore, in one embodiment of a wafer processing, as the processing fluid inflow 314 and the IPA inflow 310 is applied toward a wafer surface, fluid (if any) on the wafer surface is intermixed with the processing inflow 314. At this time, the processing fluid inflow 314 that is applied toward the wafer surface encounters the IPA inflow 310. The IPA forms an interface 118 (also known as an IPA/processing fluid interface 118) with the processing fluid inflow 314 and along with the vacuum 312 assists in the removal of the processing fluid inflow 314 along with any other fluid from the surface of the wafer 108. In one embodiment, the IPA/processing fluid interface 118 reduces the surface of tension of the processing fluid. In operation, the processing fluid is applied toward the wafer surface and almost immediately removed along with fluid on the wafer surface by the vacuum applied by the outlet 304. The processing that is applied toward the wafer surface and for a moment resides in the region between a proximity head and the wafer surface along with any fluid on the wafer surface forms a meniscus 116 where the borders of the meniscus 116 are the IPA/processing fluid interfaces 118. Therefore, the meniscus 116 is a constant flow of fluid being applied toward the surface and being removed at substantially the same time with any fluid on the wafer surface. The nearly immediate removal of the processing fluid from the wafer surface prevents the formation of fluid droplets on the region of the wafer surface being dried thereby reducing the possibility of contamination on the wafer 108 after the processing fluid has accomplished its purpose depending on the operation (e.g., etching, cleaning, drying, plating, etc.). The pressure (which is caused by the flow rate of the IPA) of the downward injection of IPA also helps contain the meniscus 116.
  • The flow rate of the N2 carrier gas containing the IPA may assist in causing a shift or a push of processing fluid flow out of the region between the proximity head and the wafer surface and into the outlets 304 (vacuum outlets) through which the fluids may be outputted from the proximity head. It is noted that the push of processing fluid flow is not a process requirement but can be used to optimize meniscus boundary control. Therefore, as the IPA and the processing fluid is pulled into the outlets 304, the boundary making up the IPA/processing fluid interface 118 is not a continuous boundary because gas (e.g., air) is being pulled into the outlets 304 along with the fluids. In one embodiment, as the vacuum from the outlets 304 pulls the processing fluid, IPA, and the fluid on the wafer surface, the flow into the outlets 304 is discontinuous. This flow discontinuity is analogous to fluid and gas being pulled up through a straw when a vacuum is exerted on combination of fluid and gas. Consequently, as the proximity head 106 a moves, the meniscus moves along with the proximity head, and the region previously occupied by the meniscus has been dried due to the movement of the IPA/processing fluid interface 118. It should also be understood that the any suitable number of inlets 302, outlets 304 and inlets 306 may be utilized depending on the configuration of the apparatus and the meniscus size and shape desired. In another embodiment, the liquid flow rates and the vacuum flow rates are such that the total liquid flow into the vacuum outlet is continuous, so no gas flows into the vacuum outlet.
  • It should be appreciated any suitable flow rate may be utilized for the N2/IPA, processing fluid, and vacuum as long as the meniscus 116 can be maintained. In one embodiment, the flow rate of the processing fluid through a set of the inlets 306 is between about 25 ml per minute to about 3,000 ml per minute. In a preferable embodiment, the flow rate of the processing fluid through the set of the inlets 306 is about 800 ml per minute. It should be understood that the flow rate of fluids may vary depending on the size of the proximity head. In one embodiment a larger head may have a greater rate of fluid flow than smaller proximity heads. This may occur because larger proximity heads, in one embodiment, have more inlets 302 and 306 and outlets 304.
  • In one embodiment, the flow rate of the N2/IPA vapor through a set of the inlets 302 is between about 1 liters per minute (SLPM) to about 100 SLPM. In a preferable embodiment, the IPA flow rate is between about 6 and 20 SLPM.
  • In one embodiment, the flow rate for the vacuum through a set of the outlets 304 is between about 10 standard cubic feet per hour (SCFH) to about 1250 SCFH. In a preferable embodiment, the flow rate for a vacuum though the set of the outlets 304 is about 350 SCFH. In an exemplary embodiment, a flow meter may be utilized to measure the flow rate of the N2/IPA, processing fluid, and the vacuum.
  • It should be appreciated that any suitable type of wafer processing operation may be conducted using the meniscus depending on the processing fluid utilized. For example, a cleaning fluid such as, for example, SC-1, SC-2, etc., may be used for the processing fluid to generate wafer cleaning operation. In a similar fashion, different fluids may be utilized and similar inlet and outlet configurations may be utilized so the wafer processing meniscus may also etch and/or plate the wafer. In one embodiment, etching fluids such as, for example, HF, EKC proprietary solution, KOH etc., may be utilized to etch the wafer. In another embodiment, plating fluids such as, for example, Cu Sulfate, Au Chloride, Ag Sulfate, etc. in conjunction with electrical input may be conducted.
  • FIG. 4B illustrates a side view of exemplary proximity heads 106 and 106 b for use in a dual wafer surface processing system in accordance with one embodiment of the present invention. In this embodiment, by usage of inlets 302 and 306 to input N2/IPA and processing respectively along with the outlet 304 to provide a vacuum, the meniscus 116 may be generated. In addition, on the side of the inlet 306 opposite that of the inlet 302, there may be a outlet 304 to remove processing fluid and to keep the meniscus 116 intact. As discussed above, in one embodiment, the inlets 302 and 306 may be utilized for IPA inflow 310 and processing fluid inflow 314 respectively while the outlet 304 may be utilized to apply vacuum 312. In addition, in yet more embodiments, the proximity heads 106 and 106 b may be of a configuration as shown in the U.S. patent applications referenced above. Any suitable surface coming into contact with the meniscus 116 such as, for example, wafer surfaces 108 a and 108 b of the wafer 108 may be processed by the movement of the meniscus 116 into and away from the surface.
  • FIGS. 5A through 10 show embodiments of the present invention where a first fluid meniscus is at least partially surrounded by at least a second fluid meniscus. It should be appreciated that the first fluid meniscus and/or the second fluid meniscus may be generated to conduct any suitable type of substrate/wafer processing operation such as, for example, lithography, etching, plating, cleaning, and drying. The first fluid meniscus and the second fluid meniscus may be any suitable shape or size depending on the substrate processing operation desired. In certain embodiments described herein, the first fluid meniscus and the second fluid meniscus are concentric where the second fluid meniscus surrounds the first fluid meniscus and the first fluid meniscus and the second fluid meniscus provide a continuous fluid connection. Therefore, after the first fluid meniscus processes the substrate, the portion of the wafer processed by the first fluid meniscus is immediately processed by the second fluid meniscus without a substantial amount of the contact with the atmosphere. It should be appreciated that depending on the operation desired, in one embodiment, the first fluid meniscus may contact the second meniscus and in another embodiment, the first fluid meniscus does not directly contact the second meniscus.
  • FIG. 5A shows a multi-menisci proximity head 106-1 in accordance with on embodiment of the present invention. The multi-menisci proximity head 106-1 includes a plurality of source inlets 306 a that can apply a first fluid to the wafer surface. The first fluid can then be removed from the wafer surface by application of vacuum through a plurality of source outlets 304 a. Therefore, the first fluid meniscus may be generated by the conduits located within a first fluid meniscus region 402 of the processing surface on the multi-menisci proximity head 106-1.
  • The multi-menisci proximity head 106-1 may also include a plurality of source inlets 306 b that can apply a second fluid to the wafer surface. The second fluid can then be removed from the wafer surface by application of vacuum through a plurality of source outlets 304 b. In one embodiment, a portion of the second fluid is also removed by the plurality of source outlets 304 a in conjunction with the removal of the first fluid. In one embodiment, the plurality of source outlets 304 a may be called a one phase fluid removal conduit because the outlets 304 a remove liquids applied to the wafer through the source inlets 306 a and 306 b. In addition, the plurality of source outlets 306 b may be called a two phase removal conduit because the outlets 306 b removes the second fluid from the source inlets 306 b and the atmosphere outside of the fluid meniscus. Therefore, in one embodiment, the outlets 306 b removes both liquid and gas while the outlets 306 a remove only liquids. As a result, the second fluid meniscus may be created by the conduits located within a second fluid meniscus region 404 of the processing surface on the multi-meniscus proximity head 106-1.
  • Optionally, the multi-menisci proximity head 106-1 may include a plurality of source inlets 302 which can apply a third fluid to the wafer surface. In one embodiment, the third fluid may be a surface tension reducing fluid that can reduce the surface tension of a liquid/atmosphere border of the second meniscus formed by that application of the second fluid to the wafer surface.
  • In addition, the processing surface (e.g., the surface area of the multi-menisci proximity head where the conduits exist) of the multi-menisci proximity head 106-1 (or any other proximity head discussed herein) may be of any suitable topography such as, for example, flat, raised, lowered. In one embodiment, the processing surface of the multi-menisci 106-1 may have a substantially flat surface.
  • FIG. 5B shows a cross section view of the multi-menisci proximity head 106-1 in accordance with one embodiment of the present invention. The multi-menisci proximity head 106-1 can apply the first fluid through the plurality of source inlets 306 a and remove the first fluid through the plurality of source outlets 304 a. The first fluid meniscus 116 a is located underneath a region substantially surrounded by the plurality of source outlets 304 a. The multi-menisci proximity head 106-a can also apply the second fluid through the plurality of source inlets 306 b and remove the second fluid through the plurality of source outlets 304 a on one side of the second fluid meniscus and 304 b on the other side. In one embodiment, the plurality of source inlets 302 may apply the third fluid to decrease the surface tension of the fluid making up the second fluid meniscus 116 b. The plurality of source inlets 302 may be optionally angled to better confine the second fluid meniscus 116 b.
  • FIG. 6A illustrates a multi-menisci proximity head 106-2 in accordance with one embodiment of the present invention. The proximity head 106-2 includes, in one embodiment, a facilities plate 454 and a body 458. It should be appreciated the proximity head 106-2 may include any suitable numbers and/or types of pieces as long as the first fluid meniscus and the second fluid meniscus as described herein may be generated. In one embodiment, the facilities plate 454 and the body 458 may be bolted together or in another embodiment, the plate 454 and the body 458 may be attached by an adhesive. The facilities plate 454 and the body 458 may be made from the same material or different materials depending on the applications and operations desired by a user.
  • The proximity head 106-2 may include a processing surface 458 which includes conduits where fluid(s) may be applied to surface of the wafer and the fluid(s) maybe removed from a surface of the wafer. The processing surface 458 may, in one embodiment, be elevated above a surface 453 as shown by an elevated region 452. It should be appreciated that the processing surface 458 does not have to be elevated and that the surface 458 may be substantially planar with the surface 453 of the proximity head 106-2 that faces the surface of the wafer being processed.
  • FIG. 6B illustrates the processing surface 458 of the proximity head 106-2 in accordance with one embodiment of the present invention. In one embodiment, the processing surface 458 is a region of the proximity head 106-2 which generates the fluid menisci. The processing surface 458 may include any suitable number and type of conduits so the first fluid meniscus and the second fluid meniscus may be generated. In one embodiment, the processing surface 458 includes fluid inlets 306 a, fluid outlets 304 a, fluid inlets 306 b, fluid outlets 304 b, and fluid inlets 302.
  • The fluid inlets 306 a may apply a first fluid to the surface of the wafer, and the fluid inlets 306 b may apply a second fluid to the surface of the wafer. In addition, the fluid outlets 304 a may remove the first fluid and a portion of a second fluid from the surface of the wafer by the application of vacuum, and the fluid outlets 304 b may remove a portion of the second fluid from the surface of the wafer by the application of vacuum, and the fluid inlets 302 may apply a fluid that can decrease the surface tension of the second fluid. The first fluid and/or the second fluid may be any suitable fluid that can facilitate any one of a lithography operation, an etching operation, a plating operation, a cleaning operation, a rinsing operation, and a drying operation.
  • FIG. 6C shows a closer view of the processing surface 458 of the multi-meniscus proximity head 106-2 in accordance with one embodiment of the present invention. In one embodiment, the processing surface 458 includes a first fluid meniscus region 402 which includes the fluid inlets 306 a and fluid outlets 304 a. The processing surface 458 also includes a second fluid meniscus region 404 includes the fluid inlets 306 b and the fluid outlets 304 b and the fluid inlets 302. Therefore, the first fluid meniscus region 402 can generate the first fluid meniscus and the second fluid meniscus region 404 can generate the second fluid meniscus.
  • FIG. 6D shows the facilities plate 454 attaching to the body 456 to form the multi-menisci proximity head 106-2 in accordance with one embodiment of the present invention. Channels corresponding to the fluid inlets 306 a, 304 a, and 302 supply fluid from the facilities plate 454 into the body 456 of the multi-menisci proximity head 106-2, and channels corresponding to the fluid outlets 306 b and 304 b remove fluid from the body 456 to the facilities 454. In one embodiment channels 506 a, 504 a, 506 b, 504 b, and 502 correspond to the fluid inlets 306 a, fluid outlets 306 b, fluid inlets 304 a, fluid outlets 304 b, and fluid inlets 302.
  • FIG. 6E illustrates a cross section view of the proximity head 106-2 in accordance with one embodiment of the present invention. As described in reference to FIG. 6D, channels 506 a, 506 b, and 502 may supply a first fluid, a second fluid, and a third fluid to fluid inlets 306 a, 306 b, and 302 respectively. In addition, a channel 504 a may remove a combination of the first fluid and the second fluid from the fluid outlets 304 a, and channel 504 b may remove combination of the second fluid and the third fluid from the outlets 304 b. In one embodiment, the first fluid is a first processing fluid that can conduct any suitable operation on a wafer surface such as, for example, etching, lithography, cleaning, rinsing, and drying. The second fluid is a second processing fluid that may or may not be the same as the first fluid. As with the first fluid, the second fluid may be any suitable type of processing fluid such as, for example, a fluid that can facilitate etching, lithography, cleaning, rinsing, and drying.
  • FIG. 7 illustrates a cross-sectional view of the multi-menisci proximity head in exemplary wafer processing operations in accordance with one embodiment of the present invention. Although FIG. 7 (and also FIG. 8A) shows a top surface of the wafer 108 being processed, it should be appreciated by those skilled in the art that both a top surface and a bottom surface of the wafer 108 may be concurrently processed by, any of the proximity heads described herein on the top surface of the wafer 108 and by any of the proximity heads described herein on the bottom surface of the wafer 108. In one embodiment, a first wafer processing chemistry is applied to the wafer 108 through fluid inlet 306 a. After the first wafer processing chemistry has processed the wafer surface, the first wafer processing chemistry is removed from the wafer surface through the fluid outlet 304 a. The first wafer processing fluid may form a first fluid meniscus 116 a between the multi-menisci proximity head 106-2 and the wafer 108. In one embodiment, a second processing fluid such as, for example, deionized water (DIW) is applied to the wafer surface through the fluid inlets 306 b.
  • As discussed above, the second processing fluid may be any suitable fluid that can accomplish the desired operation on the wafer surface. After the DIW has processed the wafer surface, the DIW is removed from the wafer surface through both the source outlets 304 a and 304 b. The DIW between the multi-menisci proximity head 106-2 and the wafer surface may form a second fluid meniscus 116 b.
  • In one embodiment, a surface tension reducing fluid such as, for example, isopropyl alcohol vapor in nitrogen gas may optionally be applied from the source inlet 302 to the wafer surface to keep the liquid/gas border of the second fluid meniscus 116 b stable. In one embodiment, the second fluid meniscus 116 b can substantially surround the first fluid meniscus 116 a. In this way, after the first fluid meniscus 116 a has processed the wafer surface, the second fluid meniscus 116 b can nearly immediately begin operating on a portion of the wafer surface already processed by the first fluid meniscus 116 a. Therefore, in one embodiment, the second fluid meniscus 116 b forms a concentric ring around the first fluid meniscus 116 a. It should be appreciated that the first fluid meniscus 116 a may be any suitable geometric shape such as, a circle, ellipse, square, rectangle, triangular, quadrilateral, etc. The second fluid meniscus 116 b can be configured to at least partially surround whatever shape the first fluid meniscus 116 a may be. It should be appreciated that, as discussed above, the first fluid meniscus 116 a and/or the second fluid meniscus 116 b may utilize any suitable fluid(s) depending on the wafer processing operation desired.
  • It should be appreciated that to generate a stable fluid meniscus, an amount of the first fluid inputted into the first fluid meniscus through the source inlets 306 a should be substantially equal to the amount of the first fluid removed through the source outlets 304 a. The amount of the second fluid inputted into the second fluid meniscus through the source inlets 306 b should be substantially equal to the amount of the second fluid removed through the source outlets 304 a and 304 b. In one embodiment, the flow rate of the fluids are determined by a distance 480 the proximity head 106-2 is off of the wafer 108. It should be appreciated that the distance 480 may be any suitable distance as long as the menisci can be maintained and moved in a stable manner. In one embodiment, the distance 480 may be between 50 microns and 5 mm, and in another embodiment 0.5 mm to 2.5 mm. Preferably, the distance 480 is between about 1 mm and 1.5 mm. In one embodiment, the distance 480 is about 1.3.
  • The flow rates of the fluids as shown in FIG. 7 may be any suitable flow rate that can generate the first fluid meniscus and the second fluid meniscus that substantially surrounds the first meniscus. Depending on the distinction desired between the first fluid meniscus and the second fluid meniscus, the flow rates may differ. In one embodiment, source inlets 306 a may apply the first fluid at a flow rate of about 600 cc/min, source inlets 306 b may apply the second fluid at a flow rate of about 900 cc/min, a source outlets 304 a may remove the first fluid and the second fluid at a flow rate of about 1200 cc/min, and the source outlets 304 b may remove the second fluid and atmosphere (which may include some IPA vapor in N2 if such a surface tension reducing fluid is being applied to the wafer surface) at a flow rate of about 300 cc/min. In one embodiment, the flow rate of fluids through the source outlets 304 may equal 2 times the flow rate of fluid through the source inlets 306 a. The flow rate of fluid through the source inlets 306 b may be equal to the flow rate through the source inlets 306 a plus 300. It should be appreciated by those skilled in the art that specific flow rate relationships of the source inlets 306 a, 306 b and source inlets 304 a, 304 b may change depending on the configuration of the process area and/or the configuration of the proximity heads described herein.
  • FIG. 8A illustrates a cross-sectional view of the multi-menisci proximity head 106-3 which is utilized to process a hydrophobic barrier 602 in accordance with one embodiment of the present invention. In one embodiment, the multi-menisci proximity head 106-3 includes fluid inlets 306 a, 306 b and fluid outlets 304 a, 304 b, and optionally fluid inlet 302. As discussed in reference to FIG. 6, the fluid inlets 306 a can apply a first processing fluid to the wafer surface. It should be appreciated that the first fluid may be any suitable fluid that can process the wafer surface in the wafer processing operation desired. Therefore, in one embodiment, the first fluid may be any one of a lithography enhancing fluid, an etching fluid, a cleaning fluid, a rinsing fluid, and a drying fluid. In addition, in an optional embodiment, the fluid inlets 302 can apply a third fluid to the wafer surface. After the processing fluid has operated on the wafer surface, the processing fluid is removed, in one example, by vacuum through the fluid outlets 304 a. After the wafer processing chemistry has processed the wafer surface, the wafer processing chemistry is removed from the wafer surface through the fluid outlets 304 a.
  • The multi-menisci proximity head 106-3 may also apply a second wafer processing fluid to the surface through the fluid inlets 306 b and remove the second wafer processing fluid from the surface by, in one embodiment, a vacuum applied through the fluid outlets 304 a and 304 b. In this way, the second fluid meniscus 116 b may be generated. It should be appreciated that the second fluid may be any suitable fluid that can process the wafer surface in the wafer processing operation desired. Therefore, in one embodiment, the second fluid may be any one of a lithography enhancing fluid, an etching fluid, a cleaning fluid, a rinsing fluid, and a drying fluid. In addition, in an optional embodiment, the fluid inlets 302 can apply a third fluid to the wafer surface. It should be appreciated that the third fluid may be any suitable fluid that can reduce the surface tension of the second fluid. In one embodiment, the third fluid is isopropyl alcohol vapor in nitrogen gas (IPA/N2).
  • In one embodiment of the multi-menisci proximity head 106-3, a phobic barrier 602 is located between the fluid inlets 304 a and the fluid inlet 306 b. The wafer processing fluid forms a first fluid meniscus 116 a between the multi-menisci proximity head 106-2. In one embodiment, deionized water (DIW) is applied to the wafer surface through the fluid inlets 306 b. After the DIW has processed the wafer surface, the DIW is removed from the wafer surface through the source outlet 304 b. The DIW between the multi-menisci proximity head 106-2 and the wafer surface forms a second fluid meniscus 116 b. Isopropyl alcohol vapor in nitrogen gas may optionally be applied to the wafer surface to keep the liquid/gas border of the second fluid meniscus 116 b stable. In one embodiment, the second fluid meniscus 116 b substantially surrounds the first fluid meniscus 116 a. In this way, after the first fluid meniscus 116 a has processed the wafer surface, the second fluid meniscus 116 b can nearly immediately begin operating on a portion of the wafer surface already processed by the first fluid meniscus 116 a.
  • The embodiment as shown in FIG. 8A includes the phobic barriers 602 which can separate the first fluid meniscus 116 a and the second fluid meniscus 116 b. In such an embodiment, the first fluid meniscus 116 a may not directly contact the second fluid meniscus 116 b. As discussed in further reference to FIG. 8B below, depleted fluid from the first fluid meniscus 116 a that has processed the wafer surface may be remain on the wafer surface for removal by the second fluid meniscus 116 b.
  • FIG. 8B illustrates a close up view of the multi-menisci proximity head 106-3 operating on a hydrophilic wafer surface in accordance with one embodiment of the present invention. In one embodiment, the multi-menisci proximity head 106-3 includes the first fluid meniscus 116 a that can process the wafer surface in whatever type of wafer processing operation desired as discussed above. The depleted chemistry from the first fluid meniscus 116 a remaining on the wafer surface can then be processed by the second fluid meniscus 116 b (which in one embodiment as shown is a rinsing fluid meniscus to remove the depleted chemistry). The embodiment shown is related to processing of hydrophilic wafers that can hold onto the depleted chemistry when the first fluid meniscus 116 a moves off of the processing area of the wafer surface.
  • FIG. 8C shows a close-up view of the multi-menisci proximity head 106-3 operating on a hydrophilic wafer surface in accordance with one embodiment of the present invention. In this embodiment, the wafer processing chemistry (which in one embodiment is an aqueous fluid) of the first fluid meniscus 116 a does not stay on the wafer surface after processing because the wafer surface is hydrophobic. Therefore, the phobic barrier 602 can keep the first fluid meniscus 116 a and the second fluid meniscus 116 b totally separated so there is no intermixing of the fluid of the first fluid meniscus 116 a with the fluid of the second fluid meniscus 116 b. In addition the source outlets 304 in such an embodiment only removes the first fluid from the first fluid meniscus 116 a.
  • It should be appreciated that although only two menisci (inside meniscus and outside surrounding meniscus) are shown in the exemplary embodiments that any suitable number of concentric menisci can be generated. In such a case each of the inner menisci may be generated by a set of at least one source inlet 306 a and the source outlet 304 a while the last surrounding meniscus (the last outside meniscus that would surround the menisci) may have a set of at least one source inlet 306 b and 304 b. Any inner menisci may be generated by a set of source inlets 306 a and the source outlets 304 a that can apply and remove a particular processing fluid.
  • FIG. 9 illustrates a multi-menisci proximity head 106-4 that includes rectangular shaped menisci in accordance with one embodiment of the present invention. In this embodiment, the multi-menisci proximity head 106-4 includes a square shaped meniscus 116 a′ surrounded by a meniscus 116 c which in turn is surrounded by the outside fluid meniscus 116 b′. It should be appreciated by those skilled in the art that the menisci 116 a′, 116 c, and 116 b′ may be generated by changing the inlet/outlet configurations as described herein. In one embodiment, the source inlets 306 a, 306 c, and 306 b may be configured to apply a first fluid, a second fluid and a third fluid to the wafer. In addition, the source outlets 304 a, 304 c, and 304 b may be configured to remove (by vacuum) the first fluid and the second fluid, the second fluid and the third fluid, and the third fluid and atmosphere respectively. In addition, source inlets 302 may optionally be utilized to apply a surface tension reducing fluid to an outside portion of the third fluid meniscus.
  • It should be appreciated by those skilled in the art that each of the fluid menisci 116 a′, 116 b′, and 116 c as described in reference to FIG. 9 may conduct any suitable operation on the wafer surface such as, for example, etching, cleaning, lithography, rinsing, drying etc.
  • FIG. 10 shows a multi-menisci proximity head 106-5 with oblong fluid menisci in accordance with one embodiment of the present invention. In one embodiment, the fluid meniscus 116 a is surrounded on both sides (length wise in one embodiment) by fluid menisci 116 c-1, 116 c-2 which are in turn surrounded by fluid menisci 116 b-1 and 116 b-2. It should be appreciated that each of the fluid menisci shown in FIG. 10 may conduct any suitable operation on the wafer surface such as, for example, etching, cleaning, lithography, rinsing, drying etc. It should also be appreciated that the menisci shown may be generated in any suitable method consistent with the methodology and apparatuses described herein.
  • While this invention has been described in terms of several preferred embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. It is therefore intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.

Claims (23)

1. A method for processing a substrate using a proximity head, comprising:
generating a first fluid meniscus on a surface of the substrate the first fluid meniscus being generated by applying a first fluid to a surface of the substrate and by removing the first fluid from the surface of the substrate just as the first fluid is applied; and
generating a second fluid meniscus in contact with and at least partially surrounding the first fluid meniscus on the surface of the substrate, the second fluid meniscus being generated by applying a second fluid to a portion of the surface of the substrate at least partially surrounding the surface of the substrate in contact with the first fluid meniscus and by removing the second fluid from the portion of the surface of the substrate just as the second fluid is applied;
wherein during a substrate processing operation the first fluid meniscus and the second fluid meniscus being defined between a processing surface of the proximity head and the surface of the substrate.
2. A method for processing a substrate as recited in claim 1, further comprising:
processing the surface of the substrate with the first fluid meniscus, and processing the surface of substrate with the second fluid meniscus.
3. A method for processing a substrate as recited in claim 2, wherein processing the surface of the substrate with the first fluid meniscus includes one of an etching operation, a cleaning operation, a rinsing operation, a plating operation, ad or a lithography operation.
4. A method for processing a substrate as recited in claim 2, wherein processing the surface of the substrate with the second fluid meniscus includes one of an etching operation, a cleaning operation, a rinsing operation, a plating operation, a drying operation, or a lithography operation.
5. A method for processing a substrate as recited in claim 1, wherein generating the first fluid meniscus includes applying the first fluid to the surface of the substrate through a first fluid inlet and removing the first fluid from the surface of the substrate through a first fluid outlet.
6. A method for processing a substrate as recited in claim 5, wherein generating the second fluid meniscus includes applying the second fluid to the surface of the substrate through a second fluid inlet and removing the second fluid from the surface of the substrate through the first fluid outlet and a second fluid outlet and applying a third fluid through a third inlet.
7. A method for processing a substrate as recited in claim 5, wherein the first fluid is one of a lithographic fluid, an etching fluid, a plating fluid, a cleaning fluid, or a rinsing fluid.
8. A method for processing a substrate as recited in claim 6, wherein the second fluid is one of a lithographic fluid, an etching fluid, a plating fluid, a cleaning fluid, a drying fluid, or a rinsing fluid.
9. A method for processing a substrate as recited in claim 6, wherein the third fluid decreases a surface tension of the second fluid.
10. A method for processing a substrate as recited in claim 6, wherein the third fluid is an isopropyl alcohol vapor in nitrogen gas.
11. An apparatus for processing a substrate, comprising:
a proximity head capable of generating a first fluid meniscus on a substrate surface and capable of generating a second fluid meniscus on the substrate surface at least partially surrounding the first fluid meniscus, the proximity head capable of substantially maintaining an integrity of the second fluid meniscus when in contact with the first fluid meniscus, the first fluid meniscus and the second fluid meniscus being defined between a processing surface of a proximity head and the substrate surface during a substrate processing operation.
12. An apparatus for processing a substrate as recited in claim 11, wherein the proximity head includes,
a first set of conduits defined within the proximity head capable of generating the first fluid meniscus, and
a second set of conduits defined within the proximity head capable of generating the second fluid meniscus, the second set of conduits at least partially surrounding the first set of conduits.
13. An apparatus for processing a substrate as recited in claim 12, wherein the first set of conduits include,
at least one inlet for applying a first fluid to the surface of the wafer, and
at least one outlet for removing at least the first fluid from the surface of the wafer.
14. An apparatus for processing a substrate as recited in claim 13, wherein the second set of conduits include,
at least one inlet for applying a second fluid to the surface of the wafer, and
at least one outlet for removing the second fluid from the surface of the wafer,
wherein the at least one outlet for removing the first fluid from the surface of the wafer also removes at least a portion of the second fluid.
15. An apparatus for processing a substrate as recited in claim 15, wherein the second set of conduits further includes,
at least one inlet for applying a third fluid to the surface of the wafer.
16. An apparatus for processing a substrate as recited in claim 11, wherein the first fluid meniscus is capable of executing one of an etching operation, a cleaning operation, a rinsing operation, a plating operation, or a lithography operation.
17. An apparatus for processing a substrate as recited in claim 11, wherein the second fluid meniscus is capable of executing one of an etching operation, a cleaning operation, a rinsing operation, a plating operation, a drying operation, or a lithography operation.
18. A method for processing a substrate as recited in claim 15, wherein the third fluid decreases a surface tension of the second fluid.
19. An apparatus for processing a substrate, comprising:
a proximity head capable of generating a first fluid meniscus on a surface of the substrate and capable of generating a second fluid meniscus on the surface of the substrate at least partially surrounding the first fluid meniscus, the proximity head including,
at least one first inlet defined in a processing surface of the proximity head configured to apply a first fluid to the surface of the wafer through the proximity head;
at least one first outlet defined in the processing surface of the proximity head configured to remove the first fluid and at least a portion of a second fluid from the surface of the wafer through the proximity head;
at least one second inlet defined in the processing surface of the proximity head configured to apply the second fluid to the surface of the wafer though the proximity head; and
at least one second outlet defined in the processing surface of the proximity head configured to remove at least a portion of the second fluid from the surface of the wafer through the proximity head; and
wherein the at least one second inlet and the at least one second outlet at least partially surrounds the at least one first outlet and the at least one first inlet on the processing surface of the proximity head, the first fluid meniscus and the second fluid meniscus being defined between the processing surface and the surface of the substrate during a substrate processing operation.
20. An apparatus for processing a substrate as recited in claim 19, wherein the proximity head further includes,
at least one third inlet defined in the processing surface of the proximity head configured to apply a third fluid to the surface of the wafer.
21. An apparatus for processing a substrate as recited in claim 19, wherein the first fluid meniscus is capable of executing one of an etching operation, a cleaning operation, a rinsing operation, a plating operation, a or a lithography operation.
22. An apparatus for processing a substrate as recited in claim 19, wherein the second fluid meniscus is capable of executing one of an etching operation, a cleaning operation, a rinsing operation, a plating operation, a drying operation, and or a lithography operation.
23. A method for processing a substrate as recited in claim 20, wherein the third fluid decreases a surface tension of the second fluid.
US10/883,301 2002-09-30 2004-06-30 Concentric proximity processing head Expired - Lifetime US6954993B1 (en)

Priority Applications (29)

Application Number Priority Date Filing Date Title
US10/883,301 US6954993B1 (en) 2002-09-30 2004-06-30 Concentric proximity processing head
US10/957,384 US6988326B2 (en) 2002-09-30 2004-09-30 Phobic barrier meniscus separation and containment
US10/956,799 US7093375B2 (en) 2002-09-30 2004-09-30 Apparatus and method for utilizing a meniscus in substrate processing
SG200503944A SG118397A1 (en) 2004-06-30 2005-06-20 Phobic barrier meniscus separation and containment
SG200503947A SG118400A1 (en) 2004-06-30 2005-06-20 Apparatus and method for utilizing a meniscus in substrate processing
SG200503946A SG118399A1 (en) 2004-06-30 2005-06-20 Concentric proximity processing head
DE602005024745T DE602005024745D1 (en) 2004-06-30 2005-06-22 purifier
EP05253885A EP1612847B1 (en) 2004-06-30 2005-06-22 Cleaning apparatus
AT05253879T ATE556428T1 (en) 2004-06-30 2005-06-22 CLEANING APPARATUS AND CLEANING METHOD
AT05253885T ATE488863T1 (en) 2004-06-30 2005-06-22 CLEANING APPARATUS
EP05253880A EP1612846A3 (en) 2004-06-30 2005-06-22 Concentric processing head
EP05253879A EP1612845B1 (en) 2004-06-30 2005-06-22 Cleaning Apparatus and Method
TW094120944A TWI292176B (en) 2004-06-30 2005-06-23 Apparatus and method for utilizing a meniscus in substrate processing
TW94120939A TWI270645B (en) 2002-09-30 2005-06-23 Method and apparatus for processing a substrate
TW94120948A TWI275138B (en) 2002-09-30 2005-06-23 Phobic barrier meniscus separation and containment
MYPI20052943A MY138656A (en) 2004-06-30 2005-06-28 Apparatus and method for utilizing a meniscus in substrate processing
MYPI20052944A MY139423A (en) 2004-06-30 2005-06-28 Phobic barrier meniscus separation and containment
MYPI20052939A MY139040A (en) 2004-06-30 2005-06-28 Concentric proximity processing head
JP2005189330A JP4758694B2 (en) 2004-06-30 2005-06-29 Proximity proximity process head
JP2005189340A JP4892203B2 (en) 2004-06-30 2005-06-29 Meniscus separation and confinement by non-affinity barriers
JP2005189334A JP5013685B2 (en) 2004-06-30 2005-06-29 Apparatus and method for using a meniscus in substrate processing
CNB2005100791865A CN100517585C (en) 2004-06-30 2005-06-30 Substrate processing method and device
KR1020050058852A KR101160100B1 (en) 2004-06-30 2005-06-30 Concentric proximity processing head
CNB2005100791935A CN100517587C (en) 2004-06-30 2005-06-30 Apparatus and method for utilizing a meniscus in substrate processing
CNB200510079187XA CN100517586C (en) 2004-06-30 2005-06-30 Substrate processing method and device
KR1020050058859A KR101118006B1 (en) 2004-06-30 2005-06-30 Phobic barrier meniscus separation and containment
KR1020050058856A KR101157847B1 (en) 2004-06-30 2005-06-30 Apparatus and method for utilizing a meniscus in substrate processing
US11/447,538 US7363727B2 (en) 2002-09-30 2006-06-05 Method for utilizing a meniscus in substrate processing
JP2011250477A JP5331865B2 (en) 2004-06-30 2011-11-16 Apparatus and method for using a meniscus in substrate processing

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/261,839 US7234477B2 (en) 2000-06-30 2002-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US10/330,843 US7198055B2 (en) 2002-09-30 2002-12-24 Meniscus, vacuum, IPA vapor, drying manifold
US10/404,692 US6988327B2 (en) 2002-09-30 2003-03-31 Methods and systems for processing a substrate using a dynamic liquid meniscus
US10/883,301 US6954993B1 (en) 2002-09-30 2004-06-30 Concentric proximity processing head

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/404,692 Continuation-In-Part US6988327B2 (en) 2002-09-30 2003-03-31 Methods and systems for processing a substrate using a dynamic liquid meniscus

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/957,384 Continuation-In-Part US6988326B2 (en) 2002-09-30 2004-09-30 Phobic barrier meniscus separation and containment
US10/956,799 Continuation-In-Part US7093375B2 (en) 2002-09-30 2004-09-30 Apparatus and method for utilizing a meniscus in substrate processing

Publications (2)

Publication Number Publication Date
US20050217137A1 true US20050217137A1 (en) 2005-10-06
US6954993B1 US6954993B1 (en) 2005-10-18

Family

ID=34978713

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/883,301 Expired - Lifetime US6954993B1 (en) 2002-09-30 2004-06-30 Concentric proximity processing head

Country Status (7)

Country Link
US (1) US6954993B1 (en)
EP (1) EP1612846A3 (en)
JP (1) JP4758694B2 (en)
KR (1) KR101160100B1 (en)
CN (3) CN100517587C (en)
MY (1) MY139040A (en)
SG (1) SG118399A1 (en)

Cited By (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050007569A1 (en) * 2003-05-13 2005-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050048220A1 (en) * 2003-07-31 2005-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050128445A1 (en) * 2003-10-28 2005-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050219489A1 (en) * 2002-12-10 2005-10-06 Nikon Corporation Exposure apparatus and method for producing device
US20050219488A1 (en) * 2002-12-10 2005-10-06 Nikon Corporation Exposure apparatus and method for producing device
US20050219490A1 (en) * 2002-12-10 2005-10-06 Nikon Corporation Exposure apparatus and method for producing device
US20050225734A1 (en) * 2004-04-08 2005-10-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050231694A1 (en) * 2004-04-14 2005-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050237504A1 (en) * 2002-12-10 2005-10-27 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20050259232A1 (en) * 2004-05-18 2005-11-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050259234A1 (en) * 2002-12-10 2005-11-24 Nikon Corporation Exposure apparatus and device manufacturing method
US20050259233A1 (en) * 2004-05-21 2005-11-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050263068A1 (en) * 2003-10-28 2005-12-01 Asml Netherlands B.V. Lithographic apparatus
US20050264774A1 (en) * 2002-12-10 2005-12-01 Nikon Corporation Exposure apparatus and method for producing device
US20050280791A1 (en) * 2003-02-26 2005-12-22 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20060007419A1 (en) * 2004-07-07 2006-01-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060012765A1 (en) * 2003-03-25 2006-01-19 Nikon Corporation Exposure apparatus and device fabrication method
US20060017900A1 (en) * 2003-04-17 2006-01-26 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US20060023184A1 (en) * 2003-04-09 2006-02-02 Nikon Corporation Immersion lithography fluid control system
US20060023183A1 (en) * 2003-04-11 2006-02-02 Nikon Corporation Liquid jet and recovery system for immersion lithography
US20060023182A1 (en) * 2003-04-10 2006-02-02 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US20060023188A1 (en) * 2003-04-07 2006-02-02 Nikon Corporation Exposure apparatus and method for manufacturing device
US20060023189A1 (en) * 2002-11-12 2006-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060023187A1 (en) * 2003-04-10 2006-02-02 Nikon Corporation Environmental system including an electro-osmotic element for an immersion lithography apparatus
US20060023181A1 (en) * 2003-04-10 2006-02-02 Nikon Corporation Run-off path to collect liquid for an immersion lithography apparatus
US20060028632A1 (en) * 2003-04-10 2006-02-09 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US20060033894A1 (en) * 2003-04-11 2006-02-16 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US20060061747A1 (en) * 2003-05-15 2006-03-23 Nikon Corporation Exposure apparatus and device manufacturing method
US20060077367A1 (en) * 2003-05-23 2006-04-13 Nikon Corporation Exposure apparatus and method for producing device
US20060082744A1 (en) * 2003-05-28 2006-04-20 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US20060092533A1 (en) * 2003-07-01 2006-05-04 Nikon Corporation Using isotopically specified fluids as optical elements
US20060098177A1 (en) * 2003-05-23 2006-05-11 Nikon Corporation Exposure method, exposure apparatus, and exposure method for producing device
US20060103832A1 (en) * 2003-07-08 2006-05-18 Nikon Corporation Wafer table for immersion lithography
US20060103944A1 (en) * 2003-07-09 2006-05-18 Nikon Corporation Coupling apparatus, exposure apparatus, and device fabricating method
US20060114445A1 (en) * 2003-06-19 2006-06-01 Nikon Corporation Exposure apparatus, and device manufacturing method
US20060119818A1 (en) * 2003-07-09 2006-06-08 Nikon Corporation Exposure apparatus and method for manufacturing device
US20060132737A1 (en) * 2003-07-28 2006-06-22 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US20060139594A1 (en) * 2003-08-29 2006-06-29 Nikon Corporation Exposure apparatus and device fabricating method
US20060139614A1 (en) * 2003-06-13 2006-06-29 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US20060154183A1 (en) * 2002-12-10 2006-07-13 Nikon Corporation Exposure apparatus and method for producing device
US20060152697A1 (en) * 2003-09-03 2006-07-13 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US20060158628A1 (en) * 2005-01-14 2006-07-20 Asml Netherlands B.V. Lithographic apparatus and device and device manufacturing method
US20060176456A1 (en) * 2003-07-09 2006-08-10 Nikon Corporation Exposure apparatus and device manufacturing method
US20060231206A1 (en) * 2003-09-19 2006-10-19 Nikon Corporation Exposure apparatus and device manufacturing method
US20060250602A1 (en) * 2003-10-08 2006-11-09 Zao Nikon Co., Ltd. Substrate carrying apparatus, exposure apparatus, and device manufacturing method
US20070002299A1 (en) * 2003-10-28 2007-01-04 Nikon Corporation Exposure apparatus, exposure method, and device fabrication method
US20070066452A1 (en) * 2005-09-22 2007-03-22 William Marshall Recliner exerciser
US20070064212A1 (en) * 2003-12-15 2007-03-22 Nikon Corporation Projection exposure apparatus and stage unit, and exposure method
US20070070316A1 (en) * 2004-01-20 2007-03-29 Albrecht Ehrmann Microlithographic projection exposure apparatus and measuring device for a projection lens
US20070076181A1 (en) * 2003-07-25 2007-04-05 Nikon Corporation Projection optical system inspecting method and inspection apparatus, and a projection optical system manufacturing method
US20070081133A1 (en) * 2004-12-14 2007-04-12 Niikon Corporation Projection exposure apparatus and stage unit, and exposure method
US20070103655A1 (en) * 2003-07-28 2007-05-10 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate
US20070110916A1 (en) * 2003-10-08 2007-05-17 Zao Nikon Co., Ltd. Substrate conveyance device and substrate conveyance method, exposure apparatus and exposure method, device manufacturing method
US20070109521A1 (en) * 2003-12-15 2007-05-17 Nikon Corporation Stage apparatus, exposure apparatus, and exposure method
US20070124987A1 (en) * 2005-12-05 2007-06-07 Brown Jeffrey K Electronic pest control apparatus
US20070128482A1 (en) * 2005-12-06 2007-06-07 Lg Electronics Inc. Power supply apparatus and method for line connection type fuel cell system
US20070171390A1 (en) * 2003-04-11 2007-07-26 Nikon Corporation Cleanup method for optics in immersion lithography
US20070222967A1 (en) * 2004-05-04 2007-09-27 Nikon Corporation Apparatus and Method for Providing Fluid for Immersion Lithography
US20070263188A1 (en) * 2004-03-25 2007-11-15 Hideaki Hara Exposure Apparatus And Device Fabrication Method
US20070263182A1 (en) * 2004-08-18 2007-11-15 Nikon Corporation Exposure Apparatus and Device Manufacturing Method
US20070268471A1 (en) * 2002-11-12 2007-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080007844A1 (en) * 2005-02-28 2008-01-10 Asml Netherlands B.V. Sensor for use in a lithographic apparatus
US7326522B2 (en) 2004-02-11 2008-02-05 Asml Netherlands B.V. Device manufacturing method and a substrate
US7394521B2 (en) 2003-12-23 2008-07-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080225246A1 (en) * 2007-03-15 2008-09-18 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
US20090056767A1 (en) * 2007-08-30 2009-03-05 Tokyo Ohka Kogyo Co., Ltd. Surface treatment apparatus
US20090244514A1 (en) * 2008-03-26 2009-10-01 Samsung Electronics Co., Ltd. Distance measuring sensors including vertical photogate and three-dimensional color image sensors including distance measuring sensors
WO2009142488A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20100037916A1 (en) * 2006-11-30 2010-02-18 Yasumasa Iwata Treatment device, treatment method, and surface treatment jig
US7684008B2 (en) 2003-06-11 2010-03-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7701550B2 (en) 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20100096412A1 (en) * 2002-04-17 2010-04-22 Rieke Corporation Pump dispensers
US20100182576A1 (en) * 2003-12-23 2010-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2010135719A1 (en) * 2009-05-22 2010-11-25 Lam Research Corporation Modifications to surface topography of proximity head
US7880860B2 (en) 2004-12-20 2011-02-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7898645B2 (en) 2003-10-08 2011-03-01 Zao Nikon Co., Ltd. Substrate transport apparatus and method, exposure apparatus and exposure method, and device fabricating method
US7907255B2 (en) 2003-08-29 2011-03-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20110092076A1 (en) * 2008-05-19 2011-04-21 E.I. Du Pont De Nemours And Company Apparatus and method of vapor coating in an electronic device
US20110104375A1 (en) * 2006-03-03 2011-05-05 Craig Waldron Biocide composition comprising pyrithione and pyrrole derivatives
US7990516B2 (en) 2004-02-03 2011-08-02 Nikon Corporation Immersion exposure apparatus and device manufacturing method with liquid detection apparatus
US8039807B2 (en) 2003-09-29 2011-10-18 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8045137B2 (en) 2004-12-07 2011-10-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8045136B2 (en) 2004-02-02 2011-10-25 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US8054447B2 (en) 2003-12-03 2011-11-08 Nikon Corporation Exposure apparatus, exposure method, method for producing device, and optical part
US8120763B2 (en) 2002-12-20 2012-02-21 Carl Zeiss Smt Gmbh Device and method for the optical measurement of an optical system by using an immersion fluid
US8130361B2 (en) 2003-10-09 2012-03-06 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8154708B2 (en) 2003-06-09 2012-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8218125B2 (en) 2003-07-28 2012-07-10 Asml Netherlands B.V. Immersion lithographic apparatus with a projection system having an isolated or movable part
USRE43576E1 (en) 2005-04-08 2012-08-14 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
US20120240963A1 (en) * 2009-05-29 2012-09-27 Lam Research Corp. Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US8322045B2 (en) 2002-06-13 2012-12-04 Applied Materials, Inc. Single wafer apparatus for drying semiconductor substrates using an inert gas air-knife
US8363206B2 (en) 2006-05-09 2013-01-29 Carl Zeiss Smt Gmbh Optical imaging device with thermal attenuation
US8384874B2 (en) 2004-07-12 2013-02-26 Nikon Corporation Immersion exposure apparatus and device manufacturing method to detect if liquid on base member
US8520184B2 (en) 2004-06-09 2013-08-27 Nikon Corporation Immersion exposure apparatus and device manufacturing method with measuring device
US8547519B2 (en) 2003-11-14 2013-10-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8654305B2 (en) 2007-02-15 2014-02-18 Asml Holding N.V. Systems and methods for insitu lens cleaning in immersion lithography
US8692973B2 (en) 2005-01-31 2014-04-08 Nikon Corporation Exposure apparatus and method for producing device
US8817226B2 (en) 2007-02-15 2014-08-26 Asml Holding N.V. Systems and methods for insitu lens cleaning using ozone in immersion lithography
US20140251382A1 (en) * 2008-11-26 2014-09-11 Lam Research Corporation Methods for Confinement of Foam Delivered by a Proximity Head
US8941810B2 (en) 2005-12-30 2015-01-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9176393B2 (en) 2008-05-28 2015-11-03 Asml Netherlands B.V. Lithographic apparatus and a method of operating the apparatus
US20150376787A1 (en) * 2014-06-25 2015-12-31 Universal Display Corporation Spatial control of vapor condensation using convection
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9256136B2 (en) 2010-04-22 2016-02-09 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method involving gas supply
US9429495B2 (en) 2004-06-04 2016-08-30 Carl Zeiss Smt Gmbh System for measuring the image quality of an optical imaging system
US9482966B2 (en) 2002-11-12 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9746781B2 (en) 2005-01-31 2017-08-29 Nikon Corporation Exposure apparatus and method for producing device
US10503084B2 (en) 2002-11-12 2019-12-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US11143968B2 (en) * 2008-07-25 2021-10-12 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11591686B2 (en) 2014-06-25 2023-02-28 Universal Display Corporation Methods of modulating flow during vapor jet deposition of organic materials

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7389783B2 (en) 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7297190B1 (en) * 2006-06-28 2007-11-20 Lam Research Corporation Plating solutions for electroless deposition of copper
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8069813B2 (en) * 2007-04-16 2011-12-06 Lam Research Corporation Wafer electroless plating system and associated methods
US8844461B2 (en) * 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods
JP5000124B2 (en) * 2004-11-12 2012-08-15 三星電子株式会社 Display device and driving method thereof
KR100697288B1 (en) * 2005-07-20 2007-03-20 삼성전자주식회사 Apparatus for drying semiconductor substrates
US7503977B1 (en) * 2005-09-27 2009-03-17 Lam Research Corporation Solidifying layer for wafer cleaning
US8127395B2 (en) * 2006-05-05 2012-03-06 Lam Research Corporation Apparatus for isolated bevel edge clean and method for using the same
US7752996B2 (en) * 2006-05-11 2010-07-13 Lam Research Corporation Apparatus for applying a plating solution for electroless deposition
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
JP4763563B2 (en) * 2006-09-20 2011-08-31 大日本スクリーン製造株式会社 Substrate processing method
US7811423B2 (en) * 2006-10-06 2010-10-12 Lam Research Corporation Proximity processing using controlled batch volume with an integrated proximity head
US9632425B2 (en) 2006-12-07 2017-04-25 Asml Holding N.V. Lithographic apparatus, a dryer and a method of removing liquid from a surface
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20080149147A1 (en) * 2006-12-22 2008-06-26 Lam Research Proximity head with configurable delivery
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8464736B1 (en) * 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
JP4910899B2 (en) * 2007-06-14 2012-04-04 東ソー株式会社 Processing head and processing method using this processing head
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
US8226775B2 (en) * 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US7998304B2 (en) * 2007-12-20 2011-08-16 Lam Research Corporation Methods of configuring a proximity head that provides uniform fluid flow relative to a wafer
JP5312477B2 (en) * 2007-12-20 2013-10-09 ラム リサーチ コーポレーション Method for constructing a proximity head that provides uniform fluid flow to a wafer
SG188086A1 (en) * 2008-02-08 2013-03-28 Lam Res Corp Apparatus for substantially uniform fluid flow rates relative to a proximity head in processing of a wafer surface by a meniscus
ITMI20100407A1 (en) 2010-03-12 2011-09-13 Rise Technology S R L PHOTO-VOLTAIC CELL WITH REGIONS OF POROUS SEMICONDUCTOR FOR ANCHORING CONTACT TERMINALS
US8858755B2 (en) * 2011-08-26 2014-10-14 Tel Nexx, Inc. Edge bevel removal apparatus and method
US11728185B2 (en) 2021-01-05 2023-08-15 Applied Materials, Inc. Steam-assisted single substrate cleaning process and apparatus

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4444492A (en) * 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US5102494A (en) * 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5180431A (en) * 1989-06-14 1993-01-19 Dainippon Screen Mfg. Co., Ltd. Apparatus for applying liquid agent on surface of rotating substrate
US5271774A (en) * 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5893004A (en) * 1997-02-19 1999-04-06 Nec Corporation Developing unit
US5945351A (en) * 1996-05-31 1999-08-31 Siemens Aktiengesellschaft Method for etching damaged zones on an edge of a semiconductor substrate, and etching system
US5997653A (en) * 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
US6108932A (en) * 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US6417117B1 (en) * 1996-06-21 2002-07-09 Micron Technology, Inc. Spin coating spindle and chuck assembly
US6491764B2 (en) * 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
JPS5852034B2 (en) 1981-08-26 1983-11-19 株式会社ソニツクス Partial plating method and device
JPH0712035B2 (en) 1989-04-20 1995-02-08 三菱電機株式会社 Jet type liquid treatment device
JPH02309638A (en) 1989-05-24 1990-12-25 Fujitsu Ltd Wafer etching device
US5343234A (en) 1991-11-15 1994-08-30 Kuehnle Manfred R Digital color proofing system and method for offset and gravure printing
US5807522A (en) 1994-06-17 1998-09-15 The Board Of Trustees Of The Leland Stanford Junior University Methods for fabricating microarrays of biological samples
KR100259316B1 (en) 1994-06-30 2000-06-15 데이비드 엠 모이어 Fluid transport webs exhibiting surface energy gradients
JPH08277486A (en) 1995-04-04 1996-10-22 Dainippon Printing Co Ltd Plating device for lead frame
TW386235B (en) 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5660642A (en) 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5975098A (en) 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
DE19646006C2 (en) 1996-11-07 2000-04-06 Hideyuki Kobayashi Quick plating nozzle with a plating solution blasting and suction function
JPH1133506A (en) 1997-07-24 1999-02-09 Tadahiro Omi Fluid treatment device and cleaning treatment system
JP4616948B2 (en) 1997-09-24 2011-01-19 アイメック Method and apparatus for removing liquid from the surface of a rotating substrate
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
JP4017680B2 (en) 1997-09-24 2007-12-05 アンテルユニヴェルシテール・ミクロ―エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ Method and apparatus for removing liquid from a surface
EP1055020A2 (en) 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
JPH11350169A (en) 1998-06-10 1999-12-21 Chemitoronics Co Wet etching apparatus and wet etching method
US6132586A (en) 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US6341998B1 (en) 1999-11-04 2002-01-29 Vlsi Technology, Inc. Integrated circuit (IC) plating deposition system and method
JP2004515053A (en) 2000-06-26 2004-05-20 アプライド マテリアルズ インコーポレイテッド Wafer cleaning method and apparatus
US6555017B1 (en) 2000-10-13 2003-04-29 The Regents Of The University Of Caliofornia Surface contouring by controlled application of processing fluid using Marangoni effect
US6531206B2 (en) 2001-02-07 2003-03-11 3M Innovative Properties Company Microstructured surface film assembly for liquid acquisition and transport
DE60218163T2 (en) 2001-06-12 2007-11-22 Akrion Technologies Inc., Wilmington MEGA-CHANNEL CLEANING AND DRYING DEVICE
JP4003441B2 (en) * 2001-11-08 2007-11-07 セイコーエプソン株式会社 Surface treatment apparatus and surface treatment method
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
WO2004030052A2 (en) * 2002-09-30 2004-04-08 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4444492A (en) * 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US5180431A (en) * 1989-06-14 1993-01-19 Dainippon Screen Mfg. Co., Ltd. Apparatus for applying liquid agent on surface of rotating substrate
US5271774A (en) * 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5102494A (en) * 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5945351A (en) * 1996-05-31 1999-08-31 Siemens Aktiengesellschaft Method for etching damaged zones on an edge of a semiconductor substrate, and etching system
US6417117B1 (en) * 1996-06-21 2002-07-09 Micron Technology, Inc. Spin coating spindle and chuck assembly
US5997653A (en) * 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
US5893004A (en) * 1997-02-19 1999-04-06 Nec Corporation Developing unit
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US6491764B2 (en) * 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
US6108932A (en) * 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying

Cited By (594)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100096412A1 (en) * 2002-04-17 2010-04-22 Rieke Corporation Pump dispensers
US8322045B2 (en) 2002-06-13 2012-12-04 Applied Materials, Inc. Single wafer apparatus for drying semiconductor substrates using an inert gas air-knife
US9091940B2 (en) 2002-11-12 2015-07-28 Asml Netherlands B.V. Lithographic apparatus and method involving a fluid inlet and a fluid outlet
US10788755B2 (en) 2002-11-12 2020-09-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9482966B2 (en) 2002-11-12 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9366972B2 (en) 2002-11-12 2016-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7388648B2 (en) 2002-11-12 2008-06-17 Asml Netherlands B.V. Lithographic projection apparatus
US10620545B2 (en) 2002-11-12 2020-04-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9740107B2 (en) 2002-11-12 2017-08-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10503084B2 (en) 2002-11-12 2019-12-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060023189A1 (en) * 2002-11-12 2006-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070268471A1 (en) * 2002-11-12 2007-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10261428B2 (en) 2002-11-12 2019-04-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9057967B2 (en) 2002-11-12 2015-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10222706B2 (en) 2002-11-12 2019-03-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8472002B2 (en) 2002-11-12 2013-06-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8558989B2 (en) 2002-11-12 2013-10-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10962891B2 (en) 2002-11-12 2021-03-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7982850B2 (en) 2002-11-12 2011-07-19 Asml Netherlands B.V. Immersion lithographic apparatus and device manufacturing method with gas supply
US8208120B2 (en) 2002-11-12 2012-06-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10191389B2 (en) 2002-11-12 2019-01-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8797503B2 (en) 2002-11-12 2014-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with a liquid inlet above an aperture of a liquid confinement structure
US20070115448A1 (en) * 2002-12-10 2007-05-24 Nikon Corporation Exposure apparatus and device manufacturing method
US20090079950A1 (en) * 2002-12-10 2009-03-26 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20050219489A1 (en) * 2002-12-10 2005-10-06 Nikon Corporation Exposure apparatus and method for producing device
US20050219488A1 (en) * 2002-12-10 2005-10-06 Nikon Corporation Exposure apparatus and method for producing device
US20070263196A1 (en) * 2002-12-10 2007-11-15 Nikon Corporation Exposure apparatus and device manufacturing method
US7911582B2 (en) 2002-12-10 2011-03-22 Nikon Corporation Exposure apparatus and device manufacturing method
US20070258064A1 (en) * 2002-12-10 2007-11-08 Nikon Corporation Exposure apparatus and device manufacturing method
US20070258063A1 (en) * 2002-12-10 2007-11-08 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20050219490A1 (en) * 2002-12-10 2005-10-06 Nikon Corporation Exposure apparatus and method for producing device
US7379158B2 (en) 2002-12-10 2008-05-27 Nikon Corporation Exposure apparatus and method for producing device
US20110051106A1 (en) * 2002-12-10 2011-03-03 Nikon Corporation Exposure apparatus and device manufacturing method
US20080151203A1 (en) * 2002-12-10 2008-06-26 Nikon Corporation Exposure apparatus and device manufacturing method
US8034539B2 (en) 2002-12-10 2011-10-11 Nikon Corporation Exposure apparatus and method for producing device
US20070171391A1 (en) * 2002-12-10 2007-07-26 Nikon Corporation Exposure apparatus and method for producing device
US20060098178A1 (en) * 2002-12-10 2006-05-11 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7948604B2 (en) 2002-12-10 2011-05-24 Nikon Corporation Exposure apparatus and method for producing device
US7436486B2 (en) 2002-12-10 2008-10-14 Nikon Corporation Exposure apparatus and device manufacturing method
US7242455B2 (en) 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
US7834976B2 (en) 2002-12-10 2010-11-16 Nikon Corporation Exposure apparatus and method for producing device
US20060119820A1 (en) * 2002-12-10 2006-06-08 Nikon Corporation Exposure apparatus and device manufacturing method
US7817244B2 (en) 2002-12-10 2010-10-19 Nikon Corporation Exposure apparatus and method for producing device
US20060126043A1 (en) * 2002-12-10 2006-06-15 Nikon Corporation Exposure apparatus and method for producing device
US20060126044A1 (en) * 2002-12-10 2006-06-15 Nikon Corporation Exposure apparatus and method for producing device
US7436487B2 (en) 2002-12-10 2008-10-14 Nikon Corporation Exposure apparatus and method for producing device
US20060132736A1 (en) * 2002-12-10 2006-06-22 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20060132738A1 (en) * 2002-12-10 2006-06-22 Nikon Corporation Exposure apparatus and device manufacturing method
US7446851B2 (en) 2002-12-10 2008-11-04 Nikon Corporation Exposure apparatus and device manufacturing method
US20070115447A1 (en) * 2002-12-10 2007-05-24 Nikon Corporation Exposure apparatus and device manufacturing method
US20050237504A1 (en) * 2002-12-10 2005-10-27 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7639343B2 (en) 2002-12-10 2009-12-29 Nikon Corporation Exposure apparatus and device manufacturing method
US8294876B2 (en) 2002-12-10 2012-10-23 Nikon Corporation Exposure apparatus and device manufacturing method
US7460207B2 (en) 2002-12-10 2008-12-02 Nikon Corporation Exposure apparatus and method for producing device
US20060154183A1 (en) * 2002-12-10 2006-07-13 Nikon Corporation Exposure apparatus and method for producing device
US8004650B2 (en) 2002-12-10 2011-08-23 Nikon Corporation Exposure apparatus and device manufacturing method
US7589820B2 (en) 2002-12-10 2009-09-15 Nikon Corporation Exposure apparatus and method for producing device
US20060164615A1 (en) * 2002-12-10 2006-07-27 Nikon Corporation Exposure apparatus and device manufacturing method
US7589821B2 (en) 2002-12-10 2009-09-15 Nikon Corporation Exposure apparatus and device manufacturing method
US20050264774A1 (en) * 2002-12-10 2005-12-01 Nikon Corporation Exposure apparatus and method for producing device
US7466392B2 (en) 2002-12-10 2008-12-16 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20060250596A1 (en) * 2002-12-10 2006-11-09 Nikon Corporation Exposure apparatus and method for producing device
US8089611B2 (en) 2002-12-10 2012-01-03 Nikon Corporation Exposure apparatus and method for producing device
US7505111B2 (en) 2002-12-10 2009-03-17 Nikon Corporation Exposure apparatus and device manufacturing method
US20060274294A1 (en) * 2002-12-10 2006-12-07 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7515246B2 (en) 2002-12-10 2009-04-07 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20070024832A1 (en) * 2002-12-10 2007-02-01 Nikon Corporation Exposure apparatus and method for producing device
US20070035711A1 (en) * 2002-12-10 2007-02-15 Nikon Corporation Exposure apparatus and method for producing device
US20070035710A1 (en) * 2002-12-10 2007-02-15 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20050259234A1 (en) * 2002-12-10 2005-11-24 Nikon Corporation Exposure apparatus and device manufacturing method
US8836929B2 (en) 2002-12-20 2014-09-16 Carl Zeiss Smt Gmbh Device and method for the optical measurement of an optical system by using an immersion fluid
US8120763B2 (en) 2002-12-20 2012-02-21 Carl Zeiss Smt Gmbh Device and method for the optical measurement of an optical system by using an immersion fluid
US20070258067A1 (en) * 2003-02-26 2007-11-08 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20070263186A1 (en) * 2003-02-26 2007-11-15 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US9182684B2 (en) 2003-02-26 2015-11-10 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US9348239B2 (en) 2003-02-26 2016-05-24 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7932991B2 (en) 2003-02-26 2011-04-26 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7268854B2 (en) 2003-02-26 2007-09-11 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7542128B2 (en) 2003-02-26 2009-06-02 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20050280791A1 (en) * 2003-02-26 2005-12-22 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7907253B2 (en) 2003-02-26 2011-03-15 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US9766555B2 (en) 2003-02-26 2017-09-19 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8736809B2 (en) 2003-02-26 2014-05-27 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7453550B2 (en) 2003-02-26 2008-11-18 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7535550B2 (en) 2003-02-26 2009-05-19 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7907254B2 (en) 2003-02-26 2011-03-15 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7911583B2 (en) 2003-02-26 2011-03-22 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8102504B2 (en) 2003-02-26 2012-01-24 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20070263183A1 (en) * 2003-02-26 2007-11-15 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20070258065A1 (en) * 2003-02-26 2007-11-08 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US10180632B2 (en) 2003-02-26 2019-01-15 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7916272B2 (en) 2003-03-25 2011-03-29 Nikon Corporation Exposure apparatus and device fabrication method
US20060268249A1 (en) * 2003-03-25 2006-11-30 Nikon Corporation Exposure apparatus and device fabrication method
US8018570B2 (en) 2003-03-25 2011-09-13 Nikon Corporation Exposure apparatus and device fabrication method
US8804095B2 (en) 2003-03-25 2014-08-12 Nikon Corporation Exposure apparatus and device fabrication method
US20060012765A1 (en) * 2003-03-25 2006-01-19 Nikon Corporation Exposure apparatus and device fabrication method
US20070109516A1 (en) * 2003-03-25 2007-05-17 Nikon Corporation Exposure apparatus and device fabrication method
US7471371B2 (en) 2003-03-25 2008-12-30 Nikon Corporation Exposure apparatus and device fabrication method
US8558987B2 (en) 2003-03-25 2013-10-15 Nikon Corporation Exposure apparatus and device fabrication method
US8537331B2 (en) 2003-04-07 2013-09-17 Nikon Corporation Exposure apparatus and method for manufacturing device
US20060033901A1 (en) * 2003-04-07 2006-02-16 Nikon Corporation Exposure apparatus and method for manufacturing device
US7480029B2 (en) 2003-04-07 2009-01-20 Nikon Corporation Exposure apparatus and method for manufacturing device
US8111375B2 (en) 2003-04-07 2012-02-07 Nikon Corporation Exposure apparatus and method for manufacturing device
US20080291410A1 (en) * 2003-04-07 2008-11-27 Nikon Corporation Exposure apparatus and method for manufacturing device
US20060023188A1 (en) * 2003-04-07 2006-02-02 Nikon Corporation Exposure apparatus and method for manufacturing device
US20060023184A1 (en) * 2003-04-09 2006-02-02 Nikon Corporation Immersion lithography fluid control system
US9618852B2 (en) 2003-04-09 2017-04-11 Nikon Corporation Immersion lithography fluid control system regulating flow velocity of gas based on position of gas outlets
US20070263184A1 (en) * 2003-04-09 2007-11-15 Nikon Corporation Immersion lithography fluid control system
US8102501B2 (en) 2003-04-09 2012-01-24 Nikon Corporation Immersion lithography fluid control system using an electric or magnetic field generator
US8797500B2 (en) 2003-04-09 2014-08-05 Nikon Corporation Immersion lithography fluid control system changing flow velocity of gas outlets based on motion of a surface
US20070268468A1 (en) * 2003-04-09 2007-11-22 Nikon Corporation Immersion lithography fluid control system
US8497973B2 (en) 2003-04-09 2013-07-30 Nikon Corporation Immersion lithography fluid control system regulating gas velocity based on contact angle
US20070115453A1 (en) * 2003-04-09 2007-05-24 Nikon Corporation Immersion lithography fluid control system
US20090075211A1 (en) * 2003-04-09 2009-03-19 Nikon Corporation Immersion lithography fluid control system
US7339650B2 (en) 2003-04-09 2008-03-04 Nikon Corporation Immersion lithography fluid control system that applies force to confine the immersion liquid
US8456610B2 (en) 2003-04-10 2013-06-04 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US9632427B2 (en) 2003-04-10 2017-04-25 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US9007561B2 (en) 2003-04-10 2015-04-14 Nikon Corporation Immersion lithography apparatus with hydrophilic region encircling hydrophobic region which encircles substrate support
US7321415B2 (en) 2003-04-10 2008-01-22 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US8836914B2 (en) 2003-04-10 2014-09-16 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US9910370B2 (en) 2003-04-10 2018-03-06 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US8830443B2 (en) 2003-04-10 2014-09-09 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US7969552B2 (en) 2003-04-10 2011-06-28 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US20070247603A1 (en) * 2003-04-10 2007-10-25 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US7345742B2 (en) 2003-04-10 2008-03-18 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US7965376B2 (en) 2003-04-10 2011-06-21 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US20060023182A1 (en) * 2003-04-10 2006-02-02 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US7355676B2 (en) 2003-04-10 2008-04-08 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US8810768B2 (en) 2003-04-10 2014-08-19 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US9244363B2 (en) 2003-04-10 2016-01-26 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US8089610B2 (en) 2003-04-10 2012-01-03 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US20060114435A1 (en) * 2003-04-10 2006-06-01 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US9977350B2 (en) 2003-04-10 2018-05-22 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US20060033899A1 (en) * 2003-04-10 2006-02-16 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US20060023187A1 (en) * 2003-04-10 2006-02-02 Nikon Corporation Environmental system including an electro-osmotic element for an immersion lithography apparatus
US7251017B2 (en) 2003-04-10 2007-07-31 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US9244362B2 (en) 2003-04-10 2016-01-26 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US7397532B2 (en) 2003-04-10 2008-07-08 Nikon Corporation Run-off path to collect liquid for an immersion lithography apparatus
US20070103662A1 (en) * 2003-04-10 2007-05-10 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US20060028632A1 (en) * 2003-04-10 2006-02-09 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US7456930B2 (en) 2003-04-10 2008-11-25 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US7929110B2 (en) 2003-04-10 2011-04-19 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US7929111B2 (en) 2003-04-10 2011-04-19 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US20060023181A1 (en) * 2003-04-10 2006-02-02 Nikon Corporation Run-off path to collect liquid for an immersion lithography apparatus
US20080239261A1 (en) * 2003-04-10 2008-10-02 Nikon Corporation Run-off path to collect liquid for an immersion lithography apparatus
US8243253B2 (en) 2003-04-10 2012-08-14 Nikon Corporation Lyophobic run-off path to collect liquid for an immersion lithography apparatus
US20070139631A1 (en) * 2003-04-10 2007-06-21 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
US9658537B2 (en) 2003-04-10 2017-05-23 Nikon Corporation Environmental system including vacuum scavenge for an immersion lithography apparatus
US8634057B2 (en) 2003-04-11 2014-01-21 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US7372538B2 (en) 2003-04-11 2008-05-13 Nikon Corporation Apparatus and method for maintaining immerison fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US8493545B2 (en) 2003-04-11 2013-07-23 Nikon Corporation Cleanup method for optics in immersion lithography supplying cleaning liquid onto a surface of object below optical element, liquid supply port and liquid recovery port
US20070171390A1 (en) * 2003-04-11 2007-07-26 Nikon Corporation Cleanup method for optics in immersion lithography
US9304409B2 (en) 2003-04-11 2016-04-05 Nikon Corporation Liquid jet and recovery system for immersion lithography
US8670104B2 (en) 2003-04-11 2014-03-11 Nikon Corporation Cleanup method for optics in immersion lithography with cleaning liquid opposed by a surface of object
US9785057B2 (en) 2003-04-11 2017-10-10 Nikon Corporation Liquid jet and recovery system for immersion lithography
US8670103B2 (en) 2003-04-11 2014-03-11 Nikon Corporation Cleanup method for optics in immersion lithography using bubbles
US20060033894A1 (en) * 2003-04-11 2006-02-16 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US9329493B2 (en) 2003-04-11 2016-05-03 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US7932989B2 (en) 2003-04-11 2011-04-26 Nikon Corporation Liquid jet and recovery system for immersion lithography
US8035795B2 (en) 2003-04-11 2011-10-11 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the protection lens during wafer exchange in an immersion lithography machine
US20110031416A1 (en) * 2003-04-11 2011-02-10 Nikon Corporation Liquid jet and recovery system for immersion lithography
US8269946B2 (en) 2003-04-11 2012-09-18 Nikon Corporation Cleanup method for optics in immersion lithography supplying cleaning liquid at different times than immersion liquid
US8269944B2 (en) 2003-04-11 2012-09-18 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US20070216886A1 (en) * 2003-04-11 2007-09-20 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US20070247601A1 (en) * 2003-04-11 2007-10-25 Nikon Corporation Cleanup method for optics in immersion lithography
US7443482B2 (en) 2003-04-11 2008-10-28 Nikon Corporation Liquid jet and recovery system for immersion lithography
US8085381B2 (en) 2003-04-11 2011-12-27 Nikon Corporation Cleanup method for optics in immersion lithography using sonic device
US10185222B2 (en) 2003-04-11 2019-01-22 Nikon Corporation Liquid jet and recovery system for immersion lithography
US8488100B2 (en) 2003-04-11 2013-07-16 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US8610875B2 (en) 2003-04-11 2013-12-17 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US8351019B2 (en) 2003-04-11 2013-01-08 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US7327435B2 (en) 2003-04-11 2008-02-05 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US20060023183A1 (en) * 2003-04-11 2006-02-02 Nikon Corporation Liquid jet and recovery system for immersion lithography
US8514367B2 (en) 2003-04-11 2013-08-20 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US8848168B2 (en) 2003-04-11 2014-09-30 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US8848166B2 (en) 2003-04-11 2014-09-30 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US8879047B2 (en) 2003-04-11 2014-11-04 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens using a pad member or second stage during wafer exchange in an immersion lithography machine
US7522259B2 (en) 2003-04-11 2009-04-21 Nikon Corporation Cleanup method for optics in immersion lithography
US9500960B2 (en) 2003-04-11 2016-11-22 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US8059258B2 (en) 2003-04-11 2011-11-15 Nikon Corporation Liquid jet and recovery system for immersion lithography
US9081298B2 (en) 2003-04-11 2015-07-14 Nikon Corporation Apparatus for maintaining immersion fluid in the gap under the projection lens during wafer exchange using a co-planar member in an immersion lithography machine
US9946163B2 (en) 2003-04-11 2018-04-17 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US9958786B2 (en) 2003-04-11 2018-05-01 Nikon Corporation Cleanup method for optics in immersion lithography using object on wafer holder in place of wafer
US7545479B2 (en) 2003-04-11 2009-06-09 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US7570431B2 (en) 2003-04-17 2009-08-04 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US8953250B2 (en) 2003-04-17 2015-02-10 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US8018657B2 (en) 2003-04-17 2011-09-13 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US20070076303A1 (en) * 2003-04-17 2007-04-05 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US8810915B2 (en) 2003-04-17 2014-08-19 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US20060017900A1 (en) * 2003-04-17 2006-01-26 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US8094379B2 (en) 2003-04-17 2012-01-10 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US8599488B2 (en) 2003-04-17 2013-12-03 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US7414794B2 (en) 2003-04-17 2008-08-19 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US9086636B2 (en) 2003-04-17 2015-07-21 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US20090317751A1 (en) * 2003-04-17 2009-12-24 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
US9477160B2 (en) 2003-05-13 2016-10-25 Asml Netherland B.V. Lithographic apparatus and device manufacturing method
US10466595B2 (en) 2003-05-13 2019-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050007569A1 (en) * 2003-05-13 2005-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8964164B2 (en) 2003-05-13 2015-02-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8724084B2 (en) 2003-05-13 2014-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7936444B2 (en) 2003-05-13 2011-05-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7352434B2 (en) 2003-05-13 2008-04-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080218717A1 (en) * 2003-05-13 2008-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9798246B2 (en) 2003-05-13 2017-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20110181859A1 (en) * 2003-05-13 2011-07-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8724083B2 (en) 2003-05-13 2014-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7385674B2 (en) 2003-05-15 2008-06-10 Nikon Corporation Exposure apparatus and device manufacturing method
US20060061747A1 (en) * 2003-05-15 2006-03-23 Nikon Corporation Exposure apparatus and device manufacturing method
US20060152698A1 (en) * 2003-05-15 2006-07-13 Nikon Corporation Exposure apparatus and device manufacturing method
US7359034B2 (en) 2003-05-15 2008-04-15 Nikon Corporation Exposure apparatus and device manufacturing method
US7399979B2 (en) 2003-05-23 2008-07-15 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US20070121089A1 (en) * 2003-05-23 2007-05-31 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8169592B2 (en) 2003-05-23 2012-05-01 Nikon Corporation Exposure apparatus and method for producing device
US8072576B2 (en) 2003-05-23 2011-12-06 Nikon Corporation Exposure apparatus and method for producing device
US9977336B2 (en) 2003-05-23 2018-05-22 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8780327B2 (en) 2003-05-23 2014-07-15 Nikon Corporation Exposure apparatus and method for producing device
US20060098177A1 (en) * 2003-05-23 2006-05-11 Nikon Corporation Exposure method, exposure apparatus, and exposure method for producing device
US8760617B2 (en) 2003-05-23 2014-06-24 Nikon Corporation Exposure apparatus and method for producing device
US7495744B2 (en) 2003-05-23 2009-02-24 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US9354525B2 (en) 2003-05-23 2016-05-31 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8472001B2 (en) 2003-05-23 2013-06-25 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8488108B2 (en) 2003-05-23 2013-07-16 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8174668B2 (en) 2003-05-23 2012-05-08 Nikon Corporation Exposure apparatus and method for producing device
US20090009745A1 (en) * 2003-05-23 2009-01-08 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US9939739B2 (en) 2003-05-23 2018-04-10 Nikon Corporation Exposure apparatus and method for producing device
US20060077367A1 (en) * 2003-05-23 2006-04-13 Nikon Corporation Exposure apparatus and method for producing device
US8384877B2 (en) 2003-05-23 2013-02-26 Nikon Corporation Exposure apparatus and method for producing device
US7388649B2 (en) 2003-05-23 2008-06-17 Nikon Corporation Exposure apparatus and method for producing device
US9304392B2 (en) 2003-05-23 2016-04-05 Nikon Corporation Exposure apparatus and method for producing device
US9933708B2 (en) 2003-05-23 2018-04-03 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8125612B2 (en) 2003-05-23 2012-02-28 Nikon Corporation Exposure apparatus and method for producing device
US8134682B2 (en) 2003-05-23 2012-03-13 Nikon Corporation Exposure apparatus and method for producing device
US9285684B2 (en) 2003-05-23 2016-03-15 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8421992B2 (en) 2003-05-28 2013-04-16 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US7483117B2 (en) 2003-05-28 2009-01-27 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US10082739B2 (en) 2003-05-28 2018-09-25 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US9488920B2 (en) 2003-05-28 2016-11-08 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8711324B2 (en) 2003-05-28 2014-04-29 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US20080309896A1 (en) * 2003-05-28 2008-12-18 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8233133B2 (en) 2003-05-28 2012-07-31 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US20060082744A1 (en) * 2003-05-28 2006-04-20 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US20060098179A1 (en) * 2003-05-28 2006-05-11 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US20090104568A1 (en) * 2003-05-28 2009-04-23 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US10180629B2 (en) 2003-06-09 2019-01-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9152058B2 (en) 2003-06-09 2015-10-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method involving a member and a fluid opening
US8482845B2 (en) 2003-06-09 2013-07-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8154708B2 (en) 2003-06-09 2012-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9081299B2 (en) 2003-06-09 2015-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method involving removal of liquid entering a gap
US9541843B2 (en) 2003-06-09 2017-01-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method involving a sensor detecting a radiation beam through liquid
US10678139B2 (en) 2003-06-09 2020-06-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9110389B2 (en) 2003-06-11 2015-08-18 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8363208B2 (en) 2003-06-11 2013-01-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9964858B2 (en) 2003-06-11 2018-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7684008B2 (en) 2003-06-11 2010-03-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9268237B2 (en) 2003-06-13 2016-02-23 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US8040491B2 (en) 2003-06-13 2011-10-18 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US8208117B2 (en) 2003-06-13 2012-06-26 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US20060139614A1 (en) * 2003-06-13 2006-06-29 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US20060227312A1 (en) * 2003-06-13 2006-10-12 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US9846371B2 (en) 2003-06-13 2017-12-19 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US8384880B2 (en) 2003-06-13 2013-02-26 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US20090015816A1 (en) * 2003-06-13 2009-01-15 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US7483119B2 (en) 2003-06-13 2009-01-27 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US9019467B2 (en) 2003-06-13 2015-04-28 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
US7321419B2 (en) 2003-06-19 2008-01-22 Nikon Corporation Exposure apparatus, and device manufacturing method
US8018575B2 (en) 2003-06-19 2011-09-13 Nikon Corporation Exposure apparatus, and device manufacturing method
US9001307B2 (en) 2003-06-19 2015-04-07 Nikon Corporation Exposure apparatus and device manufacturing method
US7812925B2 (en) 2003-06-19 2010-10-12 Nikon Corporation Exposure apparatus, and device manufacturing method
US9019473B2 (en) 2003-06-19 2015-04-28 Nikon Corporation Exposure apparatus and device manufacturing method
US9025129B2 (en) 2003-06-19 2015-05-05 Nikon Corporation Exposure apparatus, and device manufacturing method
US10191388B2 (en) 2003-06-19 2019-01-29 Nikon Corporation Exposure apparatus, and device manufacturing method
US7486385B2 (en) 2003-06-19 2009-02-03 Nikon Corporation Exposure apparatus, and device manufacturing method
US20060114445A1 (en) * 2003-06-19 2006-06-01 Nikon Corporation Exposure apparatus, and device manufacturing method
US8767177B2 (en) 2003-06-19 2014-07-01 Nikon Corporation Exposure apparatus, and device manufacturing method
US8724085B2 (en) 2003-06-19 2014-05-13 Nikon Corporation Exposure apparatus, and device manufacturing method
US9274437B2 (en) 2003-06-19 2016-03-01 Nikon Corporation Exposure apparatus and device manufacturing method
US8436978B2 (en) 2003-06-19 2013-05-07 Nikon Corporation Exposure apparatus, and device manufacturing method
US8319941B2 (en) 2003-06-19 2012-11-27 Nikon Corporation Exposure apparatus, and device manufacturing method
US8436979B2 (en) 2003-06-19 2013-05-07 Nikon Corporation Exposure apparatus, and device manufacturing method
US20060132739A1 (en) * 2003-06-19 2006-06-22 Nikon Corporation Exposure apparatus, and device manufacturing method
US9551943B2 (en) 2003-06-19 2017-01-24 Nikon Corporation Exposure apparatus and device manufacturing method
US8830445B2 (en) 2003-06-19 2014-09-09 Nikon Corporation Exposure apparatus, and device manufacturing method
US8717537B2 (en) 2003-06-19 2014-05-06 Nikon Corporation Exposure apparatus, and device manufacturing method
US8692976B2 (en) 2003-06-19 2014-04-08 Nikon Corporation Exposure apparatus, and device manufacturing method
US10007188B2 (en) 2003-06-19 2018-06-26 Nikon Corporation Exposure apparatus and device manufacturing method
US9810995B2 (en) 2003-06-19 2017-11-07 Nikon Corporation Exposure apparatus and device manufacturing method
US8027027B2 (en) 2003-06-19 2011-09-27 Nikon Corporation Exposure apparatus, and device manufacturing method
US8705001B2 (en) 2003-06-19 2014-04-22 Nikon Corporation Exposure apparatus, and device manufacturing method
US20070064214A1 (en) * 2003-06-19 2007-03-22 Nikon Corporation Exposure apparatus, and device manufacturing method
US20070195302A1 (en) * 2003-07-01 2007-08-23 Nikon Corporation Using isotopically specified fluids as optical elements
US20070053090A1 (en) * 2003-07-01 2007-03-08 Nikon Corporation Using isotopically specified fluids as optical elements
US7224435B2 (en) 2003-07-01 2007-05-29 Nikon Corporation Using isotopically specified fluids as optical elements
US7236232B2 (en) 2003-07-01 2007-06-26 Nikon Corporation Using isotopically specified fluids as optical elements
US20060092533A1 (en) * 2003-07-01 2006-05-04 Nikon Corporation Using isotopically specified fluids as optical elements
US20070076182A1 (en) * 2003-07-08 2007-04-05 Nikon Corporation Wafer table for immersion lithography
US7301607B2 (en) 2003-07-08 2007-11-27 Nikon Corporation Wafer table for immersion lithography
US8508718B2 (en) 2003-07-08 2013-08-13 Nikon Corporation Wafer table having sensor for immersion lithography
US7486380B2 (en) 2003-07-08 2009-02-03 Nikon Corporation Wafer table for immersion lithography
US20060103832A1 (en) * 2003-07-08 2006-05-18 Nikon Corporation Wafer table for immersion lithography
US20090109418A1 (en) * 2003-07-08 2009-04-30 Nikon Corporation Wafer table for immersion lithography
US8218127B2 (en) 2003-07-09 2012-07-10 Nikon Corporation Exposure apparatus and device manufacturing method
US20080018873A1 (en) * 2003-07-09 2008-01-24 Nikon Corporation Exposure apparatus and method for manufacturing device
US8120751B2 (en) 2003-07-09 2012-02-21 Nikon Corporation Coupling apparatus, exposure apparatus, and device fabricating method
US8228484B2 (en) 2003-07-09 2012-07-24 Nikon Corporation Coupling apparatus, exposure apparatus, and device fabricating method
US20060176456A1 (en) * 2003-07-09 2006-08-10 Nikon Corporation Exposure apparatus and device manufacturing method
US20090153820A1 (en) * 2003-07-09 2009-06-18 Nikon Corporation Exposure apparatus and device manufacturing method
US9500959B2 (en) 2003-07-09 2016-11-22 Nikon Corporation Exposure apparatus and device manufacturing method
US7580114B2 (en) 2003-07-09 2009-08-25 Nikon Corporation Exposure apparatus and method for manufacturing device
US7508490B2 (en) 2003-07-09 2009-03-24 Nikon Corporation Exposure apparatus and device manufacturing method
US9097988B2 (en) 2003-07-09 2015-08-04 Nikon Corporation Exposure apparatus and device manufacturing method
US9977352B2 (en) 2003-07-09 2018-05-22 Nikon Corporation Exposure apparatus and device manufacturing method
US8879043B2 (en) 2003-07-09 2014-11-04 Nikon Corporation Exposure apparatus and method for manufacturing device
US20060103944A1 (en) * 2003-07-09 2006-05-18 Nikon Corporation Coupling apparatus, exposure apparatus, and device fabricating method
US7855777B2 (en) 2003-07-09 2010-12-21 Nikon Corporation Exposure apparatus and method for manufacturing device
US7379157B2 (en) 2003-07-09 2008-05-27 Nikon Corproation Exposure apparatus and method for manufacturing device
US20100007865A1 (en) * 2003-07-09 2010-01-14 Nikon Corporation Coupling apparatus, exposure apparatus, and device fabricating method
US8797505B2 (en) 2003-07-09 2014-08-05 Nikon Corporation Exposure apparatus and device manufacturing method
US20060126045A1 (en) * 2003-07-09 2006-06-15 Nikon Corporation Coupling apparatus, exposure apparatus, and device fabricating method
US20060119818A1 (en) * 2003-07-09 2006-06-08 Nikon Corporation Exposure apparatus and method for manufacturing device
US7843550B2 (en) 2003-07-25 2010-11-30 Nikon Corporation Projection optical system inspecting method and inspection apparatus, and a projection optical system manufacturing method
US7868997B2 (en) 2003-07-25 2011-01-11 Nikon Corporation Projection optical system inspecting method and inspection apparatus, and a projection optical system manufacturing method
US20070076181A1 (en) * 2003-07-25 2007-04-05 Nikon Corporation Projection optical system inspecting method and inspection apparatus, and a projection optical system manufacturing method
US20060146305A1 (en) * 2003-07-28 2006-07-06 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US20060132737A1 (en) * 2003-07-28 2006-06-22 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US20070103655A1 (en) * 2003-07-28 2007-05-10 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate
US9760026B2 (en) 2003-07-28 2017-09-12 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US7746445B2 (en) 2003-07-28 2010-06-29 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate
US9639006B2 (en) 2003-07-28 2017-05-02 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US10303066B2 (en) 2003-07-28 2019-05-28 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US8451424B2 (en) 2003-07-28 2013-05-28 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US10185232B2 (en) 2003-07-28 2019-01-22 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US8218125B2 (en) 2003-07-28 2012-07-10 Asml Netherlands B.V. Immersion lithographic apparatus with a projection system having an isolated or movable part
US7505115B2 (en) 2003-07-28 2009-03-17 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US9494871B2 (en) 2003-07-28 2016-11-15 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US8749757B2 (en) 2003-07-28 2014-06-10 Nikon Corporation Exposure apparatus, method for producing device, and method for controlling exposure apparatus
US8964163B2 (en) 2003-07-28 2015-02-24 Asml Netherlands B.V. Immersion lithographic apparatus and device manufacturing method with a projection system having a part movable relative to another part
US8937704B2 (en) 2003-07-31 2015-01-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method involving a resistivity sensor
US7779781B2 (en) 2003-07-31 2010-08-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050048220A1 (en) * 2003-07-31 2005-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8142852B2 (en) 2003-07-31 2012-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9285686B2 (en) 2003-07-31 2016-03-15 Asml Netherlands B.V. Lithographic apparatus involving an immersion liquid supply system with an aperture
US11003096B2 (en) 2003-08-29 2021-05-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10514618B2 (en) 2003-08-29 2019-12-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9568841B2 (en) 2003-08-29 2017-02-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9316919B2 (en) 2003-08-29 2016-04-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10025204B2 (en) 2003-08-29 2018-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8947637B2 (en) 2003-08-29 2015-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9223224B2 (en) 2003-08-29 2015-12-29 Nikon Corporation Exposure apparatus with component from which liquid is protected and/or removed and device fabricating method
US20060139594A1 (en) * 2003-08-29 2006-06-29 Nikon Corporation Exposure apparatus and device fabricating method
US8035798B2 (en) 2003-08-29 2011-10-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7907255B2 (en) 2003-08-29 2011-03-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8520187B2 (en) 2003-09-03 2013-08-27 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US7292313B2 (en) 2003-09-03 2007-11-06 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US9547243B2 (en) 2003-09-03 2017-01-17 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US8896807B2 (en) 2003-09-03 2014-11-25 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US20060152697A1 (en) * 2003-09-03 2006-07-13 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US10203610B2 (en) 2003-09-03 2019-02-12 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US9817319B2 (en) 2003-09-03 2017-11-14 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US20090296053A1 (en) * 2003-09-03 2009-12-03 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US7924402B2 (en) 2003-09-19 2011-04-12 Nikon Corporation Exposure apparatus and device manufacturing method
US20060231206A1 (en) * 2003-09-19 2006-10-19 Nikon Corporation Exposure apparatus and device manufacturing method
US8139198B2 (en) 2003-09-29 2012-03-20 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US10025194B2 (en) 2003-09-29 2018-07-17 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US9513558B2 (en) 2003-09-29 2016-12-06 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8305552B2 (en) 2003-09-29 2012-11-06 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8039807B2 (en) 2003-09-29 2011-10-18 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8749759B2 (en) 2003-09-29 2014-06-10 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US9097986B2 (en) 2003-10-08 2015-08-04 Nikon Corporation Substrate conveyance device and substrate conveyance method, exposure apparatus and exposure method, device manufacturing method
US20070110916A1 (en) * 2003-10-08 2007-05-17 Zao Nikon Co., Ltd. Substrate conveyance device and substrate conveyance method, exposure apparatus and exposure method, device manufacturing method
US8755025B2 (en) 2003-10-08 2014-06-17 Nikon Corporation Substrate transport apparatus and method, exposure apparatus and exposure method, and device fabricating method
US8345216B2 (en) 2003-10-08 2013-01-01 Nikon Corporation Substrate conveyance device and substrate conveyance method, exposure apparatus and exposure method, device manufacturing method
US8107055B2 (en) 2003-10-08 2012-01-31 Zao Nikon Co., Ltd. Substrate conveyance device and substrate conveyance method, exposure apparatus and exposure method, device manufacturing method
US20060250602A1 (en) * 2003-10-08 2006-11-09 Zao Nikon Co., Ltd. Substrate carrying apparatus, exposure apparatus, and device manufacturing method
US9110381B2 (en) 2003-10-08 2015-08-18 Nikon Corporation Substrate conveyance device and substrate conveyance method, exposure apparatus and exposure method, device manufacturing method
US7995186B2 (en) 2003-10-08 2011-08-09 Zao Nikon Co., Ltd. Substrate conveyance device and substrate conveyance method, exposure apparatus and exposure method, device manufacturing method
US7515249B2 (en) 2003-10-08 2009-04-07 Zao Nikon Co., Ltd. Substrate carrying apparatus, exposure apparatus, and device manufacturing method
US7898645B2 (en) 2003-10-08 2011-03-01 Zao Nikon Co., Ltd. Substrate transport apparatus and method, exposure apparatus and exposure method, and device fabricating method
US10209623B2 (en) 2003-10-09 2019-02-19 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US9383656B2 (en) 2003-10-09 2016-07-05 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8130361B2 (en) 2003-10-09 2012-03-06 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US9063438B2 (en) 2003-10-09 2015-06-23 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8102502B2 (en) 2003-10-28 2012-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7411653B2 (en) 2003-10-28 2008-08-12 Asml Netherlands B.V. Lithographic apparatus
US8272544B2 (en) 2003-10-28 2012-09-25 Nikon Corporation Exposure apparatus, exposure method, and device fabrication method
US8542344B2 (en) 2003-10-28 2013-09-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8542343B2 (en) 2003-10-28 2013-09-24 Asml Netherlands B.V. Lithographic apparatus
US8638418B2 (en) 2003-10-28 2014-01-28 Asml Netherlands B.V. Lithographic apparatus
US20050128445A1 (en) * 2003-10-28 2005-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7932996B2 (en) 2003-10-28 2011-04-26 Nikon Corporation Exposure apparatus, exposure method, and device fabrication method
US7868998B2 (en) 2003-10-28 2011-01-11 Asml Netherlands B.V. Lithographic apparatus
US20110189613A1 (en) * 2003-10-28 2011-08-04 Nikon Corporation Exposure apparatus, exposure method, and device fabrication method
US10527955B2 (en) 2003-10-28 2020-01-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7532304B2 (en) 2003-10-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20110157570A1 (en) * 2003-10-28 2011-06-30 Asml Netherlands B.V. Lithographic apparatus
US9182679B2 (en) 2003-10-28 2015-11-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9482962B2 (en) 2003-10-28 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8797506B2 (en) 2003-10-28 2014-08-05 Nikon Corporation Exposure apparatus, exposure method, and device fabrication method
US7352433B2 (en) 2003-10-28 2008-04-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070002299A1 (en) * 2003-10-28 2007-01-04 Nikon Corporation Exposure apparatus, exposure method, and device fabrication method
US20050263068A1 (en) * 2003-10-28 2005-12-01 Asml Netherlands B.V. Lithographic apparatus
US20080278696A1 (en) * 2003-10-28 2008-11-13 Asml Netherlands B.V. Lithographic apparatus
US8810771B2 (en) 2003-10-28 2014-08-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8860923B2 (en) 2003-10-28 2014-10-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8860922B2 (en) 2003-10-28 2014-10-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10248034B2 (en) 2003-10-28 2019-04-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9952515B2 (en) 2003-11-14 2018-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8547519B2 (en) 2003-11-14 2013-10-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9134623B2 (en) 2003-11-14 2015-09-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9134622B2 (en) 2003-11-14 2015-09-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8634056B2 (en) 2003-11-14 2014-01-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10345712B2 (en) 2003-11-14 2019-07-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8054447B2 (en) 2003-12-03 2011-11-08 Nikon Corporation Exposure apparatus, exposure method, method for producing device, and optical part
US9019469B2 (en) 2003-12-03 2015-04-28 Nikon Corporation Exposure apparatus, exposure method, method for producing device, and optical part
US9182685B2 (en) 2003-12-03 2015-11-10 Nikon Corporation Exposure apparatus, exposure method, method for producing device, and optical part
US10088760B2 (en) 2003-12-03 2018-10-02 Nikon Corporation Exposure apparatus, exposure method, method for producing device, and optical part
US7982857B2 (en) 2003-12-15 2011-07-19 Nikon Corporation Stage apparatus, exposure apparatus, and exposure method with recovery device having lyophilic portion
US20070109521A1 (en) * 2003-12-15 2007-05-17 Nikon Corporation Stage apparatus, exposure apparatus, and exposure method
US20110019170A1 (en) * 2003-12-15 2011-01-27 Nikon Corporation Projection exposure apparatus and stage unit, and exposure method
US9798245B2 (en) 2003-12-15 2017-10-24 Nikon Corporation Exposure apparatus, and exposure method, with recovery device to recover liquid leaked from between substrate and member
US20070064212A1 (en) * 2003-12-15 2007-03-22 Nikon Corporation Projection exposure apparatus and stage unit, and exposure method
US10613447B2 (en) 2003-12-23 2020-04-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10768538B2 (en) 2003-12-23 2020-09-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9817321B2 (en) 2003-12-23 2017-11-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8767171B2 (en) 2003-12-23 2014-07-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7710541B2 (en) 2003-12-23 2010-05-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080186459A1 (en) * 2003-12-23 2008-08-07 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7394521B2 (en) 2003-12-23 2008-07-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9465301B2 (en) 2003-12-23 2016-10-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9684250B2 (en) 2003-12-23 2017-06-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20100182576A1 (en) * 2003-12-23 2010-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9436095B2 (en) 2004-01-20 2016-09-06 Carl Zeiss Smt Gmbh Exposure apparatus and measuring device for a projection lens
US20070070316A1 (en) * 2004-01-20 2007-03-29 Albrecht Ehrmann Microlithographic projection exposure apparatus and measuring device for a projection lens
US20080309894A1 (en) * 2004-01-20 2008-12-18 Carl Zeiss Smt Ag Microlithographic projection exposure apparatus and measuring device for a projection lens
US20100141912A1 (en) * 2004-01-20 2010-06-10 Carl Zeiss Smt Ag Exposure apparatus and measuring device for a projection lens
US8330935B2 (en) 2004-01-20 2012-12-11 Carl Zeiss Smt Gmbh Exposure apparatus and measuring device for a projection lens
US10345710B2 (en) 2004-01-20 2019-07-09 Carl Zeiss Smt Gmbh Microlithographic projection exposure apparatus and measuring device for a projection lens
US8711328B2 (en) 2004-02-02 2014-04-29 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US8736808B2 (en) 2004-02-02 2014-05-27 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US8547528B2 (en) 2004-02-02 2013-10-01 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US8553203B2 (en) 2004-02-02 2013-10-08 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US8705002B2 (en) 2004-02-02 2014-04-22 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US10139737B2 (en) 2004-02-02 2018-11-27 Nikon Corporation Lithographic apparatus and method having substrate and sensor tables
US8724079B2 (en) 2004-02-02 2014-05-13 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US8045136B2 (en) 2004-02-02 2011-10-25 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US9632431B2 (en) 2004-02-02 2017-04-25 Nikon Corporation Lithographic apparatus and method having substrate and sensor tables
US9684248B2 (en) 2004-02-02 2017-06-20 Nikon Corporation Lithographic apparatus having substrate table and sensor table to measure a patterned beam
US10007196B2 (en) 2004-02-02 2018-06-26 Nikon Corporation Lithographic apparatus and method having substrate and sensor tables
US9665016B2 (en) 2004-02-02 2017-05-30 Nikon Corporation Lithographic apparatus and method having substrate table and sensor table to hold immersion liquid
US8767168B2 (en) 2004-02-03 2014-07-01 Nikon Corporation Immersion exposure apparatus and method that detects residual liquid on substrate held by substrate table after exposure
US8488101B2 (en) 2004-02-03 2013-07-16 Nikon Corporation Immersion exposure apparatus and method that detects residual liquid on substrate held by substrate table on way from exposure position to unload position
US7990516B2 (en) 2004-02-03 2011-08-02 Nikon Corporation Immersion exposure apparatus and device manufacturing method with liquid detection apparatus
US9041906B2 (en) 2004-02-03 2015-05-26 Nikon Corporation Immersion exposure apparatus and method that detects liquid adhered to rear surface of substrate
US7990517B2 (en) 2004-02-03 2011-08-02 Nikon Corporation Immersion exposure apparatus and device manufacturing method with residual liquid detector
US10151983B2 (en) 2004-02-03 2018-12-11 Nikon Corporation Exposure apparatus and device manufacturing method
US7326522B2 (en) 2004-02-11 2008-02-05 Asml Netherlands B.V. Device manufacturing method and a substrate
US9411248B2 (en) 2004-03-25 2016-08-09 Nikon Corporation Exposure apparatus and device fabrication method
US8111373B2 (en) 2004-03-25 2012-02-07 Nikon Corporation Exposure apparatus and device fabrication method
US10126661B2 (en) 2004-03-25 2018-11-13 Nikon Corporation Exposure apparatus and device fabrication method
US8411248B2 (en) 2004-03-25 2013-04-02 Nikon Corporation Exposure apparatus and device fabrication method
US20070263188A1 (en) * 2004-03-25 2007-11-15 Hideaki Hara Exposure Apparatus And Device Fabrication Method
US8169590B2 (en) 2004-03-25 2012-05-01 Nikon Corporation Exposure apparatus and device fabrication method
US9046790B2 (en) 2004-03-25 2015-06-02 Nikon Corporation Exposure apparatus and device fabrication method
US20050225734A1 (en) * 2004-04-08 2005-10-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317504B2 (en) 2004-04-08 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9829799B2 (en) 2004-04-14 2017-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10705432B2 (en) 2004-04-14 2020-07-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9568840B2 (en) 2004-04-14 2017-02-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7898642B2 (en) 2004-04-14 2011-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9989861B2 (en) 2004-04-14 2018-06-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050231694A1 (en) * 2004-04-14 2005-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20110116061A1 (en) * 2004-04-14 2011-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10234768B2 (en) 2004-04-14 2019-03-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8704998B2 (en) 2004-04-14 2014-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method involving a barrier to collect liquid
US8755033B2 (en) 2004-04-14 2014-06-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method involving a barrier to collect liquid
US9207543B2 (en) 2004-04-14 2015-12-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method involving a groove to collect liquid
US20070222967A1 (en) * 2004-05-04 2007-09-27 Nikon Corporation Apparatus and Method for Providing Fluid for Immersion Lithography
US9285683B2 (en) 2004-05-04 2016-03-15 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US8054448B2 (en) 2004-05-04 2011-11-08 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US8638415B2 (en) 2004-05-18 2014-01-28 Asml Netherlands B.V. Active drying station and method to remove immersion liquid using gas flow supply with gas outlet between two gas inlets
US7616383B2 (en) 2004-05-18 2009-11-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9623436B2 (en) 2004-05-18 2017-04-18 Asml Netherlands B.V. Active drying station and method to remove immersion liquid using gas flow supply with gas outlet between two gas inlets
US10761438B2 (en) 2004-05-18 2020-09-01 Asml Netherlands B.V. Active drying station and method to remove immersion liquid using gas flow supply with gas outlet between two gas inlets
US20100014061A1 (en) * 2004-05-18 2010-01-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050259232A1 (en) * 2004-05-18 2005-11-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7671963B2 (en) 2004-05-21 2010-03-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20090033905A1 (en) * 2004-05-21 2009-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8749754B2 (en) 2004-05-21 2014-06-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050270506A1 (en) * 2004-05-21 2005-12-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050259233A1 (en) * 2004-05-21 2005-11-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8553201B2 (en) 2004-05-21 2013-10-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7486381B2 (en) 2004-05-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9429495B2 (en) 2004-06-04 2016-08-30 Carl Zeiss Smt Gmbh System for measuring the image quality of an optical imaging system
US9645505B2 (en) 2004-06-09 2017-05-09 Nikon Corporation Immersion exposure apparatus and device manufacturing method with measuring device to measure specific resistance of liquid
US8704997B2 (en) 2004-06-09 2014-04-22 Nikon Corporation Immersion lithographic apparatus and method for rinsing immersion space before exposure
US8525971B2 (en) 2004-06-09 2013-09-03 Nikon Corporation Lithographic apparatus with cleaning of substrate table
US8520184B2 (en) 2004-06-09 2013-08-27 Nikon Corporation Immersion exposure apparatus and device manufacturing method with measuring device
US10338478B2 (en) 2004-07-07 2019-07-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060007419A1 (en) * 2004-07-07 2006-01-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8319939B2 (en) 2004-07-07 2012-11-27 Asml Netherlands B.V. Immersion lithographic apparatus and device manufacturing method detecting residual liquid
US9104117B2 (en) 2004-07-07 2015-08-11 Bob Streefkerk Lithographic apparatus having a liquid detection system
US10739684B2 (en) 2004-07-07 2020-08-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9250537B2 (en) 2004-07-12 2016-02-02 Nikon Corporation Immersion exposure apparatus and method with detection of liquid on members of the apparatus
US8384874B2 (en) 2004-07-12 2013-02-26 Nikon Corporation Immersion exposure apparatus and device manufacturing method to detect if liquid on base member
US20070263182A1 (en) * 2004-08-18 2007-11-15 Nikon Corporation Exposure Apparatus and Device Manufacturing Method
US8305553B2 (en) 2004-08-18 2012-11-06 Nikon Corporation Exposure apparatus and device manufacturing method
US10705439B2 (en) 2004-08-19 2020-07-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8031325B2 (en) 2004-08-19 2011-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9097992B2 (en) 2004-08-19 2015-08-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9507278B2 (en) 2004-08-19 2016-11-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10599054B2 (en) 2004-08-19 2020-03-24 Asml Holding N.V. Lithographic apparatus and device manufacturing method
US9746788B2 (en) 2004-08-19 2017-08-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8755028B2 (en) 2004-08-19 2014-06-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9488923B2 (en) 2004-08-19 2016-11-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8446563B2 (en) 2004-08-19 2013-05-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9904185B2 (en) 2004-08-19 2018-02-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7701550B2 (en) 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10331047B2 (en) 2004-08-19 2019-06-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8045137B2 (en) 2004-12-07 2011-10-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070081133A1 (en) * 2004-12-14 2007-04-12 Niikon Corporation Projection exposure apparatus and stage unit, and exposure method
US8638419B2 (en) 2004-12-20 2014-01-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10509326B2 (en) 2004-12-20 2019-12-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8115899B2 (en) 2004-12-20 2012-02-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7880860B2 (en) 2004-12-20 2011-02-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9703210B2 (en) 2004-12-20 2017-07-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8941811B2 (en) 2004-12-20 2015-01-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060158628A1 (en) * 2005-01-14 2006-07-20 Asml Netherlands B.V. Lithographic apparatus and device and device manufacturing method
US7924403B2 (en) 2005-01-14 2011-04-12 Asml Netherlands B.V. Lithographic apparatus and device and device manufacturing method
US8675173B2 (en) 2005-01-14 2014-03-18 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9746781B2 (en) 2005-01-31 2017-08-29 Nikon Corporation Exposure apparatus and method for producing device
US8692973B2 (en) 2005-01-31 2014-04-08 Nikon Corporation Exposure apparatus and method for producing device
US8629418B2 (en) 2005-02-28 2014-01-14 Asml Netherlands B.V. Lithographic apparatus and sensor therefor
US20080007844A1 (en) * 2005-02-28 2008-01-10 Asml Netherlands B.V. Sensor for use in a lithographic apparatus
US7453078B2 (en) 2005-02-28 2008-11-18 Asml Netherlands B.V. Sensor for use in a lithographic apparatus
USRE43576E1 (en) 2005-04-08 2012-08-14 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
USRE47943E1 (en) 2005-04-08 2020-04-14 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
USRE45576E1 (en) 2005-04-08 2015-06-23 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
USRE44446E1 (en) 2005-04-08 2013-08-20 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
USRE46933E1 (en) 2005-04-08 2018-07-03 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
US20070066452A1 (en) * 2005-09-22 2007-03-22 William Marshall Recliner exerciser
US20070124987A1 (en) * 2005-12-05 2007-06-07 Brown Jeffrey K Electronic pest control apparatus
US20070128482A1 (en) * 2005-12-06 2007-06-07 Lg Electronics Inc. Power supply apparatus and method for line connection type fuel cell system
US10222711B2 (en) 2005-12-30 2019-03-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8941810B2 (en) 2005-12-30 2015-01-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US11669021B2 (en) 2005-12-30 2023-06-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9851644B2 (en) 2005-12-30 2017-12-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US11275316B2 (en) 2005-12-30 2022-03-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8947631B2 (en) 2005-12-30 2015-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10761433B2 (en) 2005-12-30 2020-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9436096B2 (en) 2005-12-30 2016-09-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20110104375A1 (en) * 2006-03-03 2011-05-05 Craig Waldron Biocide composition comprising pyrithione and pyrrole derivatives
US8902401B2 (en) 2006-05-09 2014-12-02 Carl Zeiss Smt Gmbh Optical imaging device with thermal attenuation
US9810996B2 (en) 2006-05-09 2017-11-07 Carl Zeiss Smt Gmbh Optical imaging device with thermal attenuation
US8363206B2 (en) 2006-05-09 2013-01-29 Carl Zeiss Smt Gmbh Optical imaging device with thermal attenuation
US9129999B2 (en) 2006-11-30 2015-09-08 Tokyo Ohka Kogyo Co., Ltd. Treatment device, treatment method, and surface treatment jig
US20100037916A1 (en) * 2006-11-30 2010-02-18 Yasumasa Iwata Treatment device, treatment method, and surface treatment jig
US8817226B2 (en) 2007-02-15 2014-08-26 Asml Holding N.V. Systems and methods for insitu lens cleaning using ozone in immersion lithography
US8654305B2 (en) 2007-02-15 2014-02-18 Asml Holding N.V. Systems and methods for insitu lens cleaning in immersion lithography
US8237911B2 (en) 2007-03-15 2012-08-07 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
US8743343B2 (en) 2007-03-15 2014-06-03 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
US8400610B2 (en) 2007-03-15 2013-03-19 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
US9217933B2 (en) 2007-03-15 2015-12-22 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
US20080225246A1 (en) * 2007-03-15 2008-09-18 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
US8371317B2 (en) 2007-08-30 2013-02-12 Tokyo Ohka Kogyo Co., Ltd Surface treatment apparatus
US20090056767A1 (en) * 2007-08-30 2009-03-05 Tokyo Ohka Kogyo Co., Ltd. Surface treatment apparatus
US20090244514A1 (en) * 2008-03-26 2009-10-01 Samsung Electronics Co., Ltd. Distance measuring sensors including vertical photogate and three-dimensional color image sensors including distance measuring sensors
US7626685B2 (en) 2008-03-26 2009-12-01 Samsung Electronics Co., Ltd. Distance measuring sensors including vertical photogate and three-dimensional color image sensors including distance measuring sensors
US20110092076A1 (en) * 2008-05-19 2011-04-21 E.I. Du Pont De Nemours And Company Apparatus and method of vapor coating in an electronic device
CN102112659B (en) * 2008-05-20 2012-12-12 阿斯莫国际公司 Apparatus and method for high-throughput atomic layer deposition
KR101616904B1 (en) 2008-05-20 2016-04-29 에이에스엠 인터내셔널 엔.브이. Apparatus and method for high-throughput atomic layer deposition
WO2009142488A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20110124199A1 (en) * 2008-05-20 2011-05-26 Granneman Ernst H A Apparatus and method for high-throughput atomic layer deposition
KR20110017389A (en) * 2008-05-20 2011-02-21 에이에스엠 인터내셔널 엔.브이. Apparatus and method for high-throughput atomic layer deposition
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9243330B2 (en) 2008-05-20 2016-01-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
WO2009142487A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9176393B2 (en) 2008-05-28 2015-11-03 Asml Netherlands B.V. Lithographic apparatus and a method of operating the apparatus
US11187991B2 (en) 2008-05-28 2021-11-30 Asml Netherlands B.V. Lithographic apparatus and a method of operating the apparatus
US11143968B2 (en) * 2008-07-25 2021-10-12 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
US20140251382A1 (en) * 2008-11-26 2014-09-11 Lam Research Corporation Methods for Confinement of Foam Delivered by a Proximity Head
WO2010135719A1 (en) * 2009-05-22 2010-11-25 Lam Research Corporation Modifications to surface topography of proximity head
CN102427891A (en) * 2009-05-22 2012-04-25 朗姆研究公司 Modifications to surface topography of proximity head
US20100294742A1 (en) * 2009-05-22 2010-11-25 Enrico Magni Modifications to Surface Topography of Proximity Head
US20120240963A1 (en) * 2009-05-29 2012-09-27 Lam Research Corp. Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US8580045B2 (en) * 2009-05-29 2013-11-12 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US9256136B2 (en) 2010-04-22 2016-02-09 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method involving gas supply
US10209624B2 (en) 2010-04-22 2019-02-19 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
US10620544B2 (en) 2010-04-22 2020-04-14 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
US9846372B2 (en) 2010-04-22 2017-12-19 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
US20150376787A1 (en) * 2014-06-25 2015-12-31 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11591686B2 (en) 2014-06-25 2023-02-28 Universal Display Corporation Methods of modulating flow during vapor jet deposition of organic materials
US11121322B2 (en) 2015-10-12 2021-09-14 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)

Also Published As

Publication number Publication date
CN1725449A (en) 2006-01-25
CN1722375A (en) 2006-01-18
EP1612846A2 (en) 2006-01-04
SG118399A1 (en) 2006-01-27
EP1612846A3 (en) 2009-12-02
CN1725450A (en) 2006-01-25
US6954993B1 (en) 2005-10-18
CN100517586C (en) 2009-07-22
CN100517587C (en) 2009-07-22
MY139040A (en) 2009-08-28
JP2006073998A (en) 2006-03-16
CN100517585C (en) 2009-07-22
JP4758694B2 (en) 2011-08-31
KR101160100B1 (en) 2012-06-26
KR20060049724A (en) 2006-05-19

Similar Documents

Publication Publication Date Title
US6954993B1 (en) Concentric proximity processing head
US6988326B2 (en) Phobic barrier meniscus separation and containment
US7093375B2 (en) Apparatus and method for utilizing a meniscus in substrate processing
US7383843B2 (en) Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7862663B2 (en) Methods for processing a substrate with a flow controlled meniscus
US7387689B2 (en) Methods for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7389783B2 (en) Proximity meniscus manifold
US6616772B2 (en) Methods for wafer proximity cleaning and drying
EP1583136B1 (en) Control of ambient environment during wafer drying using proximity head
US7198055B2 (en) Meniscus, vacuum, IPA vapor, drying manifold
US20060207636A1 (en) Multi-menisci processing apparatus
US20050145268A1 (en) Substrate meniscus interface and methods for operation

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SMITH, MICHAEL G.R.;RAVKIN, MICHAEL;O'DONNELL, ROBERT J.;REEL/FRAME:015551/0323

Effective date: 20040630

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12