US20050224907A1 - Isolation structure with nitrogen-containing liner and methods of manufacture - Google Patents

Isolation structure with nitrogen-containing liner and methods of manufacture Download PDF

Info

Publication number
US20050224907A1
US20050224907A1 US11/146,661 US14666105A US2005224907A1 US 20050224907 A1 US20050224907 A1 US 20050224907A1 US 14666105 A US14666105 A US 14666105A US 2005224907 A1 US2005224907 A1 US 2005224907A1
Authority
US
United States
Prior art keywords
trench
nitrogen
containing liner
isolation structure
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/146,661
Inventor
Chih-Hsin Ko
Yee-Chia Yeo
Chung-Hu Ke
Wen-Chin Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/146,661 priority Critical patent/US20050224907A1/en
Publication of US20050224907A1 publication Critical patent/US20050224907A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention relates to the field of semiconductor devices, and more specifically, to the formation of improved isolation structures with nitrogen-containing liners.
  • Shallow trench isolation is a common isolation technology for insulating active areas in integrated circuits, particularly integrated circuits with sub-quarter micron dimensions.
  • An example of one common shallow trench isolation structure is shown in FIG. 1 , wherein a wafer 100 has a substrate 110 having isolation trenches 112 formed therein.
  • the substrate 110 is typically silicon.
  • the isolation trenches 112 are typically filled with a dielectric material, such as a silicon oxide or another oxide.
  • the isolation trenches 112 isolate active regions 116 from each other.
  • oxidation of the sidewalls of the isolation trenches may occur during subsequent processing steps.
  • compressive stress may be induced in the adjacent active regions 116 .
  • the compressive stress results because of volume expansion in a confined space.
  • 6,251,746 issued to Hong et al., describes methods of forming trench isolation regions with stress-reducing nitride layers; and U.S. Pat. Nos. 6,461,937 and 6,251,746 describe nitride layers overlying a silicon oxide layer that is typically thermally grown at an elevated temperature.
  • nitride liners prohibit further oxidation of the trench sidewalls and, thus, reduce the compressive stress that results
  • nitride liners are usually formed with an intrinsic stress in the film.
  • defects or even cracks may be formed at weak regions in the active regions, such as at sharp corners.
  • an isolation trench within a substrate is provided.
  • the isolation trench is lined with a nitrogen-containing material and filled with a dielectric material.
  • the nitrogen-containing material contacts or is in close proximity with the active area adjacent to the isolation trench.
  • the corners of the isolation trench are rounded.
  • an active device such as a transistor, is formed near the isolation trench.
  • the active device may be covered with an inter-layer dielectric and metal lines.
  • the present invention also provides several methods of fabricating the isolation trenches. For example, in one embodiment of the present invention a trench is formed in a substrate. A nitrogen-containing liner is deposited upon the substrate, and a filler material is deposited on the nitrogen-containing liner. The portions of the nitrogen-containing liner not contained in or near the trench is removed. Portions of the filler material that are not contained in the isolation trench are also removed. Thereafter, an active device, such as a transistor, may be formed adjacent to the isolation trench.
  • a mask layer is applied to the substrate to form the trench in the substrate.
  • the mask is removed after the trench is formed.
  • a nitrogen-containing liner is applied, and a filler material is deposited on the nitrogen-containing liner.
  • FIG. 1 is a cross-section view of a wafer illustrating isolation trenches
  • FIGS. 2 a - 2 m are cross-section views of a wafer illustrating a process of forming isolation trenches in accordance with an embodiment of the present invention
  • FIGS. 3 a - 3 g are cross-section views of a wafer illustrating a process of forming isolation trenches in accordance with an embodiment of the present invention.
  • FIGS. 4 a - 4 d are cross-section views of a wafer illustrating a process of forming isolation trenches in accordance with an embodiment of the present invention.
  • FIGS. 2 a - 2 m illustrate cross-section views of a wafer during various steps of a first method embodiment of the present invention.
  • the process begins in FIG. 2 a, wherein a wafer 200 having a substrate 210 has been prepared by applying a hard mask 212 thereto.
  • the substrate 210 may be silicon or glass, but preferably silicon.
  • the hard mask 212 preferably comprises an oxide layer 214 and a nitride layer 216 .
  • the oxide layer 214 comprises a silicon dioxide layer formed by thermal oxidation or by chemical vapor deposition (CVD) techniques using is tetra-ethyl-ortho-silicate (TEOS) and oxygen as precursor.
  • the nitride layer 216 is preferably a silicon nitride (Si 3 N 4 ) layer formed on top of the oxide layer 214 .
  • the Si 3 N 4 layer may be formed using CVD techniques using silane and ammonia as precursor gases, and deposition temperatures ranging from about 550° to about 900° Celsius (C.).
  • a patterned mask 218 such as a photoresist mask, is then formed on the hard mask 212 .
  • the patterned mask 218 may be a photoresist material.
  • the patterned mask 218 defines the areas of the hard mask 212 that are to be removed, preferably via an etching process.
  • the etching process may be a wet or dry, anisotropic or isotropic, etch process, but preferably is an anisotropic dry etch process.
  • FIG. 2 b is a cross view of the wafer 200 illustrated in FIG. 2 a after the patterned mask 218 ( FIG. 2 a ) has been removed and the exposed areas of the hard mask 212 have been etched away.
  • the resulting wafer 200 exposes areas 219 of the substrate that are to be trenched in subsequent processing.
  • FIG. 2 c is a cross view of the wafer 200 illustrated in FIG. 2 b after isolation trenches 220 have been created in the substrate 210 .
  • the patterned hard mask 212 is used to etch trenches in the substrate 210 .
  • the depth of the isolation trenches 220 is preferably about 2000 to about 6000 ⁇ , but may be more or less as desired for a particular application.
  • FIG. 2 d is a cross view of the wafer 200 illustrated in FIG. 2 c after a pull-back process has been performed.
  • the pull-back process is used to etch a portion of the hard mask 212 , i.e., the SiO 2 and Si 3 N 4 layers, such that the hard mask 212 retreats from the edge of the isolation trench 220 .
  • the amount of pull-back from the edge of the isolation trench 220 is about 10 to 50 nm, but may be more or less depending upon the integrated circuit design.
  • the pull-back process may be performed by a wet etch process using phosphoric acid at a temperature in the range of 100° to 180° Celsius using an etch time of about 30 to 2,000 seconds to etch the Si 2 N 3 layer, i.e., nitride layer 216 , followed by another wet etch process in dilute hydrofluoric acid at a temperature in the range of about 10° to 40° Celsius using an etch time of about 2 to 200 seconds to etch the silicon dioxide, i.e., oxide layer 214 .
  • the pull-back process may be a dry plasma etch process using fluorine chemistry to etch the silicon nitride, followed by a wet etch in dilute hydrofluoric acid at a temperature in the range of 10° to 40° Celsius using an etch time of between 2 seconds to 200 seconds to etch the SiO 2 , i.e., the oxide layer 214 .
  • the corner rounding process is preferably an annealing process using a temperature of between 700° to 1000° degrees Celsius to facilitate silicon atom migration.
  • the annealing process may employ an ambient containing a gas such as hydrogen, nitrogen, helium, neon, argon, xenon, combinations thereof, or the like.
  • the annealing ambient may have a pressure in the range of about 1 to about 1000 Torr.
  • the annealing ambient is a hydrogen-containing ambient with a pressure of between about 10 and about 1000 Torr and a temperature of between about 700° and about 950° C. In general, a higher temperature and a lower pressure will facilitate more silicon migration to form rounded corners.
  • the radius of the rounded corner (reference “R” of FIG. 2 e ) is preferably in the range of about 5 nm to about 50 nm.
  • FIGS. 2 f and 2 g are cross-section views of the wafer 200 illustrated in FIG. 2 e after a nitrogen-containing liner 222 is formed.
  • the nitrogen-containing liner 222 is formed by CVD techniques known and used in the art.
  • the nitrogen-containing liner 222 can be a single silicon nitride layer or a silicon oxynitride SiO x N y layer or a nitrogen-doped silicon oxide material, where the atomic percentage of nitrogen in the nitrogen-containing liner may be in the range of about 5 percent to about 60 percent. It is understood, however, that other materials with an oxygen diffusion rate lower than that of silicon oxide may be used.
  • the thickness T N ( FIG. 2 g ) of the nitrogen-containing liner 222 is preferably in the range of about 0.5 nm and about 20 nm, although it is understood that thicknesses thinner than or thicker than the range specified may be used.
  • the nitrogen-containing liner 222 preferably has an intrinsic stress of between about ⁇ 1 giga-pascals (GPa) to about +2 GPa, where negative stress indicates compressive stress and positive stress indicates tensile stress.
  • the nitrogen-containing liner 222 is preferably a high tensile stress conformal nitride liner.
  • the influence of the intrinsic stress in the nitrogen-containing liner 222 on the silicon lattice in the active region can be maximized by having a nitrogen-containing liner that directly contacts the trench sidewalls.
  • the nitrogen-containing liner 222 additionally prevents further oxidation of the trench sidewall during subsequent process steps. Because the nitrogen-containing liner 222 exerts a significant stress on the silicon lattice in the active region, it is important that the silicon lattice contains no weak regions that potentially crack or generate dislocations or defects. Sharp corners are an example of such weak regions where stress may be concentrated and where defects may be generated. It is therefore preferred that rounded corners in the upper and bottom portions of the trench are formed prior to the formation of the nitrogen-containing liner 222 .
  • FIG. 2 h is a cross-sectional view of the wafer 200 illustrated in FIG. 2 f after a trench-filling material 224 has been deposited to fill the trench.
  • the trench-filling material 224 is a dielectric material, preferably silicon oxide. It is understood that the trench-filling material may in fact be a combination of trench-filling materials, such as a combination of CVD silicon oxide and CVD poly-silicon. After deposition, the trench-filling material can be densified by either a pyrogenic oxidation anneal at a temperature of 800° Celsius or conventional annealing step in argon ambient at 1000° 0 C.
  • a planarization step is performed to planarize the surface of the trench-filling material, stopping on the nitrogen-containing liner 222 or on the silicon nitride layer 216 .
  • the planarization step may be accomplished, for example, using a chemical mechanical polishing (CMP) process known and used in the art.
  • CMP chemical mechanical polishing
  • FIG. 2 i is a cross-sectional view of the wafer 200 from FIG. 2 h after the silicon nitride layer 216 and the nitrogen-containing liner 222 on the silicon nitride layer 216 are removed.
  • the nitrogen-containing liner 222 on the silicon nitride layer 216 and the silicon nitride layer 216 can be removed, for example, by using an etch in hot phosphoric acid followed by an etch in dilute hydrofluoric acid.
  • FIGS. 2 j and 2 k are cross-section views of the wafer 200 illustrated in FIG. 2 i after the silicon dioxide layer 214 has been removed.
  • the silicon dioxide layer 214 is removed by dilute hydrofluoric acid. Transistors may then be formed in the active regions.
  • FIGS. 2 j - 2 m show a cross-section of the wafer with a transistor formed thereon.
  • the transistor comprises of a gate electrode 226 , a gate dielectric 228 , a source region 230 , and a drain region 232 .
  • an inter-layer dielectric (ILD) 234 may be deposited over the transistor.
  • the ILD layer 234 typically has a planarized surface and may be comprised of silicon oxide.
  • the ILD layer 234 may be formed by deposition techniques such as CVD.
  • Metal lines 236 may be formed on the planarized surface of the ILD layer 234 .
  • the metal lines are comprised of high conductivity metals such as aluminum, copper, and tungsten.
  • Contact plugs are typically provided between at least one of the source region 230 , the drain region 232 and the gate electrode 226 and the metal line 236 .
  • a tungsten plug can be formed by depositing tungsten and etching back or planarizing by a CMP process.
  • FIGS. 3 a - 3 g illustrate cross-section views of a wafer during various steps of a second method embodiment of the present invention.
  • the process begins in FIG. 3 a, wherein a wafer 300 having a substrate 310 has been prepared by applying and patterning a mask 312 thereto.
  • the substrate 310 may be, for example, silicon or glass, but preferably silicon.
  • the mask 312 can be comprised of a common mask material such as silicon dioxide, silicon nitride, a silicon nitride on a silicon dioxide stack, or photoresist, but preferably comprises photoresist.
  • FIG. 3 b is a cross-section view of wafer 300 illustrated in FIG. 3 a after trenches 314 are etched in the silicon substrate, preferably using a plasma etching technique.
  • the depth of the trenches is about 2000 to 6000 ⁇ .
  • FIG. 3 c is a cross-section view of wafer 300 illustrated in FIG. 3 b after the mask 312 has been removed to expose the active regions.
  • the mask 312 can be removed, for example, by an etch in hot phosphoric acid followed by an etch in dilute hydrofluoric acid.
  • FIG. 3 d is a cross-section view of wafer 300 illustrated in FIG. 3 c after a corner-rounding process has been performed. Because a greater area of silicon is now exposed, silicon migration can be further facilitated. The corner-rounding process is described above with reference to FIG. 2 e.
  • FIG. 3 e is a cross-section view of wafer 300 illustrated in FIG. 3 d after a nitrogen-containing liner 316 and a trench-filling method 318 is applied.
  • the nitrogen-containing liner 316 can be a single silicon nitride layer or a silicon oxynitride SiO x N y layer or a nitrogen-doped silicon oxide material, preferably where the atomic percentage of nitrogen in the nitrogen-containing liner is in the range of about 5 percent to about 60 percent.
  • the nitrogen-containing liner 316 may be formed, for example, by CVD, thermal oxidation of silicon followed by nitridation, or by the nitridation.
  • Nitridation is the process of introducing nitrogen atoms by exposing a surface to a nitrogen-containing ambient, such as a nitrogen-containing plasma.
  • FIG. 3 f is a cross-section view of wafer 300 illustrated in FIG. 3 e after a highly selective planarization process, which stops on the portion of the nitrogen-containing liner overlying the active region.
  • the highly selective planarization process can be a CMP process employing a slurry comprising of cerium oxide CeO 2 , for example.
  • the portion of the nitrogen-containing liner overlying the active region is then removed by an etch process.
  • the etch process for example, can be a wet etch in phosphoric acid or a plasma etching process.
  • FIG. 3 g is a cross-section view of wafer 300 illustrated in FIG. 3 f after a transistor has been formed in the active regions.
  • the transistor comprises a gate electrode 320 , a gate dielectric 322 , a source 324 , and a drain 326 .
  • an inter-layer dielectric (ILD) 328 may be deposited over the transistors.
  • the ILD layer 328 typically has a planarized surface and may be comprised of silicon oxide.
  • the ILD layer 328 may be formed by deposition techniques such as CVD.
  • Metal lines, such as metal line 330 may be formed on the planarized surface of the ILD layer 328 .
  • the metal lines are comprised of high conductivity metals such as aluminum, copper, and tungsten.
  • FIGS. 4 a - 4 d illustrate cross-section views of a wafer during various steps of a third method embodiment of the present invention.
  • the process begins in FIG. 4 a, wherein a wafer 400 having a substrate 410 in which trenches 412 have been formed.
  • the substrate 410 may be silicon or glass, but preferably silicon.
  • the corners of the trenches 412 may or may not be rounded as described above in reference to FIG. 3 d. If rounded corners are not present in the starting material, however, they are preferably rounded. If rounded corners are present in the starting material, they are preferably further rounded.
  • FIG. 4 a a cross-section view is shown of wafer 400 after a silicon dioxide liner 414 , a nitrogen-containing liner 416 , and a trench-filling material 418 has been deposited on the substrate 410 .
  • the silicon dioxide liner 414 may be formed, for example, using wet or dry oxidation at a temperature in the range of 500° to 1000° C.
  • the nitrogen-containing liner 416 is then formed thereon.
  • the nitrogen-containing liner 416 may be formed, for example, by CVD or by nitridation. In the case where the nitridation process is performed, it introduces nitrogen into the silicon oxide liner layer.
  • a trench-filling material 418 is deposited.
  • FIG. 4 b is a cross-section view of wafer 400 illustrated in FIG. 4 a after a highly selective planarization process has been performed to remove portions of the nitrogen-containing liner 416 and the trench-filling material 418 .
  • the highly selective planarization process stops on the portion of the nitrogen-containing liner 416 overlying the active region.
  • the highly selective planarization process can be a CMP process employing a slurry comprising of cerium oxide (CeO 2 ), for example.
  • the portion of the nitrogen-containing liner 416 overlying the active region is then removed by an etch process.
  • the etch process for example, can be a wet etch in phosphoric acid or a plasma etching process.
  • FIG. 4 c is a cross-section view of wafer 400 illustrated in FIG. 4 b after a portion of the silicon dioxide liner 414 has been removed.
  • the silicon dioxide liner 414 covering the active region may be removed, for example, by a wet etch in dilute hydrofluoric acid.
  • FIG. 4 d is a cross-section view of wafer 400 illustrated in FIG. 4 c after a transistor has been formed in the active regions.
  • the transistor comprises a gate electrode 420 , a gate dielectric 422 , a source 424 , and a drain 426 .
  • an inter-layer dielectric (ILD) 428 may be deposited over the transistors.
  • the ILD layer 428 typically has a planarized surface and may be comprised of silicon oxide.
  • the ILD layer 428 may be formed by deposition techniques such as CVD.
  • Metal lines, such as metal line 430 may be formed on the planarized surface of the ILD layer 428 .
  • the metal lines are comprised of high conductivity metals such as aluminum, copper, and tungsten.

Abstract

A semiconductor isolation trench includes a substrate and a trench formed therein. The trench is lined with a nitrogen-containing liner and filled with a dielectric material. The nitrogen-containing liner preferably contacts the active region of a device, such as a transistor, located adjacent to the trench.

Description

  • This application is a divisional of patent application Ser. No. 10/687,377, entitled “Isolation Structure with Nitrogen-Containing Liner and Methods of Manufacture,” filed on Oct. 16, 2003, which claims the benefit of U.S. Provisional Application No. 60/495,316, entitled “Isolation Structure with Nitrogen-Containing Liner and Methods of Manufacture,” filed on Aug. 15, 2003, which applications are hereby incorporated herein by reference.
  • CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application relates to the following patents and co-pending, commonly-assigned patent applications. Each of these documents is incorporated herein by reference.
    U.S. Pat. or Ser. No. Filing Date Issue Date
    6,882,025 Apr. 25, 2003 Apr. 19, 2005
    6,020,621 Jan. 28, 1998 Feb. 1, 2000
  • TECHNICAL FIELD
  • The present invention relates to the field of semiconductor devices, and more specifically, to the formation of improved isolation structures with nitrogen-containing liners.
  • BACKGROUND
  • Shallow trench isolation (STI) is a common isolation technology for insulating active areas in integrated circuits, particularly integrated circuits with sub-quarter micron dimensions. An example of one common shallow trench isolation structure is shown in FIG. 1, wherein a wafer 100 has a substrate 110 having isolation trenches 112 formed therein. The substrate 110 is typically silicon. The isolation trenches 112 are typically filled with a dielectric material, such as a silicon oxide or another oxide. The isolation trenches 112 isolate active regions 116 from each other.
  • During fabrication, oxidation of the sidewalls of the isolation trenches may occur during subsequent processing steps. As a result of the oxidation and the volume expansion that occurs during the oxidation, however, compressive stress may be induced in the adjacent active regions 116. The compressive stress results because of volume expansion in a confined space.
  • To solve this problem, several approaches have been proposed for the formation of trench isolation structures with nitride liners. Generally, these approaches use a nitride liner to prohibit or reduce further trench sidewall oxidation in order to reduce the stress introduced by the trench filling material. For example, U.S. Pat. No. 5,447,884 issued to Fahey et al. describes a shallow isolation trench with a thin nitride liner; U.S. Pat. No. 6,461,937 issued to Kim et al. describes methods of forming trench isolation structures containing a silicon nitride layer stress relief layer; U.S. Pat. No. 6,251,746 issued to Hong et al., describes methods of forming trench isolation regions with stress-reducing nitride layers; and U.S. Pat. Nos. 6,461,937 and 6,251,746 describe nitride layers overlying a silicon oxide layer that is typically thermally grown at an elevated temperature.
  • While the nitride liners prohibit further oxidation of the trench sidewalls and, thus, reduce the compressive stress that results, nitride liners are usually formed with an intrinsic stress in the film. In addition, due to the intrinsic stress in the nitride liner, defects or even cracks may be formed at weak regions in the active regions, such as at sharp corners.
  • Furthermore, approaches have been proposed to use an oxide liner beneath the nitride liner, thus reducing the influence of the nitride liner on the active region. The use of an oxide liner, however, typically involves a high thermal budget.
  • Therefore, there is a need for a method of forming isolation trenches to prohibit or reduce the effect of oxidation of the isolation trench sidewalls.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieved, by preferred embodiments of the present invention which provides an isolation structure with nitrogen-containing liner and methods of manufacture.
  • In one embodiment of the present invention, an isolation trench within a substrate is provided. The isolation trench is lined with a nitrogen-containing material and filled with a dielectric material. The nitrogen-containing material contacts or is in close proximity with the active area adjacent to the isolation trench.
  • In another embodiment of the present invention the corners of the isolation trench are rounded. In yet another embodiment of the present invention, an active device, such as a transistor, is formed near the isolation trench. The active device may be covered with an inter-layer dielectric and metal lines.
  • The present invention also provides several methods of fabricating the isolation trenches. For example, in one embodiment of the present invention a trench is formed in a substrate. A nitrogen-containing liner is deposited upon the substrate, and a filler material is deposited on the nitrogen-containing liner. The portions of the nitrogen-containing liner not contained in or near the trench is removed. Portions of the filler material that are not contained in the isolation trench are also removed. Thereafter, an active device, such as a transistor, may be formed adjacent to the isolation trench.
  • In another method, a mask layer is applied to the substrate to form the trench in the substrate. The mask is removed after the trench is formed. A nitrogen-containing liner is applied, and a filler material is deposited on the nitrogen-containing liner.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a cross-section view of a wafer illustrating isolation trenches;
  • FIGS. 2 a-2 m are cross-section views of a wafer illustrating a process of forming isolation trenches in accordance with an embodiment of the present invention;
  • FIGS. 3 a-3 g are cross-section views of a wafer illustrating a process of forming isolation trenches in accordance with an embodiment of the present invention; and
  • FIGS. 4 a-4 d are cross-section views of a wafer illustrating a process of forming isolation trenches in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • FIGS. 2 a-2 m illustrate cross-section views of a wafer during various steps of a first method embodiment of the present invention. The process begins in FIG. 2 a, wherein a wafer 200 having a substrate 210 has been prepared by applying a hard mask 212 thereto. The substrate 210 may be silicon or glass, but preferably silicon.
  • The hard mask 212 preferably comprises an oxide layer 214 and a nitride layer 216. Preferably the oxide layer 214 comprises a silicon dioxide layer formed by thermal oxidation or by chemical vapor deposition (CVD) techniques using is tetra-ethyl-ortho-silicate (TEOS) and oxygen as precursor. The nitride layer 216 is preferably a silicon nitride (Si3N4) layer formed on top of the oxide layer 214. The Si3N4 layer may be formed using CVD techniques using silane and ammonia as precursor gases, and deposition temperatures ranging from about 550° to about 900° Celsius (C.).
  • A patterned mask 218, such as a photoresist mask, is then formed on the hard mask 212. The patterned mask 218 may be a photoresist material. The patterned mask 218 defines the areas of the hard mask 212 that are to be removed, preferably via an etching process. The etching process may be a wet or dry, anisotropic or isotropic, etch process, but preferably is an anisotropic dry etch process.
  • FIG. 2 b is a cross view of the wafer 200 illustrated in FIG. 2 a after the patterned mask 218 (FIG. 2 a) has been removed and the exposed areas of the hard mask 212 have been etched away. The resulting wafer 200 exposes areas 219 of the substrate that are to be trenched in subsequent processing.
  • FIG. 2 c is a cross view of the wafer 200 illustrated in FIG. 2 b after isolation trenches 220 have been created in the substrate 210. The patterned hard mask 212 is used to etch trenches in the substrate 210. The depth of the isolation trenches 220 is preferably about 2000 to about 6000 Å, but may be more or less as desired for a particular application.
  • FIG. 2 d is a cross view of the wafer 200 illustrated in FIG. 2 c after a pull-back process has been performed. The pull-back process is used to etch a portion of the hard mask 212, i.e., the SiO2 and Si3N4 layers, such that the hard mask 212 retreats from the edge of the isolation trench 220. Preferably, the amount of pull-back from the edge of the isolation trench 220 is about 10 to 50 nm, but may be more or less depending upon the integrated circuit design. The pull-back process may be performed by a wet etch process using phosphoric acid at a temperature in the range of 100° to 180° Celsius using an etch time of about 30 to 2,000 seconds to etch the Si2N3 layer, i.e., nitride layer 216, followed by another wet etch process in dilute hydrofluoric acid at a temperature in the range of about 10° to 40° Celsius using an etch time of about 2 to 200 seconds to etch the silicon dioxide, i.e., oxide layer 214.
  • Alternatively, the pull-back process may be a dry plasma etch process using fluorine chemistry to etch the silicon nitride, followed by a wet etch in dilute hydrofluoric acid at a temperature in the range of 10° to 40° Celsius using an etch time of between 2 seconds to 200 seconds to etch the SiO2, i.e., the oxide layer 214.
  • A corner rounding process is then performed as illustrated in FIG. 2 e. The corner rounding process is preferably an annealing process using a temperature of between 700° to 1000° degrees Celsius to facilitate silicon atom migration. The annealing process may employ an ambient containing a gas such as hydrogen, nitrogen, helium, neon, argon, xenon, combinations thereof, or the like. The annealing ambient may have a pressure in the range of about 1 to about 1000 Torr. Most preferably, however, the annealing ambient is a hydrogen-containing ambient with a pressure of between about 10 and about 1000 Torr and a temperature of between about 700° and about 950° C. In general, a higher temperature and a lower pressure will facilitate more silicon migration to form rounded corners. After the corner rounding process is complete, the radius of the rounded corner (reference “R” of FIG. 2 e) is preferably in the range of about 5 nm to about 50 nm.
  • FIGS. 2 f and 2 g are cross-section views of the wafer 200 illustrated in FIG. 2 e after a nitrogen-containing liner 222 is formed. Preferably, the nitrogen-containing liner 222 is formed by CVD techniques known and used in the art. The nitrogen-containing liner 222 can be a single silicon nitride layer or a silicon oxynitride SiOxNy layer or a nitrogen-doped silicon oxide material, where the atomic percentage of nitrogen in the nitrogen-containing liner may be in the range of about 5 percent to about 60 percent. It is understood, however, that other materials with an oxygen diffusion rate lower than that of silicon oxide may be used.
  • The thickness TN (FIG. 2 g) of the nitrogen-containing liner 222 is preferably in the range of about 0.5 nm and about 20 nm, although it is understood that thicknesses thinner than or thicker than the range specified may be used. The nitrogen-containing liner 222 preferably has an intrinsic stress of between about −1 giga-pascals (GPa) to about +2 GPa, where negative stress indicates compressive stress and positive stress indicates tensile stress. The nitrogen-containing liner 222 is preferably a high tensile stress conformal nitride liner.
  • In accordance with one embodiment of the present invention, the influence of the intrinsic stress in the nitrogen-containing liner 222 on the silicon lattice in the active region can be maximized by having a nitrogen-containing liner that directly contacts the trench sidewalls. The nitrogen-containing liner 222 additionally prevents further oxidation of the trench sidewall during subsequent process steps. Because the nitrogen-containing liner 222 exerts a significant stress on the silicon lattice in the active region, it is important that the silicon lattice contains no weak regions that potentially crack or generate dislocations or defects. Sharp corners are an example of such weak regions where stress may be concentrated and where defects may be generated. It is therefore preferred that rounded corners in the upper and bottom portions of the trench are formed prior to the formation of the nitrogen-containing liner 222.
  • FIG. 2 h is a cross-sectional view of the wafer 200 illustrated in FIG. 2 f after a trench-filling material 224 has been deposited to fill the trench. The trench-filling material 224 is a dielectric material, preferably silicon oxide. It is understood that the trench-filling material may in fact be a combination of trench-filling materials, such as a combination of CVD silicon oxide and CVD poly-silicon. After deposition, the trench-filling material can be densified by either a pyrogenic oxidation anneal at a temperature of 800° Celsius or conventional annealing step in argon ambient at 1000°0 C.
  • A planarization step is performed to planarize the surface of the trench-filling material, stopping on the nitrogen-containing liner 222 or on the silicon nitride layer 216. The planarization step may be accomplished, for example, using a chemical mechanical polishing (CMP) process known and used in the art.
  • FIG. 2 i is a cross-sectional view of the wafer 200 from FIG. 2 h after the silicon nitride layer 216 and the nitrogen-containing liner 222 on the silicon nitride layer 216 are removed. The nitrogen-containing liner 222 on the silicon nitride layer 216 and the silicon nitride layer 216 can be removed, for example, by using an etch in hot phosphoric acid followed by an etch in dilute hydrofluoric acid.
  • FIGS. 2 j and 2 k are cross-section views of the wafer 200 illustrated in FIG. 2 i after the silicon dioxide layer 214 has been removed. Preferably, the silicon dioxide layer 214 is removed by dilute hydrofluoric acid. Transistors may then be formed in the active regions.
  • For example, FIGS. 2 j-2 m show a cross-section of the wafer with a transistor formed thereon. The transistor comprises of a gate electrode 226, a gate dielectric 228, a source region 230, and a drain region 232. After the formation of the transistor, an inter-layer dielectric (ILD) 234 may be deposited over the transistor. The ILD layer 234 typically has a planarized surface and may be comprised of silicon oxide. The ILD layer 234 may be formed by deposition techniques such as CVD. Metal lines 236 may be formed on the planarized surface of the ILD layer 234. The metal lines are comprised of high conductivity metals such as aluminum, copper, and tungsten.
  • Contact plugs, not shown, are typically provided between at least one of the source region 230, the drain region 232 and the gate electrode 226 and the metal line 236. For example, a tungsten plug can be formed by depositing tungsten and etching back or planarizing by a CMP process.
  • FIGS. 3 a-3 g illustrate cross-section views of a wafer during various steps of a second method embodiment of the present invention. The process begins in FIG. 3 a, wherein a wafer 300 having a substrate 310 has been prepared by applying and patterning a mask 312 thereto. The substrate 310 may be, for example, silicon or glass, but preferably silicon. The mask 312 can be comprised of a common mask material such as silicon dioxide, silicon nitride, a silicon nitride on a silicon dioxide stack, or photoresist, but preferably comprises photoresist.
  • FIG. 3 b is a cross-section view of wafer 300 illustrated in FIG. 3 a after trenches 314 are etched in the silicon substrate, preferably using a plasma etching technique. Preferably, the depth of the trenches is about 2000 to 6000 Å.
  • FIG. 3 c is a cross-section view of wafer 300 illustrated in FIG. 3 b after the mask 312 has been removed to expose the active regions. The mask 312 can be removed, for example, by an etch in hot phosphoric acid followed by an etch in dilute hydrofluoric acid.
  • FIG. 3 d is a cross-section view of wafer 300 illustrated in FIG. 3 c after a corner-rounding process has been performed. Because a greater area of silicon is now exposed, silicon migration can be further facilitated. The corner-rounding process is described above with reference to FIG. 2 e.
  • FIG. 3 e is a cross-section view of wafer 300 illustrated in FIG. 3 d after a nitrogen-containing liner 316 and a trench-filling method 318 is applied. The nitrogen-containing liner 316 can be a single silicon nitride layer or a silicon oxynitride SiOxNy layer or a nitrogen-doped silicon oxide material, preferably where the atomic percentage of nitrogen in the nitrogen-containing liner is in the range of about 5 percent to about 60 percent. The nitrogen-containing liner 316 may be formed, for example, by CVD, thermal oxidation of silicon followed by nitridation, or by the nitridation. Nitridation is the process of introducing nitrogen atoms by exposing a surface to a nitrogen-containing ambient, such as a nitrogen-containing plasma.
  • FIG. 3 f is a cross-section view of wafer 300 illustrated in FIG. 3 e after a highly selective planarization process, which stops on the portion of the nitrogen-containing liner overlying the active region. The highly selective planarization process can be a CMP process employing a slurry comprising of cerium oxide CeO2, for example. The portion of the nitrogen-containing liner overlying the active region is then removed by an etch process. The etch process, for example, can be a wet etch in phosphoric acid or a plasma etching process.
  • FIG. 3 g is a cross-section view of wafer 300 illustrated in FIG. 3 f after a transistor has been formed in the active regions. The transistor comprises a gate electrode 320, a gate dielectric 322, a source 324, and a drain 326. After the formation of the transistor, an inter-layer dielectric (ILD) 328 may be deposited over the transistors. The ILD layer 328 typically has a planarized surface and may be comprised of silicon oxide. The ILD layer 328 may be formed by deposition techniques such as CVD. Metal lines, such as metal line 330, may be formed on the planarized surface of the ILD layer 328. The metal lines are comprised of high conductivity metals such as aluminum, copper, and tungsten.
  • FIGS. 4 a-4 d illustrate cross-section views of a wafer during various steps of a third method embodiment of the present invention. The process begins in FIG. 4 a, wherein a wafer 400 having a substrate 410 in which trenches 412 have been formed. One process that may be used to form the trenches 412 is described above with reference to FIGS. 3 a-3 c. The substrate 410 may be silicon or glass, but preferably silicon. Furthermore, the corners of the trenches 412 may or may not be rounded as described above in reference to FIG. 3 d. If rounded corners are not present in the starting material, however, they are preferably rounded. If rounded corners are present in the starting material, they are preferably further rounded.
  • Referring now to FIG. 4 a, a cross-section view is shown of wafer 400 after a silicon dioxide liner 414, a nitrogen-containing liner 416, and a trench-filling material 418 has been deposited on the substrate 410. The silicon dioxide liner 414 may be formed, for example, using wet or dry oxidation at a temperature in the range of 500° to 1000° C. The nitrogen-containing liner 416 is then formed thereon. The nitrogen-containing liner 416 may be formed, for example, by CVD or by nitridation. In the case where the nitridation process is performed, it introduces nitrogen into the silicon oxide liner layer. After the formation of the nitrogen-containing liner 416, a trench-filling material 418 is deposited.
  • FIG. 4 b is a cross-section view of wafer 400 illustrated in FIG. 4 a after a highly selective planarization process has been performed to remove portions of the nitrogen-containing liner 416 and the trench-filling material 418. The highly selective planarization process stops on the portion of the nitrogen-containing liner 416 overlying the active region. The highly selective planarization process can be a CMP process employing a slurry comprising of cerium oxide (CeO2), for example. The portion of the nitrogen-containing liner 416 overlying the active region is then removed by an etch process. The etch process, for example, can be a wet etch in phosphoric acid or a plasma etching process.
  • FIG. 4 c is a cross-section view of wafer 400 illustrated in FIG. 4 b after a portion of the silicon dioxide liner 414 has been removed. The silicon dioxide liner 414 covering the active region may be removed, for example, by a wet etch in dilute hydrofluoric acid.
  • FIG. 4 d is a cross-section view of wafer 400 illustrated in FIG. 4 c after a transistor has been formed in the active regions. The transistor comprises a gate electrode 420, a gate dielectric 422, a source 424, and a drain 426. After the formation of the transistor, an inter-layer dielectric (ILD) 428 may be deposited over the transistors. The ILD layer 428 typically has a planarized surface and may be comprised of silicon oxide. The ILD layer 428 may be formed by deposition techniques such as CVD. Metal lines, such as metal line 430, may be formed on the planarized surface of the ILD layer 428. The metal lines are comprised of high conductivity metals such as aluminum, copper, and tungsten.
  • Although particular embodiments of the invention have been described in detail, it is understood that the invention is not limited correspondingly in scope, but includes all changes, modifications, and equivalents coming within the spirit and terms of the claims appended hereto. For example, while a shallow trench isolation is illustrated, it is understood that this invention may be extended to other isolation structures which are improvements of the shallow trench isolation structure. Accordingly, the specification and figures are to be regarded in an illustrative rather than a restrictive sense.

Claims (20)

1. An isolation structure comprising:
a substrate having a trench with sidewall surfaces and at least one of a top rounded corner and a bottom rounded corner;
a nitrogen-containing liner in contact with at least one of the top rounded corner or the bottom rounded corner; and
a trench-filling material in the trench.
2. The isolation structure of claim 1, wherein the top rounded corner or bottom rounded corner has a radius of curvature in the range of about 5 to about 50 nm.
3. The isolation structure of claim 1, wherein the trench has a trench depth in the range of about 2000 to about 6000 angstroms.
4. The isolation structure of claim 1, wherein the nitrogen-containing liner has a thickness in the range of about 5 to about 200 angstroms.
5. The isolation structure of claim 1, further comprising at least one transistor formed in a portion of the substrate adjacent to the trench.
6. The isolation structure of claim 1, wherein the trench-filling material comprises silicon oxide.
7. The isolation structure of claim 1, wherein the trench-filling material comprises poly-crystalline silicon.
8. The isolation structure of claim 1, wherein the nitrogen-containing liner comprises silicon nitride.
9. The isolation structure of claim 1, wherein the nitrogen containing liner comprises silicon oxynitride.
10. The isolation structure of claim 1, wherein the nitrogen-containing liner has a nitrogen content of about 5 to about 60 percent.
11. A semiconductor structure comprising:
a semiconductor substrate having a trench with a sidewall surface;
a nitrogen-containing liner in contact with the sidewall surface;
a trench-filling material in the trench;
an active area within the semiconductor substrate adjacent the trench, the active area having at least one transistor device formed therein;
an inter-layer dielectric overlying the semiconductor substrate;
a metal line overlying the inter-layer dielectric; and
a conductive contact connecting the metal line to the active area.
12. The semiconductor structure of claim 1 1, wherein the trench has a trench depth in the range of about 2000 to about 6000 angstroms.
13. The semiconductor structure of claim 1 1, wherein the nitrogen-containing liner has a thickness in the range of about 5 to about 200 angstroms.
14. The semiconductor structure of claim 11, wherein the trench-filling material comprises silicon oxide.
15. The semiconductor structure of claim 11, wherein the trench-filling material comprises silicon oxide.
16. The semiconductor structure of claim 11, wherein the trench-filling material comprises poly-crystalline silicon.
17. The semiconductor structure of claim 11, wherein the nitrogen-containing liner comprises silicon nitride.
18. The semiconductor structure of claim 11, wherein the nitrogen-containing liner comprises silicon oxynitride.
19. The semiconductor structure of claim 11, wherein the nitrogen-containing liner has a nitrogen content of about 5 to about 60 percent.
20. The semiconductor structure of claim 11, wherein the inter-layer dielectric comprises silicon oxide.
US11/146,661 2003-08-15 2005-06-07 Isolation structure with nitrogen-containing liner and methods of manufacture Abandoned US20050224907A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/146,661 US20050224907A1 (en) 2003-08-15 2005-06-07 Isolation structure with nitrogen-containing liner and methods of manufacture

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US49531603P 2003-08-15 2003-08-15
US10/687,377 US6974755B2 (en) 2003-08-15 2003-10-16 Isolation structure with nitrogen-containing liner and methods of manufacture
US11/146,661 US20050224907A1 (en) 2003-08-15 2005-06-07 Isolation structure with nitrogen-containing liner and methods of manufacture

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/687,377 Division US6974755B2 (en) 2003-08-15 2003-10-16 Isolation structure with nitrogen-containing liner and methods of manufacture

Publications (1)

Publication Number Publication Date
US20050224907A1 true US20050224907A1 (en) 2005-10-13

Family

ID=34619289

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/687,377 Expired - Fee Related US6974755B2 (en) 2003-08-15 2003-10-16 Isolation structure with nitrogen-containing liner and methods of manufacture
US11/146,661 Abandoned US20050224907A1 (en) 2003-08-15 2005-06-07 Isolation structure with nitrogen-containing liner and methods of manufacture

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/687,377 Expired - Fee Related US6974755B2 (en) 2003-08-15 2003-10-16 Isolation structure with nitrogen-containing liner and methods of manufacture

Country Status (4)

Country Link
US (2) US6974755B2 (en)
CN (2) CN1591817A (en)
SG (1) SG120145A1 (en)
TW (1) TWI239050B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060205164A1 (en) * 2005-03-10 2006-09-14 Chih-Hsin Ko Method of forming a shallow trench isolation structure
US20060223272A1 (en) * 2005-03-29 2006-10-05 Fujitsu Limited Semiconductor device and method of manufacturing the same
US20070018330A1 (en) * 2000-06-26 2007-01-25 Renesas Technology Corporation Semiconductor device and method of manufacturing the same
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US7045836B2 (en) * 2003-07-31 2006-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a strained region and a method of fabricating same
US7495267B2 (en) * 2003-09-08 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a strained region and a method of fabricating same
KR100545175B1 (en) * 2003-12-27 2006-01-24 동부아남반도체 주식회사 Method of fabricating trench isolation for flash memory device
KR100567752B1 (en) * 2003-12-31 2006-04-05 동부아남반도체 주식회사 Method for fabricating semiconductor device
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20060134881A1 (en) * 2004-12-17 2006-06-22 Been-Jon Woo Method of forming trench isolation device capable of reducing corner recess
US20060231908A1 (en) * 2005-04-13 2006-10-19 Xerox Corporation Multilayer gate dielectric
CN100463144C (en) * 2005-09-20 2009-02-18 力晶半导体股份有限公司 Non-volatile memory device and its manufacturing method
KR100856315B1 (en) * 2007-06-22 2008-09-03 주식회사 동부하이텍 Method of manufacturing semiconductor device
US8183160B2 (en) * 2007-10-09 2012-05-22 Freescale Semiconductor, Inc. Method for manufacturing a semiconductor device and semiconductor device obtainable with such a method
US7892929B2 (en) * 2008-07-15 2011-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation corner rounding
CN102468214A (en) * 2010-11-19 2012-05-23 中芯国际集成电路制造(北京)有限公司 Shallow trench isolation structure and forming method thereof
US9318370B2 (en) * 2011-08-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. High-k dielectric liners in shallow trench isolations
CN104638012A (en) * 2015-01-30 2015-05-20 矽力杰半导体技术(杭州)有限公司 Trench isolation type semiconductor structure and manufacturing method thereof
CN108682675A (en) * 2017-03-31 2018-10-19 上海格易电子有限公司 A kind of flash memory and its manufacturing method
US10515845B2 (en) 2017-11-09 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure including isolations and method for manufacturing the same
TWI755545B (en) * 2017-11-09 2022-02-21 台灣積體電路製造股份有限公司 Semiconductor structure including isolations and method for manufacturing the same
DE102020119738B4 (en) * 2020-07-27 2022-02-03 Infineon Technologies Dresden GmbH & Co. KG Process for manufacturing a semiconductor device and semiconductor device with deep trenches

Citations (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314269A (en) * 1978-06-06 1982-02-02 Vlsi Technology Research Association Semiconductor resistor comprising a resistor layer along a side surface
US4631803A (en) * 1985-02-14 1986-12-30 Texas Instruments Incorporated Method of fabricating defect free trench isolation devices
US4839306A (en) * 1987-03-24 1989-06-13 Oki Electric Industry Co., Ltd. Method of manufacturing a trench filled with an insulating material in a semiconductor substrate
US4946799A (en) * 1988-07-08 1990-08-07 Texas Instruments, Incorporated Process for making high performance silicon-on-insulator transistor with body node to source node connection
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5112772A (en) * 1991-09-27 1992-05-12 Motorola, Inc. Method of fabricating a trench structure
US5440166A (en) * 1991-10-31 1995-08-08 Sgs-Thomson Microelectronics, Inc. Planarized isolation structure for CMOS devices
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5521422A (en) * 1994-12-02 1996-05-28 International Business Machines Corporation Corner protected shallow trench isolation device
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5629544A (en) * 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5811347A (en) * 1996-04-29 1998-09-22 Advanced Micro Devices, Inc. Nitrogenated trench liner for improved shallow trench isolation
US5830797A (en) * 1996-06-20 1998-11-03 Cypress Semiconductor Corporation Interconnect methods and apparatus
US6008095A (en) * 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US6015993A (en) * 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
US6020621A (en) * 1998-01-28 2000-02-01 Texas Instruments - Acer Incorporated Stress-free shallow trench isolation
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6222234B1 (en) * 1998-04-15 2001-04-24 Nec Corporation Semiconductor device having partially and fully depleted SOI elements on a common substrate
US6228727B1 (en) * 1999-09-27 2001-05-08 Chartered Semiconductor Manufacturing, Ltd. Method to form shallow trench isolations with rounded corners and reduced trench oxide recess
US6251746B1 (en) * 1998-10-09 2001-06-26 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions having stress-reducing nitride layers therein
US6255164B1 (en) * 1999-08-03 2001-07-03 Worldwide Semiconductor Manufacturing Corp. EPROM cell structure and a method for forming the EPROM cell structure
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6291321B1 (en) * 1997-06-24 2001-09-18 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6294834B1 (en) * 2000-01-21 2001-09-25 United Microelectronics Corp. Structure of combined passive elements and logic circuit on a silicon on insulator wafer
US6323106B1 (en) * 1999-09-02 2001-11-27 Lsi Logic Corporation Dual nitrogen implantation techniques for oxynitride formation in semiconductor devices
US20020031890A1 (en) * 2000-08-28 2002-03-14 Takayuki Watanabe Semiconductor device of STI structure and method of fabricating MOS transistors having consistent threshold voltages
US6358791B1 (en) * 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
US6387739B1 (en) * 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6391729B1 (en) * 2000-03-09 2002-05-21 Advanced Micro Devices, Inc. Shallow trench isolation formation to eliminate poly stringer with controlled step height and corner rounding
US20020076899A1 (en) * 2000-08-02 2002-06-20 Stmicroelectronics S.A. Process for fabricating a substrate of the silicon-on-insulator or silicon-on-nothing type and resulting device
US6414355B1 (en) * 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6417070B1 (en) * 2000-12-13 2002-07-09 International Business Machines Corporation Method for forming a liner in a trench
US6426271B2 (en) * 2000-06-09 2002-07-30 Nanya Technology Corporation Method of rounding the corner of a shallow trench isolation region
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US6461937B1 (en) * 1999-01-11 2002-10-08 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions having recess-inhibiting layers therein that protect against overetching
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6468853B1 (en) * 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US20020153549A1 (en) * 2001-04-20 2002-10-24 Laibowitz Robert Benjamin Tailored insulator properties for devices
US6475838B1 (en) * 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
US6482718B2 (en) * 2001-04-12 2002-11-19 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device
US6489664B2 (en) * 1997-12-12 2002-12-03 Stmicroelectronics S.R.L. Process for fabricating integrated multi-crystal silicon resistors in MOS technology, and integrated MOS device comprising multi-crystal silicon resistors
US6495424B2 (en) * 1999-11-11 2002-12-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US20020190284A1 (en) * 1999-12-30 2002-12-19 Anand Murthy Novel mos transistor structure and method of fabrication
US20030001219A1 (en) * 2001-06-29 2003-01-02 Chau Robert S. Novel transistor structure and method of fabrication
US20030030091A1 (en) * 2001-08-13 2003-02-13 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6524905B2 (en) * 2000-07-14 2003-02-25 Nec Corporation Semiconductor device, and thin film capacitor
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20030080386A1 (en) * 2001-02-15 2003-05-01 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US6558998B2 (en) * 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6723617B1 (en) * 2002-10-14 2004-04-20 Hynix Semiconductor Inc. Method of manufacturing a semiconductor device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6488853B1 (en) * 2000-10-04 2002-12-03 Great Circle Technologies, Inc. Process and apparatus for treating wastewater

Patent Citations (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314269A (en) * 1978-06-06 1982-02-02 Vlsi Technology Research Association Semiconductor resistor comprising a resistor layer along a side surface
US4631803A (en) * 1985-02-14 1986-12-30 Texas Instruments Incorporated Method of fabricating defect free trench isolation devices
US4839306A (en) * 1987-03-24 1989-06-13 Oki Electric Industry Co., Ltd. Method of manufacturing a trench filled with an insulating material in a semiconductor substrate
US4946799A (en) * 1988-07-08 1990-08-07 Texas Instruments, Incorporated Process for making high performance silicon-on-insulator transistor with body node to source node connection
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5112772A (en) * 1991-09-27 1992-05-12 Motorola, Inc. Method of fabricating a trench structure
US5440166A (en) * 1991-10-31 1995-08-08 Sgs-Thomson Microelectronics, Inc. Planarized isolation structure for CMOS devices
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5521422A (en) * 1994-12-02 1996-05-28 International Business Machines Corporation Corner protected shallow trench isolation device
US5629544A (en) * 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5811347A (en) * 1996-04-29 1998-09-22 Advanced Micro Devices, Inc. Nitrogenated trench liner for improved shallow trench isolation
US5830797A (en) * 1996-06-20 1998-11-03 Cypress Semiconductor Corporation Interconnect methods and apparatus
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US6046487A (en) * 1997-01-28 2000-04-04 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6291321B1 (en) * 1997-06-24 2001-09-18 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6489664B2 (en) * 1997-12-12 2002-12-03 Stmicroelectronics S.R.L. Process for fabricating integrated multi-crystal silicon resistors in MOS technology, and integrated MOS device comprising multi-crystal silicon resistors
US6020621A (en) * 1998-01-28 2000-02-01 Texas Instruments - Acer Incorporated Stress-free shallow trench isolation
US6222234B1 (en) * 1998-04-15 2001-04-24 Nec Corporation Semiconductor device having partially and fully depleted SOI elements on a common substrate
US6558998B2 (en) * 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
US6008095A (en) * 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US6387739B1 (en) * 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6232163B1 (en) * 1998-08-31 2001-05-15 International Business Machines Corporation Method of forming a semiconductor diode with depleted polysilicon gate structure
US6015993A (en) * 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
US6251746B1 (en) * 1998-10-09 2001-06-26 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions having stress-reducing nitride layers therein
US6461937B1 (en) * 1999-01-11 2002-10-08 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions having recess-inhibiting layers therein that protect against overetching
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6358791B1 (en) * 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
US6255164B1 (en) * 1999-08-03 2001-07-03 Worldwide Semiconductor Manufacturing Corp. EPROM cell structure and a method for forming the EPROM cell structure
US6323106B1 (en) * 1999-09-02 2001-11-27 Lsi Logic Corporation Dual nitrogen implantation techniques for oxynitride formation in semiconductor devices
US6228727B1 (en) * 1999-09-27 2001-05-08 Chartered Semiconductor Manufacturing, Ltd. Method to form shallow trench isolations with rounded corners and reduced trench oxide recess
US6495424B2 (en) * 1999-11-11 2002-12-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US20020190284A1 (en) * 1999-12-30 2002-12-19 Anand Murthy Novel mos transistor structure and method of fabrication
US6294834B1 (en) * 2000-01-21 2001-09-25 United Microelectronics Corp. Structure of combined passive elements and logic circuit on a silicon on insulator wafer
US6391729B1 (en) * 2000-03-09 2002-05-21 Advanced Micro Devices, Inc. Shallow trench isolation formation to eliminate poly stringer with controlled step height and corner rounding
US6475838B1 (en) * 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6426271B2 (en) * 2000-06-09 2002-07-30 Nanya Technology Corporation Method of rounding the corner of a shallow trench isolation region
US6524905B2 (en) * 2000-07-14 2003-02-25 Nec Corporation Semiconductor device, and thin film capacitor
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US20020076899A1 (en) * 2000-08-02 2002-06-20 Stmicroelectronics S.A. Process for fabricating a substrate of the silicon-on-insulator or silicon-on-nothing type and resulting device
US6468853B1 (en) * 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US20020031890A1 (en) * 2000-08-28 2002-03-14 Takayuki Watanabe Semiconductor device of STI structure and method of fabricating MOS transistors having consistent threshold voltages
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6417070B1 (en) * 2000-12-13 2002-07-09 International Business Machines Corporation Method for forming a liner in a trench
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6414355B1 (en) * 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US6448114B1 (en) * 2001-01-26 2002-09-10 Advanced Micro Devices, Inc. Method of fabricating a silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US20030080386A1 (en) * 2001-02-15 2003-05-01 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US6482718B2 (en) * 2001-04-12 2002-11-19 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device
US20020153549A1 (en) * 2001-04-20 2002-10-24 Laibowitz Robert Benjamin Tailored insulator properties for devices
US20030001219A1 (en) * 2001-06-29 2003-01-02 Chau Robert S. Novel transistor structure and method of fabrication
US6653700B2 (en) * 2001-06-29 2003-11-25 Intel Corporation Transistor structure and method of fabrication
US20030030091A1 (en) * 2001-08-13 2003-02-13 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6723617B1 (en) * 2002-10-14 2004-04-20 Hynix Semiconductor Inc. Method of manufacturing a semiconductor device

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070018330A1 (en) * 2000-06-26 2007-01-25 Renesas Technology Corporation Semiconductor device and method of manufacturing the same
US7947596B2 (en) * 2000-06-26 2011-05-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US20060205164A1 (en) * 2005-03-10 2006-09-14 Chih-Hsin Ko Method of forming a shallow trench isolation structure
US7238564B2 (en) * 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
US20070235835A1 (en) * 2005-03-10 2007-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation structure for semiconductor device
US7745904B2 (en) 2005-03-10 2010-06-29 Taiwan Semiconductor Manufacturing Company Shallow trench isolation structure for semiconductor device
US20060223272A1 (en) * 2005-03-29 2006-10-05 Fujitsu Limited Semiconductor device and method of manufacturing the same
US7442995B2 (en) * 2005-03-29 2008-10-28 Fujitsu Limited Semiconductor device and method of manufacturing the same
US7741185B2 (en) 2005-03-29 2010-06-22 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US10854713B2 (en) 2015-07-29 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming trench structure of semiconductor device

Also Published As

Publication number Publication date
CN1591817A (en) 2005-03-09
TWI239050B (en) 2005-09-01
SG120145A1 (en) 2006-03-28
CN2751439Y (en) 2006-01-11
US20050035426A1 (en) 2005-02-17
US6974755B2 (en) 2005-12-13
TW200507098A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
US20050224907A1 (en) Isolation structure with nitrogen-containing liner and methods of manufacture
TWI278960B (en) Method for achieving improved STI gap fill with reduced stress
JP4007740B2 (en) Semiconductor device trench isolation method
US6265302B1 (en) Partially recessed shallow trench isolation method for fabricating borderless contacts
US7442620B2 (en) Methods for forming a trench isolation structure with rounded corners in a silicon substrate
US5960298A (en) Method of fabricating semiconductor device having trench isolation structure
TW554472B (en) A method for forming shallow trench isolation
US20010006839A1 (en) Method for manufacturing shallow trench isolation in semiconductor device
US6475865B1 (en) Method of fabricating semiconductor device
US20050253199A1 (en) Semiconductor device and manufacturing method thereof
US6649488B2 (en) Method of shallow trench isolation
JP3974286B2 (en) Shallow trench isolation method
US6358785B1 (en) Method for forming shallow trench isolation structures
US20110012226A1 (en) Semiconductor device and method for manufacturing the same
US7410873B2 (en) Method of manufacturing a semiconductor device
KR20010008775A (en) Method for shallow trench isolation
TWI320214B (en) Method of forming a trench isolation structure
TW200421525A (en) Method of forming shallow trench isolation(STI) with chamfered corner
EP1184902A1 (en) Method for forming an isolation trench in a SOI substrate
US20060141740A1 (en) Semiconductor device with shallow trench isolation and a manufacturing method thereof
US6838356B2 (en) Method of forming a trench isolation
TWI240375B (en) Integrated circuit structure and method of fabrication
JP2953447B2 (en) Manufacturing method of groove-separated semiconductor device
US6316330B1 (en) Method of fabricating a shallow trench isolation semiconductor device
JPH11307625A (en) Semiconductor device and manufacture thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION