US20050239002A1 - Semiconductor multilevel interconnect structure - Google Patents

Semiconductor multilevel interconnect structure Download PDF

Info

Publication number
US20050239002A1
US20050239002A1 US11/156,709 US15670905A US2005239002A1 US 20050239002 A1 US20050239002 A1 US 20050239002A1 US 15670905 A US15670905 A US 15670905A US 2005239002 A1 US2005239002 A1 US 2005239002A1
Authority
US
United States
Prior art keywords
layer
hardmask
photoresist
amorphous carbon
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/156,709
Inventor
Weimin Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/156,709 priority Critical patent/US20050239002A1/en
Publication of US20050239002A1 publication Critical patent/US20050239002A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Definitions

  • This invention relates generally to the field of semiconductor memory and logic devices.
  • the invention relates more specifically to a method of fabricating a semiconductor multilevel interconnect structure, as well as the resulting structure.
  • conductive materials such as copper, having low resistivity and low k (a dielectric constant of less than 4.0) in order to reduce the capacitive coupling between structures such as interconnect lines.
  • a method of fabrication known as a dual damascene process can be used to form the interconnects.
  • a dielectric layer is etched to define both the contacts and vias, and the interconnect lines.
  • Metal is then inlaid into the defined pattern and any excess metal is removed from the top of the structure in a planarization process, such as chemical mechanical polishing.
  • a dual damascene process is employed to produce a structure having at least one trench in the surface of a dielectric layer, an insulating layer in the trench, and at least one void in the insulating layer.
  • the insulating layer can consist of a low dielectric constant material such as amorphous carbon.
  • the void is used to reduce the effective dielectric constant of the dielectric layer so as to reduce the parasitic capacitance between two adjacent copper wiring lines.
  • FIG. 6 is a partial cross-sectional view of a structure 300 fabricated by a conventional dual damascene process. Once the bulk of the photoresist has been removed, a residue of photoresist 340 may still be left on intermetal dielectric layer (IMD) 310 at each of the trench 330 /via 320 edges. The presence of the photoresist residue can adversely affect the performance of the multilevel interconnect.
  • IMD intermetal dielectric layer
  • the IMD may be partially etched before the trench and/or via patterning are completed. If there is any misalignment in the trench/via patterning, it cannot be corrected once the IMD has been etched.
  • the photoresist is optimized not for imaging performance, but rather, for its etch resistance. That is, because the photoresist must be etch resistant (i.e., relatively thick) in a conventional process, the imaging qualities of the photoresist may be compromised for the benefit of etch performance.
  • the present invention provides a method of fabricating a semiconductor multilevel interconnect structure, as well as the resulting structure. More specifically, the present invention provides a dual damascene method of fabrication using a dual hardmask technique that mitigates the above-described deficiencies associated with conventional processes.
  • the present invention relates to a dual damascene, dual hardmask, method of fabrication using amorphous carbon as a first hardmask layer capable of being etched by a second etch process, and a second hardmask layer capable of being etched by a first etch process.
  • the present method affords flexibility unattainable with conventional dual damascene processes.
  • the method includes forming a via and trench associated with the interconnect structure by selectively etching a layer of amorphous carbon as a first hardmask layer capable of being etched by a second etch process, and etching a second hardmask layer capable of being etched by a first etch process.
  • the method also includes using protective layers to isolate intermetal dielectric layers from layers of photoresist applied during the fabrication process.
  • the present invention is also directed to a structure for use in fabricating a dual damascene opening according to the above-described method of fabrication.
  • the structure includes a first layer comprising a first intermetal dielectric layer and a metal portion; a first protective layer on the first layer; a second intermetal dielectric layer on the first protective layer; a second protective layer on the second intermetal dielectric layer; a layer of amorphous carbon as a first hardmask layer on the second protective layer; a second hardmask layer on the amorphous carbon layer; and a patterned layer of photoresist on the second hardmask layer.
  • the present method and structure have several advantages over conventional dual damascene processes and structures.
  • this processing sequence eliminates the potential “poisoning” of the IMD layer which can result from the interaction between the photoresist and the IMD layer during application of the photoresist.
  • the photolithographic imaging for both the trench and the via are completed before the IMD etch, so, if necessary, it is easy to rework any patterning misalignments to ensure that both the trench and the via are etched correctly.
  • the conventional dual damascene requirement that the photoresist be etch resistant is not a constraint with the present method. That is, because the amorphous carbon layer and the second hardmask layer are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance. Finally, because each of the hardmask layers is ultimately removed in the fabrication sequence, they do not impact the final IMD structure.
  • FIG. 1 is a partial cross-sectional view of a structure constructed in accordance with the present invention for use with a multilevel interconnect.
  • FIGS. 2 A-J illustrate a first embodiment of the method of fabricating the structure depicted in FIG. 1 .
  • FIGS. 3 A-C are a flow diagram of the fabrication sequence corresponding to FIGS. 2 A-J.
  • FIGS. 4 A-I illustrate a second embodiment of the method of fabricating the structure depicted in FIG. 1 .
  • FIGS. 5 A-C are a flow diagram of the fabrication sequence corresponding to FIGS. 4 A-I.
  • FIG. 6 is a partial cross-sectional view of a structure fabricated by a conventional method that leaves photoresist residue on the intermetal dielectric layer at the trench/via edge.
  • FIG. 1 is a partial cross-sectional view of an interconnect structure 100 constructed in accordance with the present invention for use with a multilevel interconnect.
  • Structure 100 includes a first layer 110 having a first intermetal dielectric layer 111 and a metal portion 112 ; an etched protective layer 120 ; an etched second intermetal dielectric layer 130 ; a via 170 ; and a trench 190 .
  • Structure 100 can accommodate the deposition of an inlaid multilevel interconnect metal.
  • structure 100 is characterized by the absence of any undesirable “ear” (i.e., structural formation of resist residue) on the etched IMD layer 130 at the trench/via edge.
  • FIGS. 2 A-J illustrate a first embodiment of the method of fabricating the structure 100 depicted in FIG. 1 .
  • FIGS. 3 A-C are a flow diagram of the fabrication sequence corresponding to FIGS. 2 A-J.
  • the method includes first assembling a dual hardmask structure to be etched.
  • a first layer 110 comprising a first intermetal dielectric layer 111 and a metal portion 112 is formed (step 1010 ).
  • the metal of metal portion 112 may be Cu or any other metal typically employed as a multilevel interconnect.
  • a first protective layer 120 is deposited (step 1020 ) upon the first layer 110 .
  • the first protective layer 120 typically comprises a material selected from the group consisting of silicon nitrides, silicon carbo-nitrides, and silicon carbides.
  • a second intermetal dielectric layer 130 is deposited (step 1030 ) upon the first protective layer 120 .
  • a second protective layer 135 is deposited (step 1035 ) upon the second intermetal dielectric layer 130 .
  • the second protective layer 135 typically comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides.
  • the second protective layer 135 can be a dielectric antireflective coating.
  • the second protective layer 135 serves to protect the underlying second intermetal dielectric layer 130 from possible contamination associated with exposure to the subsequently-deposited photoresist (described below).
  • a layer of amorphous carbon as a first hardmask layer 140 capable of being etched by a second etch process (described below) is deposited (step 1040 ) upon the second protective layer 135 .
  • a second hardmask layer 150 capable of being etched by a first etch process (described below) is deposited (step 1050 ) upon the amorphous carbon layer 140 .
  • a first layer of photoresist 160 is deposited (step 1060 ) upon the second hardmask layer 150 and patterned with an opening 161 through which etching will occur.
  • the amorphous carbon layer 140 which can be deposited as a PECVD film, has a very slow etch rate for the etch chemistry associated with the material of the second hardmask layer 150 (i.e., the etch selectivity can be as high as 100:1). Furthermore, amorphous carbon can be easily etched with an etch chemistry (described below) that does not etch the second hardmask layer 150 . This unique property of amorphous carbon makes it possible to use, for example, a stack of the amorphous carbon layer 140 and the second hardmask layer 150 as a dual hardmask in the present dual damascene process.
  • the second hardmask layer 150 typically comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides.
  • the layer of material 150 can be a dielectric antireflective coating.
  • a first portion of a via 170 is formed by etching ( FIG. 2B )(step 1070 ) the second hardmask layer 150 using a first etch process.
  • the first etch process which etches the second hardmask layer 150 , typically employs a plasma containing C x F y H z .
  • An oxide for example, can be easily etched by a plasma having C x F y H z (e.g., CF 4 ), but is not etched at all by any of the etchants (described below) that may be used to etch the amorphous carbon layer 140 .
  • a second portion of the via 170 is formed by etching ( FIG. 2C )(step 1080 ) the amorphous carbon layer 140 using a second etch process.
  • step 1080 for the following two reasons, the first layer of photoresist 160 is completely consumed.
  • the photoresist layer 160 is etched by the same etchant as is the amorphous carbon layer 140 .
  • the photoresist layer 160 etches faster than amorphous carbon layer 140 because the amorphous carbon is harder than photoresist.
  • a dual hardmask is employed, and because a second layer of photoresist 180 ( FIG.
  • the photoresist layer 160 can be a relatively thin layer, having a thickness chosen to provide the optimal photo-imaging performance.
  • the photoresist layer 160 typically has a thickness of from 1000 to 6000 ⁇ .
  • the second etch process which etches the amorphous carbon layer 140 , typically employs an etchant selected from the group consisting of O 2 plasma, N 2 and O 2 plasma, N 2 O plasma, NO plasma, H 2 plasma, and NH 3 plasma.
  • an etchant selected from the group consisting of O 2 plasma, N 2 and O 2 plasma, N 2 O plasma, NO plasma, H 2 plasma, and NH 3 plasma.
  • O 2 plasma, or a plasma of N 2 and O 2 the layer of material 140 can be easily etched and results in the release of CO 2 .
  • H 2 plasma or NH 3 plasma the amorphous carbon layer 140 can be easily etched and results in the release of CH 4 .
  • a second layer of photoresist 180 is deposited ( FIG. 2D )(step 1090 ) on the etched second hardmask layer 150 and patterned with an opening 181 through which etching will occur.
  • a first portion of a trench 190 is then formed by etching ( FIG. 2E )(step 1100 ) the etched second hardmask layer 150 through opening 181 using the first etch process.
  • the amorphous carbon layer 140 is an excellent etch stop because amorphous carbon layer 140 is not selectively etched with the etched second hardmask layer 150 .
  • the second intermetal dielectric layer 130 is advantageously never exposed to the photoresist 180 , thus avoiding any potential poisoning of the layer 130 .
  • This feature of the present invention therefore, minimizes the potential for poisoning that can arise with conventional processes in which the via is fully opened to the level of the intermetal dielectric layer before the second layer of photoresist is applied, thereby exposing the intermetal dielectric layer to direct contact with the photoresist.
  • a third portion of the via 170 is then formed by etching ( FIG. 2F )(step 1110 ) the second protective layer 135 and the second intermetal dielectric layer 130 using the first etch process.
  • the etched amorphous carbon layer 140 is used as a hardmask to effect the via etch through the second protective layer 135 and the second intermetal dielectric layer 130 , and some of the photoresist 180 is consumed.
  • the via etch can be a full via etch stop on the protective layer 120 as shown in FIG. 2F , or a partial etch.
  • the second protective layer 135 and the second intermetal dielectric layer 130 are etched with the first etch process, typically by a plasma containing C x F y H z (e.g., CF 4 ).
  • a second portion of the trench 190 is formed by etching ( FIG. 2G )(step 1120 ) the etched amorphous carbon layer 140 using the second etch process.
  • the etched second hardmask layer 150 serves as the hardmask, and trench patterning is effected through the etched amorphous carbon layer 140 as the photoresist 180 is completely consumed.
  • trench etching of the etched second protective layer 135 and the etched second intermetal dielectric layer 130 is effected using the first etch process, with the etched amorphous carbon layer 140 serving as the hardmask.
  • a third portion of the trench 190 is formed by etching ( FIG. 2H )(step 1130 ) the etched second protective layer 135 and the etched second intermetal dielectric layer 130 and thereby removing all of the etched second hardmask layer 150 .
  • the etched amorphous carbon layer 140 is then removed by etching ( FIG. 2I )(step 1140 ) using the second etch process without in any way damaging the etched second intermetal dielectric layer 130 .
  • This step of etching to remove the amorphous carbon layer 140 typically employs the above-described etchant selected from the group consisting of O 2 plasma, N 2 and O 2 plasma, N 2 O plasma, NO plasma, H 2 plasma, and NH 3 plasma.
  • the etching to remove the amorphous carbon layer 140 may employ a hot non-plasma etchant selected from the group consisting of O 2 , O 3 , N 2 O, NO, H 2 , and NH 3 .
  • the etch is isotropic, and the gaseous etchant must be employed at an elevated temperature.
  • Other non-plasma etchants may be employed to remove the amorphous carbon layer 140 , such as compounds of the formula C x F y H z , but with these etchants the etch rate is much slower than that attainable with either the above-described plasma etchants (i.e., O 2 plasma, N 2 and O 2 plasma, N 2 O plasma, NO plasma, H 2 plasma, and NH 3 plasma) or hot non-plasma etchants (i.e., O 2 O 3 , N 2 O, NO, H 2 , and NH 3 ).
  • the etched second protective layer 135 and a portion of the first protective layer 120 that is disposed above the metal portion 112 are then removed by etching ( FIG. 2J )(step 1150 ) using the first etch process so as to complete the formation of the via 170 .
  • the resultant structure 100 is thus ready for deposition of the inlaid interconnect metal.
  • the etch chemistry of the photoresist layers 160 and 180 is similar to the etch chemistry of the amorphous carbon layer 140 , but the photoresist etches faster because amorphous carbon is harder than photoresist.
  • the photoresist is optimized not for imaging performance, but rather, for its etch resistance. That is, because the photoresist must be etch resistant (i.e., relatively thick) in a conventional process, the imaging qualities of the photoresist may be compromised for the benefit of etch performance.
  • An advantage of the present invention is that because the amorphous carbon layer 140 and the second hardmask layer 150 are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance.
  • FIGS. 4 A-I illustrate a second embodiment of the method of fabricating the structure 100 depicted in FIG. 1 .
  • FIGS. 5 A-C are a flow diagram of the fabrication sequence corresponding to FIGS. 4 A-I.
  • the method includes first assembling a dual hardmask structure to be etched.
  • the first five steps of assembling the layered structure are identical to the first five steps described above (steps 1010 , 1020 , 1030 , 1040 , and 1050 ) for the first embodiment of the method of fabrication.
  • a first layer 210 comprising a first intermetal dielectric layer 211 and a metal portion 212 is formed (step 2010 ).
  • the metal of metal portion 212 may be Cu or any other metal typically employed as a multilevel interconnect.
  • a first protective layer 220 is deposited (step 2020 ) upon the first layer 210 .
  • the first protective layer 220 typically comprises a material selected from the group consisting of silicon nitrides, silicon carbo-nitrides, and silicon carbides.
  • a second intermetal dielectric layer 230 is deposited (step 2030 ) upon the first protective layer 220 .
  • a second protective layer 235 is deposited (step 2035 ) upon the second intermetal dielectric layer 230 .
  • the second protective layer 235 typically comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides. In another embodiment, the second protective layer 235 can be a dielectric antireflective coating.
  • the second protective layer 235 serves to protect the underlying second intermetal dielectric layer 230 from possible contamination associated with exposure to the subsequently-deposited photoresist (described below).
  • a layer of amorphous carbon as a first hardmask layer 240 capable of being etched by a second etch process (described below) is deposited (step 2040 ) upon the second protective layer 230 .
  • a second hardmask layer 250 capable of being etched by a first etch process (described below) is deposited (step 2050 ) upon the amorphous carbon layer 240 .
  • a first layer of photoresist 260 is deposited (step 2060 ) upon the second hardmask layer 250 and patterned with an opening 261 through which etching will occur.
  • the photoresist layer 260 can be a relatively thin layer, having a thickness chosen to provide the optimal photo-imaging performance.
  • the photoresist layer 260 typically has a thickness of from 1000 to 6000 ⁇ .
  • the amorphous carbon layer 240 which can be deposited as a PECVD film, has a very slow etch rate for the etch chemistry associated with the material of the second hardmask layer 250 (i.e., the etch selectivity can be as high as 100:1). Furthermore, amorphous carbon can be easily etched with an etch chemistry that does not etch the second hardmask layer 250 . This unique property of amorphous carbon makes it possible to use, for example, a stack of the amorphous carbon layer 240 and the second hardmask layer 250 as a dual hardmask in the present dual damascene process.
  • the second hardmask layer 250 typically comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides.
  • the layer of material 250 can be a dielectric antireflective coating.
  • a first portion of a trench 270 is formed by etching ( FIG. 4B )(step 2070 ) the second hardmask layer 250 using a first etch process.
  • the amorphous carbon layer 240 is an excellent etch stop because amorphous carbon layer 240 is not selectively etched with the second hardmask layer 250 .
  • the first etch process which etches the second hardmask layer 250 , typically employs a plasma containing C x F y H z .
  • An oxide for example, can be easily etched by a plasma containing C x F y H z (e.g., CF 4 ), but is not etched at all by any of the etchants (described below) that may be used to etch the amorphous carbon layer 240 .
  • a second layer of photoresist 280 is then deposited ( FIG. 4C )(step 2080 ) upon the etched second hardmask layer 250 and on a portion of the amorphous carbon layer 240 and patterned with an opening 281 through which etching will occur.
  • a first portion of a via 290 is formed by etching ( FIG. 4D )(step 2090 ) the amorphous carbon layer 240 using a second etch process. Because of the presence of second protective layer 235 , the second intermetal dielectric layer 230 is advantageously never exposed to the photoresist 280 , thus avoiding any potential poisoning reaction with the layer 230 .
  • the second etch process which etches the amorphous carbon layer 240 , typically employs an etchant selected from the group consisting of O 2 plasma, N 2 and O 2 plasma, H 2 plasma, and NH 3 plasma.
  • an etchant selected from the group consisting of O 2 plasma, N 2 and O 2 plasma, H 2 plasma, and NH 3 plasma.
  • O 2 plasma, or a plasma of N 2 and O 2 the layer of material 240 can be easily etched and results in the release of CO 2 .
  • H 2 plasma or NH 3 plasma the amorphous carbon layer 240 can be easily etched and results in the release of CH 4 .
  • a second portion of the via 290 is then formed by etching ( FIG. 4E )(step 2100 ) the second protective layer 235 and the second intermetal dielectric layer 230 using the first etch process.
  • a second portion of the trench 270 is formed by etching ( FIG. 4F )(step 2110 ) the etched amorphous carbon layer 240 using the second etch process.
  • the second layer of photoresist 280 is removed, and the etched second hardmask layer 250 serves as the hardmask.
  • a third portion of the trench 270 is formed by etching ( FIG. 4G )(step 2120 ) the etched second protective layer 235 and the etched second intermetal dielectric layer 230 using the first etch process and thereby removing all of the etched second hardmask layer 250 .
  • the etched amorphous carbon layer 240 serves as the hardmask.
  • the etched amorphous carbon layer 240 is then removed by etching ( FIG. 4H )(step 2130 ) using the second etch process.
  • This step of etching to remove the amorphous carbon layer 240 typically employs the above-described etchant selected from the group consisting of O 2 plasma, N 2 and O 2 plasma, N 2 O plasma, NO plasma, H 2 plasma, and NH 3 plasma.
  • the etching to remove the amorphous carbon layer 240 may employ a hot non-plasma etchant selected from the group consisting of O 2 , O 3 , N 2 O, NO, H 2 , and NH 3 .
  • the etch is isotropic, and the gaseous etchant must be employed at an elevated temperature.
  • Other non-plasma etchants may be employed to remove the amorphous carbon layer 240 , such as compounds of the formula C x F y H z , but with these etchants the etch rate is much slower than that attainable with either the above-described plasma etchants (i.e., O 2 plasma, N 2 and O 2 plasma, N 2 O plasma, NO plasma, H 2 plasma, and NH 3 plasma) or hot non-plasma etchants (i.e., O 2 , O 3 , N 2 O, NO, H 2 , and NH 3 ).
  • the etched second protective layer 235 and a portion of the first protective layer 220 that is disposed above the metal portion 212 are then removed by etching ( FIG. 41 )(step 2140 ) using the first etch process so as to complete the formation of the via 290 .
  • the resultant structure 100 is thus ready for deposition of the inlaid interconnect metal.
  • the etch chemistry of the photoresist layers 260 and 280 is similar to that of the etch chemistry of the amorphous carbon layer 240 , but the photoresist etches faster because amorphous carbon is harder than photoresist.
  • the photoresist is optimized not for imaging performance, but rather, for its etch resistance. That is, because the photoresist must be etch resistant (i.e., relatively thick) in a conventional process, the imaging qualities of the photoresist may be compromised for the benefit of etch performance.
  • An advantage of the present invention is that because the amorphous carbon layer 240 and the second hardmask layer 250 are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance.
  • structure 101 comprises a first layer 110 ( 210 ) comprising a first intermetal dielectric layer 111 ( 211 ) and a metal portion 112 ( 212 ).
  • a first protective layer 120 ( 220 ) is disposed on the first layer 110 ( 210 ), and a second intermetal dielectric layer 130 ( 230 ) is disposed on the first protective layer 120 ( 220 ).
  • a second protective layer 135 ( 235 ) is disposed on the second intermetal dielectric layer 130 ( 230 ).
  • a layer of amorphous carbon as a first hardmask layer 140 ( 240 ) capable of being etched by a second etch process is disposed on the second protective layer 135 ( 235 ), and a second hardmask layer 150 ( 250 ) capable of being etched by a first etch process is disposed on the amorphous carbon layer 140 ( 240 ).
  • a layer of photoresist 160 ( 260 ) is disposed on the second hardmask layer 150 ( 250 ) and has an opening 161 ( 261 ) through which etching will occur.
  • the present invention therefore, provides a method and structure having several advantages over conventional dual damascene processes and structures.
  • the features described herein such as the selective etch chemistry employed with the dual hardmask
  • the present method affords flexibility unattainable with conventional dual damascene processes.
  • the via after being opened, is never in contact with the photoresist. This eliminates the “ear” formation problem at the trench/via edge which results from the presence of photoresist residue on the IMD layer.
  • this processing sequence eliminates the potential “poisoning” of the IMD layer which can result from the interaction between the photoresist and the IMD layer during application of the photoresist.
  • the photolithographic imaging for both the trench and the via are completed before the IMD etch, so, if necessary, it is easy to rework any patterning misalignments to ensure that both the trench and the via are etched correctly.
  • the conventional requirement that the photoresist be etch resistant is not a constraint with the present method. That is, because the amorphous carbon layer and the second hardmask layer are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance. Finally, because each of the hardmask layers is ultimately removed in the fabrication sequence, they do not impact the final IMD structure.

Abstract

A method of fabricating a semiconductor multilevel interconnect structure employs a dual hardmask technique in a dual damascene process. The method includes using amorphous carbon as a first hardmask layer capable of being etched by a second etch process, and a second hardmask layer capable of being etched by a first etch process, as a dual hardmask. By virtue of the selective etch chemistry employed with the dual hardmask, the method affords flexibility unattainable with conventional processes. The via is never in contact with the photoresist, thus eliminating residual photoresist at the trench/via edge and the potential “poisoning” of the intermetal dielectric layer. Since trench/via imaging is completed before further etching, any patterning misalignments can be easily reworked. Because the amorphous carbon layer and the second hardmask layer are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention relates generally to the field of semiconductor memory and logic devices. The invention relates more specifically to a method of fabricating a semiconductor multilevel interconnect structure, as well as the resulting structure.
  • 2. Description of the Related Art
  • In order to improve the speed of semiconductor devices on integrated circuits, it has become desirable to use conductive materials, such as copper, having low resistivity and low k (a dielectric constant of less than 4.0) in order to reduce the capacitive coupling between structures such as interconnect lines.
  • Because materials such as copper are difficult to etch in a precise pattern, a method of fabrication known as a dual damascene process can be used to form the interconnects. In a conventional dual damascene process, a dielectric layer is etched to define both the contacts and vias, and the interconnect lines. Metal is then inlaid into the defined pattern and any excess metal is removed from the top of the structure in a planarization process, such as chemical mechanical polishing.
  • In order to provide the interconnects such as those fabricated from copper, various approaches have been proposed. For example, photolithography using an SiO2/SiNx dual hardmask for an organic low k dual damascene process is known. In another approach, described in U.S. Pat. No. 6,291,334, a low k etch stop material, such as an amorphous carbon, is deposited between two dielectric layers and is then patterned to define the underlying interlevel contacts/vias. The entire dual damascene structure is then etched in a single selective etch process which first etches the patterned interconnects, then etches the contact/vias past the patterned etch stop. The etch stop has a low dielectric constant relative to a conventional SiN etch stop, thereby minimizing the capacitive coupling between adjacent interconnect lines.
  • In still another approach, described in U.S. Pat. No. 6,297,554, a dual damascene process is employed to produce a structure having at least one trench in the surface of a dielectric layer, an insulating layer in the trench, and at least one void in the insulating layer. The insulating layer can consist of a low dielectric constant material such as amorphous carbon. The void is used to reduce the effective dielectric constant of the dielectric layer so as to reduce the parasitic capacitance between two adjacent copper wiring lines.
  • Despite the benefits of using interconnects such as those fabricated from copper, there can be certain drawbacks associated with use of a conventional dual damascene process. First, the conventional process can leave an undesirable “ear” (or “fence” or “fender”) formation of photoresist residue at the trench/via edge. FIG. 6 is a partial cross-sectional view of a structure 300 fabricated by a conventional dual damascene process. Once the bulk of the photoresist has been removed, a residue of photoresist 340 may still be left on intermetal dielectric layer (IMD) 310 at each of the trench 330/via 320 edges. The presence of the photoresist residue can adversely affect the performance of the multilevel interconnect.
  • Secondly, one of the major problems associated with dual damascene integration, especially when a low k IMD layer is used, is the “poisoning” of the IMD which can result from the interaction between the photoresist and the IMD. The poisoning, which occurs during application of the photoresist, arises because a low k IMD material, which is relatively porous, can absorb chemicals associated with the photoresist. The subsequent outgassing of these chemicals during via metallization leads to structural defects in the via. Neither of the above-described conventional dual damascene processes overcomes either of these drawbacks.
  • Thirdly, another drawback associated with conventional dual damascene processes is their lack of flexibility. For example, with the conventional process, the IMD may be partially etched before the trench and/or via patterning are completed. If there is any misalignment in the trench/via patterning, it cannot be corrected once the IMD has been etched.
  • Finally, in conventional dual damascene processes, the photoresist is optimized not for imaging performance, but rather, for its etch resistance. That is, because the photoresist must be etch resistant (i.e., relatively thick) in a conventional process, the imaging qualities of the photoresist may be compromised for the benefit of etch performance.
  • Therefore, a need exists for a method of dual damascene fabrication which not only avoids the formation of residual photoresist and avoids poisoning of the IMD, but which provides flexibility in patterning and provides for optimization of the photoresist for imaging performance.
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention provides a method of fabricating a semiconductor multilevel interconnect structure, as well as the resulting structure. More specifically, the present invention provides a dual damascene method of fabrication using a dual hardmask technique that mitigates the above-described deficiencies associated with conventional processes.
  • Accordingly, the present invention relates to a dual damascene, dual hardmask, method of fabrication using amorphous carbon as a first hardmask layer capable of being etched by a second etch process, and a second hardmask layer capable of being etched by a first etch process. By virtue of the selective etch chemistry employed with the dual hardmask, the present method affords flexibility unattainable with conventional dual damascene processes.
  • The method includes forming a via and trench associated with the interconnect structure by selectively etching a layer of amorphous carbon as a first hardmask layer capable of being etched by a second etch process, and etching a second hardmask layer capable of being etched by a first etch process. The method also includes using protective layers to isolate intermetal dielectric layers from layers of photoresist applied during the fabrication process.
  • The present invention is also directed to a structure for use in fabricating a dual damascene opening according to the above-described method of fabrication. The structure includes a first layer comprising a first intermetal dielectric layer and a metal portion; a first protective layer on the first layer; a second intermetal dielectric layer on the first protective layer; a second protective layer on the second intermetal dielectric layer; a layer of amorphous carbon as a first hardmask layer on the second protective layer; a second hardmask layer on the amorphous carbon layer; and a patterned layer of photoresist on the second hardmask layer.
  • The present method and structure have several advantages over conventional dual damascene processes and structures. First, by virtue of the protective layers, the via, after being opened, is never in contact with the photoresist. This eliminates the “ear” formation problem at the trench/via edge which results from the presence of photoresist residue on the IMD layer.
  • Secondly, this processing sequence eliminates the potential “poisoning” of the IMD layer which can result from the interaction between the photoresist and the IMD layer during application of the photoresist.
  • Thirdly, the photolithographic imaging for both the trench and the via are completed before the IMD etch, so, if necessary, it is easy to rework any patterning misalignments to ensure that both the trench and the via are etched correctly.
  • Fourthly, the conventional dual damascene requirement that the photoresist be etch resistant is not a constraint with the present method. That is, because the amorphous carbon layer and the second hardmask layer are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance. Finally, because each of the hardmask layers is ultimately removed in the fabrication sequence, they do not impact the final IMD structure.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features and advantages of the present invention will become more fully apparent from the following detailed description of the exemplary embodiments of the invention which are provided in connection with the accompanying drawings.
  • FIG. 1 is a partial cross-sectional view of a structure constructed in accordance with the present invention for use with a multilevel interconnect.
  • FIGS. 2A-J illustrate a first embodiment of the method of fabricating the structure depicted in FIG. 1.
  • FIGS. 3A-C are a flow diagram of the fabrication sequence corresponding to FIGS. 2A-J.
  • FIGS. 4A-I illustrate a second embodiment of the method of fabricating the structure depicted in FIG. 1.
  • FIGS. 5A-C are a flow diagram of the fabrication sequence corresponding to FIGS. 4A-I.
  • FIG. 6 is a partial cross-sectional view of a structure fabricated by a conventional method that leaves photoresist residue on the intermetal dielectric layer at the trench/via edge.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 is a partial cross-sectional view of an interconnect structure 100 constructed in accordance with the present invention for use with a multilevel interconnect. Structure 100, the fabrication of which is described below, includes a first layer 110 having a first intermetal dielectric layer 111 and a metal portion 112; an etched protective layer 120; an etched second intermetal dielectric layer 130; a via 170; and a trench 190. Structure 100 can accommodate the deposition of an inlaid multilevel interconnect metal. As is evident from FIG. 1, structure 100 is characterized by the absence of any undesirable “ear” (i.e., structural formation of resist residue) on the etched IMD layer 130 at the trench/via edge.
  • FIGS. 2A-J illustrate a first embodiment of the method of fabricating the structure 100 depicted in FIG. 1. FIGS. 3A-C are a flow diagram of the fabrication sequence corresponding to FIGS. 2A-J. The method includes first assembling a dual hardmask structure to be etched. As depicted in FIG. 2A, a first layer 110 comprising a first intermetal dielectric layer 111 and a metal portion 112 is formed (step 1010). The metal of metal portion 112 may be Cu or any other metal typically employed as a multilevel interconnect. A first protective layer 120 is deposited (step 1020) upon the first layer 110. The first protective layer 120 typically comprises a material selected from the group consisting of silicon nitrides, silicon carbo-nitrides, and silicon carbides.
  • A second intermetal dielectric layer 130 is deposited (step 1030) upon the first protective layer 120. A second protective layer 135 is deposited (step 1035) upon the second intermetal dielectric layer 130. The second protective layer 135 typically comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides. In another embodiment, the second protective layer 135 can be a dielectric antireflective coating. The second protective layer 135 serves to protect the underlying second intermetal dielectric layer 130 from possible contamination associated with exposure to the subsequently-deposited photoresist (described below).
  • A layer of amorphous carbon as a first hardmask layer 140 capable of being etched by a second etch process (described below) is deposited (step 1040) upon the second protective layer 135. A second hardmask layer 150 capable of being etched by a first etch process (described below) is deposited (step 1050) upon the amorphous carbon layer 140. Then, in the final step of assembling the layered structure prior to etching, a first layer of photoresist 160 is deposited (step 1060) upon the second hardmask layer 150 and patterned with an opening 161 through which etching will occur.
  • The amorphous carbon layer 140, which can be deposited as a PECVD film, has a very slow etch rate for the etch chemistry associated with the material of the second hardmask layer 150 (i.e., the etch selectivity can be as high as 100:1). Furthermore, amorphous carbon can be easily etched with an etch chemistry (described below) that does not etch the second hardmask layer 150. This unique property of amorphous carbon makes it possible to use, for example, a stack of the amorphous carbon layer 140 and the second hardmask layer 150 as a dual hardmask in the present dual damascene process.
  • The second hardmask layer 150 typically comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides. In another embodiment, the layer of material 150 can be a dielectric antireflective coating.
  • Next, in the first etching step, a first portion of a via 170 is formed by etching (FIG. 2B)(step 1070) the second hardmask layer 150 using a first etch process. The first etch process, which etches the second hardmask layer 150, typically employs a plasma containing CxFyHz. An oxide, for example, can be easily etched by a plasma having CxFyHz (e.g., CF4), but is not etched at all by any of the etchants (described below) that may be used to etch the amorphous carbon layer 140.
  • In the next via-patterning step, a second portion of the via 170 is formed by etching (FIG. 2C)(step 1080) the amorphous carbon layer 140 using a second etch process. During step 1080, for the following two reasons, the first layer of photoresist 160 is completely consumed. First, the photoresist layer 160 is etched by the same etchant as is the amorphous carbon layer 140. The photoresist layer 160 etches faster than amorphous carbon layer 140 because the amorphous carbon is harder than photoresist. Secondly, because a dual hardmask is employed, and because a second layer of photoresist 180 (FIG. 2D) is employed for subsequent etching steps (described below), the photoresist layer 160 can be a relatively thin layer, having a thickness chosen to provide the optimal photo-imaging performance. The photoresist layer 160 typically has a thickness of from 1000 to 6000 Å.
  • The second etch process, which etches the amorphous carbon layer 140, typically employs an etchant selected from the group consisting of O2 plasma, N2 and O2 plasma, N2O plasma, NO plasma, H2 plasma, and NH3 plasma. For example, with O2 plasma, or a plasma of N2 and O2, the layer of material 140 can be easily etched and results in the release of CO2. With H2 plasma or NH3 plasma, the amorphous carbon layer 140 can be easily etched and results in the release of CH4.
  • Next, before trench patterning is begun, a second layer of photoresist 180 is deposited (FIG. 2D)(step 1090) on the etched second hardmask layer 150 and patterned with an opening 181 through which etching will occur. A first portion of a trench 190 is then formed by etching (FIG. 2E)(step 1100) the etched second hardmask layer 150 through opening 181 using the first etch process. The amorphous carbon layer 140 is an excellent etch stop because amorphous carbon layer 140 is not selectively etched with the etched second hardmask layer 150.
  • In addition, because of the presence of second protective layer 135, the second intermetal dielectric layer 130 is advantageously never exposed to the photoresist 180, thus avoiding any potential poisoning of the layer 130. This feature of the present invention, therefore, minimizes the potential for poisoning that can arise with conventional processes in which the via is fully opened to the level of the intermetal dielectric layer before the second layer of photoresist is applied, thereby exposing the intermetal dielectric layer to direct contact with the photoresist.
  • A third portion of the via 170 is then formed by etching (FIG. 2F)(step 1110) the second protective layer 135 and the second intermetal dielectric layer 130 using the first etch process. In this step, the etched amorphous carbon layer 140 is used as a hardmask to effect the via etch through the second protective layer 135 and the second intermetal dielectric layer 130, and some of the photoresist 180 is consumed. The via etch can be a full via etch stop on the protective layer 120 as shown in FIG. 2F, or a partial etch. The second protective layer 135 and the second intermetal dielectric layer 130 are etched with the first etch process, typically by a plasma containing CxFyHz (e.g., CF4).
  • A second portion of the trench 190 is formed by etching (FIG. 2G)(step 1120) the etched amorphous carbon layer 140 using the second etch process. In this step, the etched second hardmask layer 150 serves as the hardmask, and trench patterning is effected through the etched amorphous carbon layer 140 as the photoresist 180 is completely consumed.
  • In the next step, trench etching of the etched second protective layer 135 and the etched second intermetal dielectric layer 130 is effected using the first etch process, with the etched amorphous carbon layer 140 serving as the hardmask. Thus, a third portion of the trench 190 is formed by etching (FIG. 2H)(step 1130) the etched second protective layer 135 and the etched second intermetal dielectric layer 130 and thereby removing all of the etched second hardmask layer 150.
  • The etched amorphous carbon layer 140 is then removed by etching (FIG. 2I)(step 1140) using the second etch process without in any way damaging the etched second intermetal dielectric layer 130. This step of etching to remove the amorphous carbon layer 140 typically employs the above-described etchant selected from the group consisting of O2 plasma, N2 and O2 plasma, N2O plasma, NO plasma, H2 plasma, and NH3 plasma. Alternatively, the etching to remove the amorphous carbon layer 140 may employ a hot non-plasma etchant selected from the group consisting of O2, O3, N2O, NO, H2, and NH3. Without plasma, however, the etch is isotropic, and the gaseous etchant must be employed at an elevated temperature. Other non-plasma etchants may be employed to remove the amorphous carbon layer 140, such as compounds of the formula CxFyHz, but with these etchants the etch rate is much slower than that attainable with either the above-described plasma etchants (i.e., O2 plasma, N2 and O2 plasma, N2O plasma, NO plasma, H2 plasma, and NH3 plasma) or hot non-plasma etchants (i.e., O2O3, N2O, NO, H2, and NH3).
  • The etched second protective layer 135 and a portion of the first protective layer 120 that is disposed above the metal portion 112 are then removed by etching (FIG. 2J)(step 1150) using the first etch process so as to complete the formation of the via 170. The resultant structure 100 is thus ready for deposition of the inlaid interconnect metal.
  • The etch chemistry of the photoresist layers 160 and 180 is similar to the etch chemistry of the amorphous carbon layer 140, but the photoresist etches faster because amorphous carbon is harder than photoresist. As indicated above, in conventional dual damascene processes, the photoresist is optimized not for imaging performance, but rather, for its etch resistance. That is, because the photoresist must be etch resistant (i.e., relatively thick) in a conventional process, the imaging qualities of the photoresist may be compromised for the benefit of etch performance. An advantage of the present invention is that because the amorphous carbon layer 140 and the second hardmask layer 150 are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance.
  • FIGS. 4A-I illustrate a second embodiment of the method of fabricating the structure 100 depicted in FIG. 1. FIGS. 5A-C are a flow diagram of the fabrication sequence corresponding to FIGS. 4A-I. As with the first method embodiment, the method includes first assembling a dual hardmask structure to be etched. In this second embodiment of the method, the first five steps of assembling the layered structure ( steps 2010, 2020, 2030, 2040, and 2050) are identical to the first five steps described above ( steps 1010, 1020, 1030, 1040, and 1050) for the first embodiment of the method of fabrication.
  • As depicted in FIG. 4A, a first layer 210 comprising a first intermetal dielectric layer 211 and a metal portion 212 is formed (step 2010). The metal of metal portion 212 may be Cu or any other metal typically employed as a multilevel interconnect. A first protective layer 220 is deposited (step 2020) upon the first layer 210. The first protective layer 220 typically comprises a material selected from the group consisting of silicon nitrides, silicon carbo-nitrides, and silicon carbides.
  • A second intermetal dielectric layer 230 is deposited (step 2030) upon the first protective layer 220. A second protective layer 235 is deposited (step 2035) upon the second intermetal dielectric layer 230. The second protective layer 235 typically comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides. In another embodiment, the second protective layer 235 can be a dielectric antireflective coating. The second protective layer 235 serves to protect the underlying second intermetal dielectric layer 230 from possible contamination associated with exposure to the subsequently-deposited photoresist (described below).
  • A layer of amorphous carbon as a first hardmask layer 240 capable of being etched by a second etch process (described below) is deposited (step 2040) upon the second protective layer 230. A second hardmask layer 250 capable of being etched by a first etch process (described below) is deposited (step 2050) upon the amorphous carbon layer 240. Then, in the final step of assembling the layered structure prior to etching, a first layer of photoresist 260 is deposited (step 2060) upon the second hardmask layer 250 and patterned with an opening 261 through which etching will occur. Because a dual hardmask is employed, and because a second layer of photoresist 280 is employed for subsequent etching steps (described below), the photoresist layer 260 can be a relatively thin layer, having a thickness chosen to provide the optimal photo-imaging performance. The photoresist layer 260 typically has a thickness of from 1000 to 6000 Å.
  • The amorphous carbon layer 240, which can be deposited as a PECVD film, has a very slow etch rate for the etch chemistry associated with the material of the second hardmask layer 250 (i.e., the etch selectivity can be as high as 100:1). Furthermore, amorphous carbon can be easily etched with an etch chemistry that does not etch the second hardmask layer 250. This unique property of amorphous carbon makes it possible to use, for example, a stack of the amorphous carbon layer 240 and the second hardmask layer 250 as a dual hardmask in the present dual damascene process.
  • The second hardmask layer 250 typically comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides. In another embodiment, the layer of material 250 can be a dielectric antireflective coating.
  • Next, in the first etching step, a first portion of a trench 270 is formed by etching (FIG. 4B)(step 2070) the second hardmask layer 250 using a first etch process. The amorphous carbon layer 240 is an excellent etch stop because amorphous carbon layer 240 is not selectively etched with the second hardmask layer 250.
  • The first etch process, which etches the second hardmask layer 250, typically employs a plasma containing CxFyHz. An oxide, for example, can be easily etched by a plasma containing CxFyHz (e.g., CF4), but is not etched at all by any of the etchants (described below) that may be used to etch the amorphous carbon layer 240.
  • A second layer of photoresist 280 is then deposited (FIG. 4C)(step 2080) upon the etched second hardmask layer 250 and on a portion of the amorphous carbon layer 240 and patterned with an opening 281 through which etching will occur. A first portion of a via 290 is formed by etching (FIG. 4D)(step 2090) the amorphous carbon layer 240 using a second etch process. Because of the presence of second protective layer 235, the second intermetal dielectric layer 230 is advantageously never exposed to the photoresist 280, thus avoiding any potential poisoning reaction with the layer 230.
  • The second etch process, which etches the amorphous carbon layer 240, typically employs an etchant selected from the group consisting of O2 plasma, N2 and O2 plasma, H2 plasma, and NH3 plasma. For example, with O2 plasma, or a plasma of N2 and O2 the layer of material 240 can be easily etched and results in the release of CO2. With H2 plasma or NH3 plasma, the amorphous carbon layer 240 can be easily etched and results in the release of CH4.
  • A second portion of the via 290 is then formed by etching (FIG. 4E)(step 2100) the second protective layer 235 and the second intermetal dielectric layer 230 using the first etch process. A second portion of the trench 270 is formed by etching (FIG. 4F)(step 2110) the etched amorphous carbon layer 240 using the second etch process. In this step, the second layer of photoresist 280 is removed, and the etched second hardmask layer 250 serves as the hardmask.
  • A third portion of the trench 270 is formed by etching (FIG. 4G)(step 2120) the etched second protective layer 235 and the etched second intermetal dielectric layer 230 using the first etch process and thereby removing all of the etched second hardmask layer 250. In this step, the etched amorphous carbon layer 240 serves as the hardmask.
  • The etched amorphous carbon layer 240 is then removed by etching (FIG. 4H)(step 2130) using the second etch process. This step of etching to remove the amorphous carbon layer 240 typically employs the above-described etchant selected from the group consisting of O2 plasma, N2 and O2 plasma, N2O plasma, NO plasma, H2 plasma, and NH3 plasma. Alternatively, the etching to remove the amorphous carbon layer 240 may employ a hot non-plasma etchant selected from the group consisting of O2, O3, N2O, NO, H2, and NH3. Without plasma, however, the etch is isotropic, and the gaseous etchant must be employed at an elevated temperature. Other non-plasma etchants may be employed to remove the amorphous carbon layer 240, such as compounds of the formula CxFyHz, but with these etchants the etch rate is much slower than that attainable with either the above-described plasma etchants (i.e., O2 plasma, N2 and O2 plasma, N2O plasma, NO plasma, H2 plasma, and NH3 plasma) or hot non-plasma etchants (i.e., O2, O3, N2O, NO, H2, and NH3).
  • The etched second protective layer 235 and a portion of the first protective layer 220 that is disposed above the metal portion 212 are then removed by etching (FIG. 41)(step 2140) using the first etch process so as to complete the formation of the via 290. The resultant structure 100 is thus ready for deposition of the inlaid interconnect metal.
  • The etch chemistry of the photoresist layers 260 and 280 is similar to that of the etch chemistry of the amorphous carbon layer 240, but the photoresist etches faster because amorphous carbon is harder than photoresist. As indicated above, in conventional dual damascene processes, the photoresist is optimized not for imaging performance, but rather, for its etch resistance. That is, because the photoresist must be etch resistant (i.e., relatively thick) in a conventional process, the imaging qualities of the photoresist may be compromised for the benefit of etch performance. An advantage of the present invention is that because the amorphous carbon layer 240 and the second hardmask layer 250 are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance.
  • The present invention is also directed to a structure 101 depicted in FIG. 2A (201 depicted in FIG. 4A) for use in fabricating a dual damascene opening according to the above-described first and second embodiments of the method of fabrication. As depicted in FIG. 2A (FIG. 4A), structure 101 (201) comprises a first layer 110 (210) comprising a first intermetal dielectric layer 111 (211) and a metal portion 112 (212). A first protective layer 120 (220) is disposed on the first layer 110 (210), and a second intermetal dielectric layer 130 (230) is disposed on the first protective layer 120 (220). A second protective layer 135 (235) is disposed on the second intermetal dielectric layer 130 (230). A layer of amorphous carbon as a first hardmask layer 140 (240) capable of being etched by a second etch process is disposed on the second protective layer 135 (235), and a second hardmask layer 150 (250) capable of being etched by a first etch process is disposed on the amorphous carbon layer 140 (240). A layer of photoresist 160 (260) is disposed on the second hardmask layer 150 (250) and has an opening 161 (261) through which etching will occur.
  • The present invention, therefore, provides a method and structure having several advantages over conventional dual damascene processes and structures. By virtue of the features described herein, such as the selective etch chemistry employed with the dual hardmask, the present method affords flexibility unattainable with conventional dual damascene processes. First, by virtue of the protective layers, the via, after being opened, is never in contact with the photoresist. This eliminates the “ear” formation problem at the trench/via edge which results from the presence of photoresist residue on the IMD layer.
  • Secondly, this processing sequence eliminates the potential “poisoning” of the IMD layer which can result from the interaction between the photoresist and the IMD layer during application of the photoresist.
  • Thirdly, the photolithographic imaging for both the trench and the via are completed before the IMD etch, so, if necessary, it is easy to rework any patterning misalignments to ensure that both the trench and the via are etched correctly.
  • Fourthly, the conventional requirement that the photoresist be etch resistant is not a constraint with the present method. That is, because the amorphous carbon layer and the second hardmask layer are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance. Finally, because each of the hardmask layers is ultimately removed in the fabrication sequence, they do not impact the final IMD structure.
  • Although the invention has been described and illustrated as being suitable for use in semiconductor fabrication applications, the invention is not limited to these embodiments. Rather, the invention could be employed in any service in which the flexibility and benefits associated with the above-described features would be desirable.
  • Accordingly, the above description and accompanying drawings are only illustrative of exemplary embodiments that can achieve the features and advantages of the present invention. It is not intended that the invention be limited to the embodiments shown and described in detail herein. The invention is limited only by the scope of the following claims.

Claims (9)

1-42. (canceled)
43. A structure for use in fabricating a dual damascene opening, said structure comprising:
a first layer comprising a metal portion;
a first protective layer on said first layer;
an intermetal dielectric layer on said first protective layer;
a second protective layer on said second intermetal dielectric layer;
an amorphous carbon layer as a first hardmask layer on said second protective layer; and
a second hardmask layer on said amorphous carbon layer.
44. A structure according to claim 43, wherein said first protective layer comprises a material selected from the group consisting of silicon nitrides, silicon carbo-nitrides, and silicon carbides.
45. A structure according to claim 43, wherein said second protective layer comprises a material selected from the group consisting of silicon nitrides, silicon carbides, and silicon carbo-nitrides.
46. A structure according to claim 43, wherein said second hardmask layer comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon carbo-nitrides, silicon carbides, and titanium nitrides.
47. A structure according to claim 43, wherein said second protective layer is a dielectric antireflective coating.
48. A structure according to claim 43, wherein said second hardmask layer is a dielectric antireflective coating.
49. A structure according to claim 43, wherein said metal portion is Cu.
50. A structure for use in fabricating a dual damascene opening, said structure comprising:
an amorphous carbon layer as a first hardmask layer;
a second hardmask layer on said amorphous carbon layer; and
a photoresist layer on said second hardmask layer, said photoresist layer having a thickness of from 1000 to 6000 Å.
US11/156,709 2002-05-03 2005-06-21 Semiconductor multilevel interconnect structure Abandoned US20050239002A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/156,709 US20050239002A1 (en) 2002-05-03 2005-06-21 Semiconductor multilevel interconnect structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/137,384 US6951709B2 (en) 2002-05-03 2002-05-03 Method of fabricating a semiconductor multilevel interconnect structure
US11/156,709 US20050239002A1 (en) 2002-05-03 2005-06-21 Semiconductor multilevel interconnect structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/137,384 Division US6951709B2 (en) 2002-05-03 2002-05-03 Method of fabricating a semiconductor multilevel interconnect structure

Publications (1)

Publication Number Publication Date
US20050239002A1 true US20050239002A1 (en) 2005-10-27

Family

ID=29269078

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/137,384 Expired - Lifetime US6951709B2 (en) 2002-05-03 2002-05-03 Method of fabricating a semiconductor multilevel interconnect structure
US11/156,709 Abandoned US20050239002A1 (en) 2002-05-03 2005-06-21 Semiconductor multilevel interconnect structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/137,384 Expired - Lifetime US6951709B2 (en) 2002-05-03 2002-05-03 Method of fabricating a semiconductor multilevel interconnect structure

Country Status (1)

Country Link
US (2) US6951709B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070082482A1 (en) * 2005-10-12 2007-04-12 Hynix Semiconductor Inc. Method for forming contact hole of semiconductor device
US20080124912A1 (en) * 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
US20100167021A1 (en) * 2008-12-29 2010-07-01 Macronix International Co., Ltd. Semiconductor structure and method of fabricating the same
US7858514B2 (en) 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
US20110101538A1 (en) * 2009-11-02 2011-05-05 International Business Machines Corporation Creation of vias and trenches with different depths
US20130178068A1 (en) * 2012-01-10 2013-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process and apparatus

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015136B2 (en) * 2003-07-10 2006-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing formation of photoresist scum
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
DE102004030861A1 (en) * 2004-06-25 2006-01-19 Infineon Technologies Ag Structuring a semiconductor substrate in a lithographic manner comprises preparing a semiconductor substrate, applying a first layer containing a photoresist and further processing
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
CN100422854C (en) * 2004-09-08 2008-10-01 上海宏力半导体制造有限公司 Method for reducing lead wire figure by T-shaped photoresist pattern
WO2006058150A2 (en) * 2004-11-23 2006-06-01 Massachusetts Institute Of Technology Multilevel fabrication processing by functional regrouping of material deposition, lithography, and etching
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7902598B2 (en) * 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7241698B2 (en) * 2005-07-07 2007-07-10 Hitachi Global Storage Technologies Netherlands Bv Method for sensor edge and mask height control for narrow track width devices
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US20070166648A1 (en) * 2006-01-17 2007-07-19 International Business Machines Corporation Integrated lithography and etch for dual damascene structures
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
KR20100028544A (en) * 2007-05-03 2010-03-12 램 리써치 코포레이션 Hardmask open and etch profile control with hardmask open
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8017517B2 (en) * 2007-06-07 2011-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8158014B2 (en) * 2008-06-16 2012-04-17 International Business Machines Corporation Multi-exposure lithography employing differentially sensitive photoresist layers
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US9117769B2 (en) * 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
CN103377913B (en) * 2012-04-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 The forming method of opening
US10163652B2 (en) * 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
CN105425533B (en) * 2016-01-06 2019-10-22 京东方科技集团股份有限公司 Mask plate and preparation method thereof
US11764062B2 (en) * 2017-11-13 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
CN112201620B (en) * 2020-10-27 2024-02-02 合肥晶合集成电路股份有限公司 Forming method of metal interconnection structure

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6458516B1 (en) * 1997-12-12 2002-10-01 Applied Materials Inc. Method of etching dielectric layers using a removable hardmask
US20030049388A1 (en) * 2001-09-10 2003-03-13 Seon-Mee Cho Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6458516B1 (en) * 1997-12-12 2002-10-01 Applied Materials Inc. Method of etching dielectric layers using a removable hardmask
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US20030049388A1 (en) * 2001-09-10 2003-03-13 Seon-Mee Cho Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070082482A1 (en) * 2005-10-12 2007-04-12 Hynix Semiconductor Inc. Method for forming contact hole of semiconductor device
US7575997B2 (en) * 2005-10-12 2009-08-18 Hynix Semiconductor Inc. Method for forming contact hole of semiconductor device
US20080124912A1 (en) * 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
US20090130814A1 (en) * 2006-08-01 2009-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
US7824998B2 (en) 2006-08-01 2010-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor capacitor using amorphous carbon
US7858514B2 (en) 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
US20100167021A1 (en) * 2008-12-29 2010-07-01 Macronix International Co., Ltd. Semiconductor structure and method of fabricating the same
US8697340B2 (en) * 2008-12-29 2014-04-15 Macronix International Co., Ltd. Semiconductor structure and method of fabricating the same
US20110101538A1 (en) * 2009-11-02 2011-05-05 International Business Machines Corporation Creation of vias and trenches with different depths
US8227339B2 (en) * 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
US8703604B2 (en) 2009-11-02 2014-04-22 International Business Machines Corporation Creation of vias and trenches with different depths
US20130178068A1 (en) * 2012-01-10 2013-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process and apparatus

Also Published As

Publication number Publication date
US20030207207A1 (en) 2003-11-06
US6951709B2 (en) 2005-10-04

Similar Documents

Publication Publication Date Title
US6951709B2 (en) Method of fabricating a semiconductor multilevel interconnect structure
US6800550B2 (en) Method for forming t-shaped conductive wires of semiconductor device utilizing notching phenomenon
US6228758B1 (en) Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US7473635B2 (en) Method for manufacturing semiconductor device
JP3300643B2 (en) Method for manufacturing semiconductor device
KR100265771B1 (en) Method for metallization by dual damascene process using photosensitive polymer
US6184142B1 (en) Process for low k organic dielectric film etch
US7563719B2 (en) Dual damascene process
US7157366B2 (en) Method of forming metal interconnection layer of semiconductor device
US7241681B2 (en) Bilayered metal hardmasks for use in dual damascene etch schemes
US6197681B1 (en) Forming copper interconnects in dielectric materials with low constant dielectrics
KR20010072034A (en) Method of producing an interconnect structure for an integrated circuit
JP2000174123A (en) Semiconductor device and manufacture thereof
KR20050027133A (en) Semiconductor device manufacturing method
US6350674B1 (en) Manufacturing method for semiconductor device having a multilayer interconnect
US6365327B1 (en) Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US7067418B2 (en) Interconnect structure and method for fabricating the same
US6831007B2 (en) Method for forming metal line of Al/Cu structure
JP2003282704A (en) Method of manufacturing semiconductor device with dual-damacene
US7015149B2 (en) Simplified dual damascene process
US7300879B2 (en) Methods of fabricating metal wiring in semiconductor devices
US6875688B1 (en) Method for reactive ion etch processing of a dual damascene structure
JPH1041385A (en) Semiconductor device and manufacture thereof
JP3683570B2 (en) Manufacturing method of semiconductor device
US7132364B2 (en) Method for forming metal interconnect of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION