US20050241670A1 - Method for cleaning a reactor using electron attachment - Google Patents

Method for cleaning a reactor using electron attachment Download PDF

Info

Publication number
US20050241670A1
US20050241670A1 US10/835,450 US83545004A US2005241670A1 US 20050241670 A1 US20050241670 A1 US 20050241670A1 US 83545004 A US83545004 A US 83545004A US 2005241670 A1 US2005241670 A1 US 2005241670A1
Authority
US
United States
Prior art keywords
gas
reactor
substance
reactive
negatively charged
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/835,450
Inventor
Chun Dong
Bing Ji
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to US10/835,450 priority Critical patent/US20050241670A1/en
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DONG, CHUN CHRISTINE, JI, BING
Priority to US11/095,580 priority patent/US20050241671A1/en
Priority to JP2005125113A priority patent/JP2005317963A/en
Priority to KR1020050035030A priority patent/KR100644181B1/en
Priority to TW094113719A priority patent/TWI263255B/en
Priority to EP05009316A priority patent/EP1598881A3/en
Priority to CN200510071791.8A priority patent/CN1770390A/en
Publication of US20050241670A1 publication Critical patent/US20050241670A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Definitions

  • RF plasma is generated inside the reactor and high-energy electrons in the plasma dissociate NF 3 by electron impact.
  • In situ plasmas can become highly electronegative, such as, for example, by the formation of negative ions.
  • negative ions dominate over electrons as the charge carrier, the plasma becomes unstable and/or collapses within the reactor thereby leading, inter alia, to incomplete chamber cleaning, poor NF 3 utilization, and low NF 3 dissociation efficiency.
  • highly energetic ion bombardment that occurs during in situ cleaning may cause hardware damage.
  • remote plasma cleaning alleviates the drawbacks of in situ cleaning, fluorine utilization efficiency is much lower, increasing the overall cost of ownership of the process.
  • the negatively charged ions such as F ⁇ in equation (1), can act as active species which then react with the substance to be removed, such as SiO 2 in equation (2) below, to form one or more volatile products, such as SiF 4 and O 2 in equation (2): 4F ⁇ ( g )+SiO 2 ( s ) ⁇ SiF 4 ( g )+O 2 ( g )+4 e ⁇ (2)
  • the free electrons may be neutralized at the grounded anode.
  • the effect of inert gases can be very small or negligible because of their small or zero value of electron affinity (e.g. N 2 ).
  • the method can be used as an alternative to remote plasma cleaning.
  • the gas mixture comprising the reactive gas is passed through a target area and/or a remote negative ion generator, which contains a first and second electrode that act as a cathode and an anode.
  • a remote negative ion generator is illustrated in co-pending U.S. patent application Ser. No. 10/819,277 which is currently assigned to the assignee of the present invention and incorporated herein by reference in its entirety.
  • the outlet of the remote negative ion generator may be in fluid communication with the reactor.
  • some of these electrons may attach on the reactive gas molecules and form negatively charged ions by electron attachment.
  • some positive ions are also created by ionization of the inert gas, which then drift toward the anode and are neutralized at the anode surface.
  • a remote plasma source such as, but not limited to, a remote thermal activation source, a remote catalytically activated source, or a source which combines thermal and catalytic activation, may be used rather than an in situ plasma to generate the volatile product.
  • a remote plasma source such as, but not limited to, a remote thermal activation source, a remote catalytically activated source, or a source which combines thermal and catalytic activation
  • an intense discharge of cleaning gases is generated outside of the deposition chamber, reactive species such as reactive atoms and radicals then flow downstream into the deposition chamber to volatize the deposition residues.
  • Either an RF or a microwave source can generate the remote plasma source.
  • power ranging from 100 to 14,000 Watts may be used to activate the plasma.
  • the method described herein may be used in several areas of semiconductor manufacturing other than chamber cleaning, such as etching silicon wafers and removing post-etch or post-ion implantation photoresist materials and sidewall passivations films.
  • etching silicon wafers and removing post-etch or post-ion implantation photoresist materials and sidewall passivations films are used in these wafer-manufacturing processes.
  • the use of a negatively charged cleaning gas may provide at least one of the following advantages: high etching rate; high anisotropy of etching; feasibility for etching high aspect ratio features; low operation cost; and low capital cost.

Abstract

A method for cleaning, and/or enhancing the cleaning of, a reactor is disclosed herein. In one aspect, there is provided a method comprising: providing the reactor wherein a surface of the reactor is coated with a substance; providing a first and second electrode in close proximity to the reactor wherein the first and second electrode reside within a target area; passing a gas mixture comprising a reactive gas into the target area; supplying energy to at least one of the first or the second electrodes to generate electrons within the target area wherein at least a portion of the electrons attach to at least a portion of the reactive gas thereby forming a negatively charged cleaning gas; contacting the substance with the negatively charged cleaning gas wherein the negatively charged cleaning gas reacts with the substance and forms a volatile product; and removing the volatile product from the reactor.

Description

    BACKGROUND OF THE INVENTION
  • In the manufacture of semiconductor integrated circuits (IC), opto-electronic devices, and microelectro-mechanical systems (MEMS), multiple steps of thin film deposition are performed in order to construct several complete circuits (chips) and devices on monolithic substrate wafers. Each wafer is often deposited with a variety of thin films such as, but not limited to, conductor films, e.g., tungsten; semiconductor films, e.g., doped and undoped poly-crystalline silicon (poly-Si), doped and undoped (intrinsic) amorphous silicon (a-Si), etc.; dielectric films, e.g., silicon dioxide (SiO2), undoped silicon glass (USG), boron doped silicon glass (BSG), phosphorus doped silicon glass (PSG), borophosphrosilicate glass (BPSG), silicon nitride (Si3N4), silicon oxynitride (SiON) etc.; low-k dielectric films, e.g., fluorine doped silicate glass (FSG), and carbon-doped silicon glass, such as “Black Diamond”.
  • In modern manufacturing, thin film deposition is accomplished by placing a substrate or wafer into a reaction chamber or reactor and introducing gases that undergo chemical reactions to deposit solid materials onto the surface of a substrate. Such a deposition process is called chemical vapor deposition (CVD). These chemical reactions typically require elevated temperatures (up to 600° C.) to overcome reaction activation energies. Alternatively, radio frequency (RF) energies are coupled into the vacuum chamber to ignite the precursors into a discharge state, i.e., plasma. In the latter method, higher quality films can be deposited at lower process temperatures and more efficiently using plasma energy. Such process is termed plasma enhanced chemical vapor deposition (PECVD).
  • The deposition process not only facilitates the growth of films onto a substrate surface but also leaves films and solid residues on the internal surfaces of the reactors. These unwanted solid residues could change the reactor surface characteristics as well as RF power coupling efficiency. Such reactor changes can also lead to deposition process performance drifts and a loss of production yield. For example, accumulated solid residues can flake off from the reactor's internal surface and deposit particles onto the wafer surface during subsequent deposition cycles. Consequently, periodic cleaning, or chamber cleaning, of the internal surfaces of the deposition reactors may be necessary to maintain production yield.
  • For CVD reactors, cleaning of the reactor, also referred to as chamber cleaning, may be conducted using fluorine chemistry to convert solid residues into volatile gaseous byproducts that can be pumped out of the CVD reactor by vacuum pumps. In this connection, reactive fluorine atoms (F•) are generated from fluoro-compounds. Historically, perfluorocarbons (PFCs), such as CF4 and C2F6, are used as the source of reactive fluorine in plasma activated chamber cleaning. Unfortunately, using perfluorocarbon gases for chamber cleaning has significant adverse environmental impact. Perfluorocarbons, such as CF4 and C2F6, strongly absorb infrared radiation and have very long atmospheric lifetimes (more than 50,000 years for CF4, and 10,000 years for C2F6). As a result, these perfluorocarbon gases are the most potent greenhouse gases that cause global warming. Since perfluorocarbon molecules are very stable, they are difficult to breakdown in plasmas. In other words, the PFC destruction efficiency (DE) tends to be very low. Typical DE is only 5%-20% for CF4, and 20%-50% for C2F6. In addition to undestroyed feed PFC gases, all perfluorocarbon-based chamber cleaning emits significant amount of CF4 as explained above. Though estimates vary somewhat, it is generally agreed that up to 70% of the PFC emissions from a semiconductor fabrication facility comes from CVD chamber cleaning processes. With the exponential growth of the semiconductor industry, the PFC gas emitted from semiconductor manufacturing processes could become a significant source of global warming emissions.
  • Replacing perfluorocarbons with nitrogen trifluoride (NF3) for CVD chamber cleaning offers dramatic improvement in reducing greenhouse gas emissions. NF3 has a relatively shorter atmospheric lifetime, 750 years, compared to perfluorocarbon gases. When fully optimized, the destruction efficiency for NF3 in an in situ chamber clean plasma can be above 90%. Since NF3 does not contain carbon, no CF4 will be emitted from NF3 plasmas. Plasma can be broadly defined as a state of matter in which a significant number of the atoms and/or molecules are electrically charged or ionized. The numbers of negative and positive charges are equal, and thus the overall charge of the plasma is neutral. No global warming byproducts can be formed in NF3 plasmas. Therefore, significant reductions in greenhouse gas emissions can be achieved by replacing perfluorocarbon gases with NF3 in CVD chamber clean.
  • Currently, there are three technology platforms to utilize NF3 for chamber cleaning: thermal, in situ plasma, and remote plasma. Existing NF3-based CVD chamber cleaning technologies typically use either thermal or plasma activation. Both thermal and plasma activated NF3 chamber cleaning technologies present challenges in NF3 usage, fluorine utilization, and energy consumption. In a typical thermal chamber cleaning process using NF3, NF3 may need to be heated to a temperature in excess of 500° C. to initiate thermal decomposition of the NF3 molecule. Unfortunately, certain non-thermal CVD reactors, such as PECVD reactors, use temperature controllers to maintain the reactor at temperatures below 400° C., which is too low for effective thermal NF3 cleaning. For in situ plasma cleaning, RF plasma is generated inside the reactor and high-energy electrons in the plasma dissociate NF3 by electron impact. In situ plasmas, however, can become highly electronegative, such as, for example, by the formation of negative ions. When negative ions dominate over electrons as the charge carrier, the plasma becomes unstable and/or collapses within the reactor thereby leading, inter alia, to incomplete chamber cleaning, poor NF3 utilization, and low NF3 dissociation efficiency. Further, highly energetic ion bombardment that occurs during in situ cleaning may cause hardware damage. While remote plasma cleaning alleviates the drawbacks of in situ cleaning, fluorine utilization efficiency is much lower, increasing the overall cost of ownership of the process. These challenges may impede wider adoption of NF3-based chamber cleaning in the industry.
  • BRIEF SUMMARY OF THE INVENTION
  • A method for removing a substance from at least a portion of a surface within a reactor is disclosed herein. In one aspect, there is provided a method for cleaning a reactor comprising: providing the reactor wherein at least a portion of a surface of the reactor is coated with a substance; providing a first and a second electrode that is in close proximity to the reactor wherein the first and the second electrode resides within a target area; passing a gas mixture comprising a reactive gas into the target area wherein the reactive gas has an electron affinity of greater than zero; supplying energy to at least one of the first or the second electrodes to generate electrons within the target area wherein at least a portion of the electrons attach to at least a portion of the reactive gas thereby forming a negatively charged cleaning gas; contacting the substance with the negatively charged cleaning gas wherein the negatively charged cleaning gas reacts with the substance and forms at least one volatile product; and removing the at least one volatile product from the reactor.
  • In another aspect of the invention, there is provided a method for removing a substance from at least a portion of a surface of a reactor comprising: providing the reactor comprising at least one electrode and the surface wherein at least a portion of the surface is grounded; introducing a gas mixture comprising a reactive gas and optionally an inert diluent gas into the reactor; supplying voltage to the at least one electrode and/or the surface to generate electrons wherein at least a portion of the electrons attach to at least a portion of the reactive gas thereby forming a negatively charged cleaning gas; contacting the substance with the negatively charged cleaning gas wherein the negatively charged cleaning gas reacts with the substance and forms at least one volatile product; and removing the at least one volatile product from the reactor.
  • In a further aspect of the present invention, there is provided a method removing a substance from at least a portion of a surface of a reactor comprising: introducing a reactive gas into a remote chamber that is outside of the reactor, activating the reactive gas in the remote chamber to form reactive species; providing the reactor comprising at least one electrode and the surface wherein at least a portion of the surface is grounded; introducing a gas mixture comprising a reactive gas, reactive species, and optionally an inert diluent gas into the reactor; supplying voltage to the at least one electrode and/or the surface to generate electrons wherein at least a portion of the electrons attach to at least a portion of the reactive gas thereby forming a negatively charged cleaning gas; contacting the substance with the negatively charged cleaning gas wherein the negatively charged cleaning gas reacts with the substance and forms at least one volatile product; and removing the at least one volatile product from the reactor.
  • DETAILED DESCRIPTION OF THE INVENTION
  • A chamber cleaning process wherein a substance to be removed, such as the residues that collect on the internal surfaces and fixtures of a reactor, can be effectively removed by a negatively charged cleaning gas formed by electron attachment is disclosed herein. The process removes a non-volatile substance, such as, but not limited to, W, Ti, SiO2, TiO2, SiON, poly-silicon, amorphous silicon, SiN, WN, Al2O3, HfO2, ZrO2, HfSiO4, and mixtures thereof, from at least a portion of the surface within a reactor and any fixtures contained therein. The substance to be removed is converted from a non-volatile material into a volatile product that can be readily removed by the reactor vacuum pump or other means. The term “volatile product”, as used herein, relates to reaction products and by-products of the reaction between the substance to be removed and the negatively charged cleaning gas. Thus, the substance may be removed from a chamber and the surfaces of fixtures contained therein by contacting it with the negatively charged cleaning gas under conditions sufficient to react with the substance and form volatile products.
  • The following demonstrates a particular embodiment wherein the gas mixture comprises the reactive gas NF3 and the inert diluent gas N2. In this embodiment, negatively charged fluorine ions, F, are formed through dissociative attachment process of the NF3 molecules as illustrated in reaction (1):
    NF3(g)+e →NF2(g)+F(g)  (1)
    The negative F ions then drift to the anode, which may be, for example, grounded internal surfaces within the reactor. At the anode, the negatively charged ions, such as F in equation (1), can act as active species which then react with the substance to be removed, such as SiO2 in equation (2) below, to form one or more volatile products, such as SiF4 and O2 in equation (2):
    4F(g)+SiO2(s)→SiF4(g)+O2(g)+4e   (2)
    As a by-product of reaction (2), the free electrons may be neutralized at the grounded anode. During this process, the effect of inert gases can be very small or negligible because of their small or zero value of electron affinity (e.g. N2).
  • The method disclosed herein may be useful for a variety of chamber cleaning processes. For example, in one embodiment, it can be used as an alternative chamber cleaning method to conventional in situ plasma or thermal chamber cleaning methods. In this embodiment, a gas mixture comprising a reactive gas and optionally an inert diluent gas can form a negatively charged cleaning gas by electron attachment inside the reaction chamber. An electron-emitting electrode inside a chamber may be used as a cathode and the wall of the chamber may be grounded to act as an anode. When an energy source such as, for example, DC voltage is applied between the two electrodes, low-energy electrons that may range, for example, from 0 to 10 eV, are emitted from the electron-emitting electrode and drift to the grounded chamber walls along the electric field. During this electron drift, some reactive gas molecules can capture the electrons and form a negatively charged cleaning gas containing ions, which then act as the active species. The electron attachment processes for these gases are exothermic reactions. The formed negatively charged cleaning gas can be preferentially adsorbed on the internal surface of the deposition reactor due to the electric field drifting and thus the efficiency of the reactive gas and the cleaning rate may be increased. Further, the electron attachment process, which uses a relatively lower energy, negatively charged cleaning gas, may minimize hardware damage typically caused by high-energy positive ion bombardments.
  • In an alternative embodiment, the method can be used to enhance remote plasma cleaning. The term remote plasma cleaning, as used herein, relates to the generation of plasma outside of the reactor, such as for example, in a remote chamber. In remote plasma cleaning, an energy source such as, but not limited to, a RF or microwave source at a relatively high power range (e.g., 100 to 14,000 W), is used to generate an intense plasma containing reactive species using a reactive gas, such as any of the reactive gases disclosed herein, in the remote chamber. In these embodiments, the gas mixture may comprise the reactive species, i.e., reactive ions or reactive atoms that were activated in a remote chamber prior to electron attachment to form the negatively charged cleaning gas. In these embodiments, the electron attachment of the reactive species and/or the reactive gas molecules may enhance the efficiency of the remotely generated plasma. For example, by applying the electron attachment process downstream to the remote plasma generator, neutral reactive species such as F atoms and/or F2 molecules coming out of the remote plasma generator will form negatively charged ions which can act as active agents for cleaning deposition residues inside the deposition chamber. Further, negatively charged reactive species such as F may not readily recombine to form neutral molecules such as F2. Additionally, recombination byproducts such as F2 can be converted into F2 which is more reactive than its neutral counterpart (F2). Improved cleaning efficiency not only reduces clean time and clean gas usage but also reduces the scrubbing load of the effluent emission from chamber cleaning process. Therefore, the overall cost of ownership (COO) of the chamber cleaning process can be reduced.
  • In a still further embodiment, the method can be used as an alternative to remote plasma cleaning. In this embodiment, the gas mixture comprising the reactive gas is passed through a target area and/or a remote negative ion generator, which contains a first and second electrode that act as a cathode and an anode. An example of a remote negative ion generator is illustrated in co-pending U.S. patent application Ser. No. 10/819,277 which is currently assigned to the assignee of the present invention and incorporated herein by reference in its entirety. In embodiments wherein the gas mixture is passed through the remote negative ion generator, the outlet of the remote negative ion generator may be in fluid communication with the reactor.
  • In certain embodiments, energy is supplied to at least one of the electrodes, such as for example, the first electrode sufficient to cause the first electrode to generate electrons. In certain embodiments, the energy source can be an electric energy or voltage source, such as an AC or DC source. Other energy sources, such as an electromagnetic energy source, a thermal energy source, or a photo energy source may also be used alone, or in combinations with any of the aforementioned energy sources. The energy source may be constant or alternatively pulsed. In certain embodiments of the present invention, the first electrode, or cathode-acting electrode, is connected to a first voltage level and the second electrode, or anode-acting electrode, is connected to a second voltage level. In alternatively embodiments, the first and second electrode may alternate between acting like a cathode an acting like an anode. The difference in the voltage levels creates a bias in electrical potential. One of the first or the second voltage levels may be zero indicating that either of the two electrodes can be grounded. In this connection, the second electrode may not be an actual electrode, but rather, the grounded walls and/or fixtures within a reactor.
  • To produce negatively charged ions by electron attachment, a large quantity of electrons needs to be generated. In this connection, the electrons can be generated by a variety of ways such as, but not limited to, cathode emission, gas discharge, or combinations thereof. Among these electron generation methods, the selection of the method depends mainly on the efficiency and the energy level of the electrons generated.
  • As mentioned previously, for embodiments wherein the fluorine containing gas is NF3, the most efficient ion formation through electron attachment is accomplished by using free electrons having an energy of ˜2 eV. In these embodiments, such low energy level electrons can be generated by cathode emission and/or gas discharge. For embodiments involving electron generation through cathode emission, these embodiments may include: field emission, thermal emission, thermal-field emission, photoemission, and electron or ion beam emission.
  • Field emission involves applying an electric field with a negative bias on the emission electrode relative to the base electrode that is sufficiently high in intensity to overcome an energy barrier for electrons to be generated from the surface of the emission electrode. In certain embodiments, a DC voltage is applied between the two electrodes that ranges from 0.1 to 50 kV, or from 2 to 30 kV. In these embodiments, the distance between the electrodes may range from 0.1 to 30 cm, or from 0.5 to 5 cm.
  • Thermal emission, on the other hand, involves using a high temperature to energize electrons in the emission electrode and separate the electrons from the metallic bond in the material of the emission electrode. In certain preferred embodiments, the temperature of the emission electrode may range from 800 to 3500° C., or from 800 to 1500° C. The emission electrode may be brought to and/or maintained at a high temperature by a variety of methods such as, but not limited to, directly heating by passing AC or DC current through the electrode; indirect heating such as contacting the cathode surface with an electrically insulated hot surface heated by a heating element, IR radiation, or combinations thereof.
  • For thermal-field emission, both an electric field and a high temperature are applied. Therefore, thermal-field emission may require a lesser electric field and a lower electrode temperature for generating the same quantity of electrons as compared with pure field emission and pure thermal emission. In embodiments wherein the thermal-field emission is used for electron generation, the temperature of the first electrode that acts as the cathode may range from ambient to 3500° C., or from 150 to 1500° C. In these embodiments, the electric voltage may range from 0.01 to 20 kV or from 0.1 to 10 kV.
  • In embodiments wherein the cathode emission mechanism is used for generating electrons, the voltage applied between the two electrodes can be constant or pulsed. The frequency of the voltage pulse may range from 0 to 100 kHz. With applying a pulsed voltage, the arcing tendency between two electrodes can be reduced, so that the applied voltage can be increased and cathode emission can be intensified.
  • As mentioned previously, electrons can be generated from a first electrode that acts as a cathode when it has a negative bias relative to a second electrode that acts as an anode. In certain embodiments, the second electrode is the grounded chamber walls and/or grounded fixtures contained within the reactor. In embodiments wherein the cathode emission mechanism is used for generating electrons, the electrode material may be comprised of a conductive material with relatively low electron-emission energy or work function and a high stability under processing conditions. Examples of suitable materials include nickel, iridium, and iridium oxide. In embodiments wherein field emission is involved, the electrode is preferably made of geometries having a large surface curvature, such as thin wires or sharp tips, to intensify the electric field near the electrode surface. Further examples of geometries are provided in co-pending U.S. patent application Ser. No. 10/425,405 which is currently assigned to the assignee of the present invention and incorporated herein by reference in its entirety.
  • Low energy electrons may also be generated through gas phase discharge wherein the energy level of the discharged electrons may be adjusted by the pressure of the gas phase. These embodiments may include thermal discharge, photo-discharge, and various avalanche discharge, including glow discharge, arc discharge, spark discharge, and corona discharge. In these embodiments, the gas phase used for chamber cleaning may contain a reactive gas and an inert diluent gas used for donating electrons wherein the electron affinity of the inert diluent gas is significantly lower than that of the reactive gas. In one particular embodiment involving gas phase discharge, a high frequency pulsed voltage may be applied between the first and second electrodes and electrons are generated from the gas mixture between two electrodes that then drift toward the anode. During the electron drift, some of these electrons may attach on the reactive gas molecules and form negatively charged ions by electron attachment. In addition, some positive ions are also created by ionization of the inert gas, which then drift toward the anode and are neutralized at the anode surface.
  • As mentioned previously, a gas mixture comprising a reactive gas and optionally an inert gas is generally used as the feed gas for chamber cleaning. For applying electron attachment in the chamber cleaning, a reactive gas with a certain electron affinity greater than 0 can be used and treated by electron attachment. Examples of suitable gases include halogen-containing gases such as, but not limited to, NF3, a compound having the formula NFnCl3-n wherein n is a number ranging from 1 to 2, F2, mixed halogen gases such as ClF and ClF3, HF, SF6, BF3, fluorocarbons such as CF4, C2F6, C3F8, C4F8, and oxyfluorocarbons such as C4F8O, COF2 etc. Besides the aforementioned reactive gas, any other gas that has certain electron affinity and is intrinsically reactive or can form active species by electron attachment to convert solid deposition residues into at least one volatile product is potentially applicable for current invention.
  • In certain embodiments, an inert diluent gas or a dilution gas can be added to the gas mixture. In these embodiments, the inert diluent gas has an electron affinity that is less than that of the reactive gas contained within the gas mixture. Examples of suitable inert diluent gases include, but are not limited to, N2, Ar, He, Ne, Kr, Xe, and mixtures thereof. The concentration of the inert diluent gas within the gas mixture can range from 0 to 99.9% or from 1 to 99% by volume.
  • For a given quantity of free electrons, the efficiency of the electron attachment can increase at increased gas pressure due to a reduced acceleration of the free electrons and/or an increase in collision probability between the molecules contained within the gas mixture and the free electrons. The pressure range within the reactor may range from 10 millitorr to 700 torr or from 1 torr to 700 torr. In certain embodiments, a sub-atmospheric pressure (such as 700 Torr) may be used for safety concerns to minimize outbound leak of reactive gases. When the potential hazard of outbound gas leakage is mitigated, however, the pressure can be increased to higher ranges, such as, for example above atmospheric pressure, to further enhance the efficiency of electron attachment processes. In alternative embodiments, the pressure within the reactor is higher than that of the pressure within the target area, remote ion generator, and/or remote plasma chamber, to encourage the flow of the negatively charged cleaning gas into the reactor.
  • The method disclosed herein is useful for cleaning the inside of reactors and the surfaces of various fixtures contained therein such as, but not limited to, fluid inlets and outlets, showerheads, work piece platforms, etc. In these embodiments, the surface of the chamber and fixtures contained therein may be comprised of a variety of different materials including metals, such as titanium, aluminum, stainless steel, nickel, or alloys comprising same, or insulating materials, such as a ceramic, e.g., quartz or Al2O3.
  • In certain embodiments, the method disclosed herein may be used to enhance remote plasma cleaning. In these embodiments, a remote plasma source, such as, but not limited to, a remote thermal activation source, a remote catalytically activated source, or a source which combines thermal and catalytic activation, may be used rather than an in situ plasma to generate the volatile product. In remote plasma cleaning, an intense discharge of cleaning gases is generated outside of the deposition chamber, reactive species such as reactive atoms and radicals then flow downstream into the deposition chamber to volatize the deposition residues. Either an RF or a microwave source can generate the remote plasma source. Depending upon the energy source, power ranging from 100 to 14,000 Watts may be used to activate the plasma. In certain embodiments, reactions between the negatively charged cleaning gas containing remote plasma generated reactive species and the deposition residues may be activated/enhanced by heating the reactor. In these embodiments, the reaction between the negatively charged cleaning gas containing the remote plasma generated reactive species and substance to be removed can be activated and/or enhanced by heating the reactor to a temperature sufficient to dissociate the one or more reactive gas contained within the reactive gas. The specific temperature required to activate the cleaning reaction with the substance to be removed depends on the reactive gas(s) adopted.
  • In remote thermal activation, the reactive gas first flows through a heated area such as a remote chamber outside of the vessel to be cleaned. In the remote chamber, the gas dissociates by contact with the high temperatures within a vessel outside of the reactor to be cleaned. Alternative approaches include the use of a catalytic converter to dissociate the reactive gas, or a combination of thermal heating and catalytic cracking to facilitate activation of the one or more reactive gases within the gas mixture.
  • In alternative embodiments, the molecules of one or more reactive gases within the gas mixture can be dissociated by intense exposure to photons to form reactive radicals and atoms. For example ultraviolet, deep ultraviolet and vacuum ultraviolet radiation can assist breaking strong chemical bonds in deposition residues as well as dissociating the one or more reactive gas within the gas mixture thereby increasing the removal rates of the deposition residues. Other means of activation and enhancement to the cleaning processes can also be employed. For example, one can use photon induced chemical reactions to generate reactive species and enhance the negatively charged cleaning gas that is generated by electron attachment.
  • In certain embodiments, the reactor can remain at substantially similar operating conditions (pressure and temperature) during the cleaning operation as during the deposition operation. For example, in embodiments wherein the reactor is a CVD reactor, the flow of deposition gas is stopped and purged from the reactor and delivery lines. If needed, the temperature of the reactor temperature may be changed to an optimum value; however in the preferred mode the reactor temperature is maintained at the deposition process conditions. A gas mixture, that may contain the reactive gas, an inert diluent gas, and/or reactive species, is flowed into the reactor. The reactive gas converts the substance, i.e., debris on the reactor surfaces into volatile compounds that are swept from the reactor. After a prescribed time, or after the concentration of the formed volatile compounds detected in the reactor effluent is below an acceptable level, the cleaning gas flow is stopped and preferably purged from the reactor and delivery lines. The flow of the deposition gas is then restarted and the CVD deposition process resumed.
  • In a further embodiment, the method described herein may be used in several areas of semiconductor manufacturing other than chamber cleaning, such as etching silicon wafers and removing post-etch or post-ion implantation photoresist materials and sidewall passivations films. Traditionally wet stripping and/or plasma etching are used in these wafer-manufacturing processes. Comparing with the traditional methods, the use of a negatively charged cleaning gas may provide at least one of the following advantages: high etching rate; high anisotropy of etching; feasibility for etching high aspect ratio features; low operation cost; and low capital cost.

Claims (30)

1. A method for removing a substance from a reactor, the method comprising:
providing the reactor wherein at least a portion of a surface of the reactor is coated with the substance;
providing a first and a second electrode that is in close proximity to the reactor wherein the first and the second electrode resides within a target area;
passing a gas mixture comprising a reactive gas into the target area wherein the reactive gas has an electron affinity greater than 0;
supplying energy to at least one of the first or the second electrodes to generate electrons within the target area wherein at least a portion of the electrons attach to at least a portion of the reactive gas thereby forming a negatively charged cleaning gas;
contacting the substance with the negatively charged cleaning gas wherein the negatively charged cleaning gas reacts with the substance and forms at least one volatile product; and
removing the at least one volatile product from the reactor.
2. The method of claim 1 wherein the reactive gas comprises a halogen.
3. The method of claim 2 wherein the reactive gas is at least one member selected from NF3, ClF3, ClF, SF6, a perfluorocarbon, a hydrofluorocarbon, an oxyfluorocarbon, a hypofluorite, a fluoroperoxide, a fluorotrioxide, COF2, NOF, F2, a compound having the formula NFnCl3-n, wherein n is a number ranging from 1 to 2, BCl3, Cl2, and combinations thereof.
4. The method of claim 3 wherein the reactive gas is NF3.
5. The method of claim 1 wherein the gas mixture comprises reactive species that were activated within a remote chamber.
6. The method of claim 1 wherein the gas mixture further comprises an inert diluent gas.
7. The method of claim 6 wherein the inert diluent gas comprises at least one selected from nitrogen, helium, argon, neon, xenon, krypton, radon, and mixtures thereof.
8. The method of claim 6 wherein the inert diluent gas has an electron affinity that is less than the electron affinity of the reactive gas.
9. The method of claim 1 wherein the energy in the supplying step is at least one source selected from the group consisting of an electric energy source, an electromagnetic energy source, a thermal energy source, an electric energy source, a photo energy source, or combinations thereof.
10. The method of claim 9 wherein the energy is an electric energy source.
11. The method of claim 1 wherein the first electrode is grounded.
12. The method of claim 1 wherein the second electrode is grounded.
13. The method of claim 1 wherein the target area resides within the reactor.
14. The method of claim 1 wherein the target area is outside of the reactor.
15. The method of claim 1 wherein the electrons are generated in the supplying step by at least one method selected from the group consisting of cathode emission, gas discharge, and combinations thereof.
16. The method of claim 15 wherein the electrons are generated by a cathode emission method selected from the group consisting of field emission, thermal emission, thermal-field emission, photoemission, and electron beam emission.
17. The method of claim 1 wherein the substance is at least one selected from a W, Ti, SiO2, TiO2, SiON, poly-silicon, amorphous silicon, SiN, WN, Al2O3, HfO2, ZrO2, HfSiO4, and mixtures thereof.
18. A method of removing a substance from at least a portion of a surface of a reactor, the method comprising:
providing the reactor comprising at least one electrode and the surface wherein at least a portion of the surface is grounded;
introducing a gas mixture comprising a reactive gas and optionally an inert diluent gas into the reactor;
supplying voltage to the at least one electrode and/or the surface to generate electrons wherein at least a portion of the electrons attach to at least a portion of the reactive gas thereby forming a negatively charged cleaning gas;
contacting the substance with the negatively charged cleaning gas wherein the negatively charged cleaning gas reacts with the substance and forms at least one volatile product; and
removing the at least one volatile product from the reactor.
19. The method of claim 18 wherein the gas mixture further comprises reactive species.
20. The method of claim 18 wherein the reactive gas is at least one member selected from NF3, ClF3, ClF, SF6, a perfluorocarbon, a hydrofluorocarbon, an oxyfluorocarbon, a hypofluorite, a fluoroperoxide, a fluorotrioxide, COF2, NOF, F2, a compound having the formula NFnCl3-n, wherein n is a number ranging from 1 to 2, BCl3, Cl2, and combinations thereof.
21. The method of claim 20 wherein the reactive gas is NF3.
22. The method of claim 18 wherein the substance is at least one selected from SiO2, TiO2, SiON, W, poly-silicon, amorphous silicon, SiN, WN, Al2O3, HfO2, ZrO2, HfSiO4, HfSiO4, and mixtures thereof.
23. The method of claim 18 wherein the voltage ranges from 0.01 to 50 kV.
24. The method of claim 23 wherein the voltage ranges from 0.1 to 30 kV.
25. The method of claim 18 wherein the voltage is pulsed.
26. The method of claim 18 wherein the gas mixture is at a pressure ranging from 1 Torr to 20 psia.
27. The method of claim 18 wherein the gas mixture comprises the inert diluent gas.
28. The method of claim 18 wherein the amount of inert diluent gas ranges from 1 to 99% by volume.
29. A method of removing a substance from at least a portion of a surface of a reactor, the method comprising:
providing a reactive gas into a remote chamber that is outside of the reactor,
activating the reactive gas in the remote chamber to form reactive species;
providing the reactor comprising at least one electrode and the surface wherein at least a portion of the surface is grounded;
introducing a gas mixture comprising a reactive gas, reactive species, and optionally an inert diluent gas into the reactor;
supplying voltage to the at least one electrode and/or the surface to generate electrons wherein at least a portion of the electrons attach to at least a portion of the reactive gas thereby forming a negatively charged cleaning gas;
contacting the substance with the negatively charged cleaning gas wherein the negatively charged cleaning gas reacts with the substance and forms at least one volatile product; and
removing the at least one volatile product from the reactor.
30. The method of claim 29 wherein the activating step is conducted using power that ranges from 100 to 14,000 Watts.
US10/835,450 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment Abandoned US20050241670A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US10/835,450 US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment
US11/095,580 US20050241671A1 (en) 2004-04-29 2005-04-01 Method for removing a substance from a substrate using electron attachment
JP2005125113A JP2005317963A (en) 2004-04-29 2005-04-22 Method for removing substance from substrate using electron attachment
KR1020050035030A KR100644181B1 (en) 2004-04-29 2005-04-27 Method for removing a substance from a substrate using electron attachment
TW094113719A TWI263255B (en) 2004-04-29 2005-04-28 Method for removing a substance from a substrate using electron attachment
EP05009316A EP1598881A3 (en) 2004-04-29 2005-04-28 Method for removing a substance from a substrate using electron attachment
CN200510071791.8A CN1770390A (en) 2004-04-29 2005-04-29 Method for removing substance from substrate using electron attachment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/835,450 US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/095,580 Continuation-In-Part US20050241671A1 (en) 2004-04-29 2005-04-01 Method for removing a substance from a substrate using electron attachment

Publications (1)

Publication Number Publication Date
US20050241670A1 true US20050241670A1 (en) 2005-11-03

Family

ID=35185844

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/835,450 Abandoned US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment

Country Status (2)

Country Link
US (1) US20050241670A1 (en)
CN (1) CN1770390A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040139915A1 (en) * 2000-03-27 2004-07-22 Semiconductor Energy Laboratory Co., Ltd., A Japan Corporation Plasma CVD apparatus and dry cleaning method of the same
US20060137709A1 (en) * 2004-12-28 2006-06-29 Akitake Tamura Film formation apparatus and method of using the same
US20080149690A1 (en) * 2003-04-28 2008-06-26 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment for Wafer Bumping Applications
US20080286491A1 (en) * 2007-01-31 2008-11-20 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US20090223831A1 (en) * 2008-03-04 2009-09-10 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment
US20090236236A1 (en) * 2003-04-28 2009-09-24 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
CN102754201A (en) * 2009-10-26 2012-10-24 苏威氟有限公司 Etching process for producing a tft matrix
US10727050B1 (en) 2016-06-15 2020-07-28 Northrop Grumman Systems Corporation Wafer-scale catalytic deposition of black phosphorus
US11338280B2 (en) * 2020-02-03 2022-05-24 Usa Debusk Llc Catalytic reactor system treatment processes

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4772610B2 (en) * 2006-07-19 2011-09-14 東京エレクトロン株式会社 Analysis method
WO2009080615A2 (en) * 2007-12-21 2009-07-02 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
US20220037132A1 (en) * 2018-10-05 2022-02-03 Lam Research Corporation Removing metal contamination from surfaces of a processing chamber

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910435A (en) * 1988-07-20 1990-03-20 American International Technologies, Inc. Remote ion source plasma electron gun
US5356478A (en) * 1992-06-22 1994-10-18 Lam Research Corporation Plasma cleaning method for removing residues in a plasma treatment chamber
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5449411A (en) * 1992-10-20 1995-09-12 Hitachi, Ltd. Microwave plasma processing apparatus
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5587720A (en) * 1991-11-08 1996-12-24 Fujitsu Limited Field emitter array and cleaning method of the same
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6217703B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6456010B2 (en) * 2000-03-13 2002-09-24 Mitsubishi Heavy Industries, Ltd. Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US20030037801A1 (en) * 2001-08-27 2003-02-27 Applied Materials, Inc. Method for increasing the efficiency of substrate processing chamber contamination detection
US20030129835A1 (en) * 2002-01-07 2003-07-10 Applied Materials Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US20040011385A1 (en) * 2002-07-22 2004-01-22 Henderson Philip Bruce Glass-coating reactor cleaning with a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
US20040129671A1 (en) * 2002-07-18 2004-07-08 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910435A (en) * 1988-07-20 1990-03-20 American International Technologies, Inc. Remote ion source plasma electron gun
US5587720A (en) * 1991-11-08 1996-12-24 Fujitsu Limited Field emitter array and cleaning method of the same
US5356478A (en) * 1992-06-22 1994-10-18 Lam Research Corporation Plasma cleaning method for removing residues in a plasma treatment chamber
US5449411A (en) * 1992-10-20 1995-09-12 Hitachi, Ltd. Microwave plasma processing apparatus
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6217703B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6456010B2 (en) * 2000-03-13 2002-09-24 Mitsubishi Heavy Industries, Ltd. Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US20030037801A1 (en) * 2001-08-27 2003-02-27 Applied Materials, Inc. Method for increasing the efficiency of substrate processing chamber contamination detection
US20030129835A1 (en) * 2002-01-07 2003-07-10 Applied Materials Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US20040129671A1 (en) * 2002-07-18 2004-07-08 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011385A1 (en) * 2002-07-22 2004-01-22 Henderson Philip Bruce Glass-coating reactor cleaning with a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7223446B2 (en) * 2000-03-27 2007-05-29 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus and dry cleaning method of the same
US20070181146A1 (en) * 2000-03-27 2007-08-09 Semiconductor Energy Laboratory Co., Ltd. Plasma cvd apparatus and dry cleaning method of the same
US7569256B2 (en) 2000-03-27 2009-08-04 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus and dry cleaning method of the same
US20040139915A1 (en) * 2000-03-27 2004-07-22 Semiconductor Energy Laboratory Co., Ltd., A Japan Corporation Plasma CVD apparatus and dry cleaning method of the same
US7883602B2 (en) 2003-04-28 2011-02-08 Air Products And Chemicals, Inc. Electrode assembly for the removal of surface oxides by electron attachment
US8617352B2 (en) 2003-04-28 2013-12-31 Air Products And Chemicals, Inc. Electrode assembly for the removal of surface oxides by electron attachment
US20080149690A1 (en) * 2003-04-28 2008-06-26 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment for Wafer Bumping Applications
US8361340B2 (en) 2003-04-28 2013-01-29 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US20080295966A1 (en) * 2003-04-28 2008-12-04 Air Products And Chemicals, Inc. Electrode Assembly For The Removal Of Surface Oxides By Electron Attachment
US8119016B2 (en) 2003-04-28 2012-02-21 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US20090236236A1 (en) * 2003-04-28 2009-09-24 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment
US7615163B2 (en) * 2004-12-28 2009-11-10 Tokyo Electron Limited Film formation apparatus and method of using the same
US20060137709A1 (en) * 2004-12-28 2006-06-29 Akitake Tamura Film formation apparatus and method of using the same
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8043659B2 (en) 2007-01-31 2011-10-25 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US20080286491A1 (en) * 2007-01-31 2008-11-20 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US7897029B2 (en) 2008-03-04 2011-03-01 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US20090223831A1 (en) * 2008-03-04 2009-09-10 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment
CN102754201A (en) * 2009-10-26 2012-10-24 苏威氟有限公司 Etching process for producing a tft matrix
US10727050B1 (en) 2016-06-15 2020-07-28 Northrop Grumman Systems Corporation Wafer-scale catalytic deposition of black phosphorus
US11338280B2 (en) * 2020-02-03 2022-05-24 Usa Debusk Llc Catalytic reactor system treatment processes

Also Published As

Publication number Publication date
CN1770390A (en) 2006-05-10

Similar Documents

Publication Publication Date Title
US20050241671A1 (en) Method for removing a substance from a substrate using electron attachment
KR100443118B1 (en) Plasma processing method for etching multi-component alloys
TWI442836B (en) Plasma reactor
KR100786611B1 (en) Free radical initiator in remote plasma chamber clean
JPH05267256A (en) Method of cleaning reaction chamber
KR100644176B1 (en) Method for cleaning deposition chambers for high dielectric constant materials
KR101770845B1 (en) Method for ion source component cleaning
EP3090073B1 (en) Method of etching a film on a semiconductor in a semiconductor manufacturing process chamber
CN1770390A (en) Method for removing substance from substrate using electron attachment
EP3038142A1 (en) Selective nitride etch
US8372756B2 (en) Selective etching of silicon dioxide compositions
CN101278072A (en) Method of using NF3 for removing surface deposits
JP2007531289A (en) Remote chamber method for removing surface deposits
US20040045577A1 (en) Cleaning of processing chambers with dilute NF3 plasmas
Sirard Introduction to plasma etching
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
CN101764044A (en) Method for pretreating technical cavity of plasma device
KR102275996B1 (en) Hydrofluoroolefin etching gas mixtures
JP3169759B2 (en) Plasma etching method
US6716765B1 (en) Plasma clean for a semiconductor thin film deposition chamber
TWI822194B (en) Wafer cleaning device and method of use
US7279429B1 (en) Method to improve ignition in plasma etching or plasma deposition steps

Legal Events

Date Code Title Description
AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DONG, CHUN CHRISTINE;JI, BING;REEL/FRAME:015627/0755

Effective date: 20040723

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION