US20050241762A1 - Alternating asymmetrical plasma generation in a process chamber - Google Patents

Alternating asymmetrical plasma generation in a process chamber Download PDF

Info

Publication number
US20050241762A1
US20050241762A1 US11/060,980 US6098005A US2005241762A1 US 20050241762 A1 US20050241762 A1 US 20050241762A1 US 6098005 A US6098005 A US 6098005A US 2005241762 A1 US2005241762 A1 US 2005241762A1
Authority
US
United States
Prior art keywords
plasma
power
controlling device
modulation
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/060,980
Inventor
Alexander Paterson
Elizabeth Pavel
Valentin Todorow
Huong Nguyen
Thomas Kropewnicki
Brian Hatcher
John Holland
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/060,980 priority Critical patent/US20050241762A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PATERSON, ALEXANDER, PAVEL, ELIZABETH G., NGUYEN, HUONG THANH, TODOROW, VALENTIN N., HATCHER, BRIAN K., HOLLAND, JOHN P., KROPEWNICKI, THOMAS J.
Priority to KR1020050036602A priority patent/KR20060092790A/en
Priority to TW094117012A priority patent/TWI339546B/en
Publication of US20050241762A1 publication Critical patent/US20050241762A1/en
Priority to US11/766,067 priority patent/US20080023443A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Definitions

  • Embodiments of the invention generally relate to plasma processing systems and materials and apparatus for controlling plasma uniformity in plasma processing systems.
  • Plasma chambers are regularly utilized in various electronic device fabrication processes, such as etching processes, chemical vapor deposition (CVD) processes, and other processes related to the manufacture of electronic devices on substrates. Many ways have been employed to generate and/or control the plasma density, shape, and electrical characteristics in processing chambers, such as capacitively or inductively coupled plasma chambers.
  • An inductively coupled RF plasma chamber typically has an inductive coil antenna wound around the chamber and connected to a plasma source RF power supply.
  • a capacitively coupled plasma chamber typically has two parallel plate electrodes, i.e., “showerhead” and substrate support, between which plasma is generated.
  • Inductively coupled and capacitively coupled plasma chambers typically have a plasma ion density distribution across the surface of the substrate being processed that varies greatly depending upon various processing parameters.
  • processing parameters may include the type of process gas or gas mixture introduced into the chamber, the gas pressure, and/or the energy (e.g., RF power, etc.) delivered into the chamber to excite the gas or gas mixture.
  • the plasma ion density may be high, for example, at the substrate center and low at the substrate periphery for one process gas, while for another process gas the plasma ion density may be low at the substrate center and high at the substrate periphery.
  • first RF coil or electrode is in electrical communication with a first power supply through, for example, a first matching network/circuit
  • second RF coil or electrode is in electrical communication with a second RF power supply through a second matching network/circuit. Therefore, the respective RF power supplies and accompanying matching networks operate to individually control the power supplied to the respective coils or electrodes.
  • the RF power is held constant during a substrate processing sequence. This is undesirable for some processing sequences, because the plasma uniformity over the surface of the substrate generated in a particular processing chamber may be acceptable for one portion of a sequence, while causing substrate damage during another portion of the sequence.
  • Conventional processing chambers may vary the ion density and uniformity by varying pressure in the chamber (the density or flow of the process gas into the chamber) or the power applied to the coils or electrodes.
  • varying the gas flow is also undesirable, since the gas flow affects the plasma composition and is harder to control due to transient effects created due to the pressure changes.
  • Uniformity achieved in a plasma processing chamber may also be affected by the interaction of the electric and/or magnetic fields generated by two or more plasma controlling devices (e.g., coils, electrodes, etc.) used in the plasma processing chamber.
  • the interaction of the fields are an inherent part of the chamber design, and fields may interact to a greater degree or to a lesser degree based on the configuration of the chamber hardware and process variable settings. Overlapping fields will constructively interfere, thus increasing the ion density in places where the fields interact and decreasing uniformity and the ability to control the process uniformity.
  • the uniformity of the generated plasma may vary as the process conditions are varied (e.g., power, pressure, gas mixture, etc.), the number and shape of the plasma controlling devices in the chamber are varied, the way the plasma controlling devices are installed and/or the inherent physical characteristics of the plasma controlling devices and their relative position to the surface of the substrate.
  • it is common to adjust the configuration of the plasma controlling hardware and/or plasma process variables such as, for example, a continuous power delivered to each plasma controlling device, chamber pressure or the position of the substrate in the plasma.
  • the process uniformity may still exceed a desired value due to the interaction of the fields (i.e., magnetic or electric fields) created when power is delivered to a plurality of plasma controlling devices or due to other effects caused by the interaction of the plasma generated by the plasma controlling devices.
  • the non-uniformity in the process results may create a variation between the center and edge of the substrate or an edge to edge type variation (e.g., right-side/left-side variation, saddle shaped variation, etc.).
  • Embodiments of the invention provide an apparatus for plasma processing a substrate, wherein the apparatus includes first and second plasma controlling devices that are in communication with a processing region of a plasma chamber.
  • the first plasma controlling device and second plasma controlling device are connected to a first RF power source and a second RF power source, respectively.
  • a controller that is connected to the first RF power source and the second RF power source controls the modulation of the amplitude of the RF power supplied to the first plasma controlling device and the second plasma controlling device such that the overlap in time of the RF power supplied to the first and second plasma controlling devices is controlled to improve the uniformity of the plasma process completed on a substrate mounted in the processing region.
  • Embodiments of the invention further provide an apparatus for plasma processing a substrate, wherein the apparatus includes first and second plasma controlling devices that are in communication with a processing region of a plasma chamber.
  • the first plasma controlling device and second plasma controlling device are connected to a first RF power source and a second RF power source, respectively.
  • a controller that is connected to the first RF power source and the second RF power source synchronizes and controls the amplitude modulation of the RF power supplied to the first plasma controlling device and the second plasma controlling device such that the power, modulation pulse frequency, modulation pulse duration, rest time between modulation pulses, and overlap of the modulation pulse to the first and/or second plasma controlling devices can be varied as a function of time.
  • Embodiments of the invention further provide an apparatus for plasma processing a substrate, wherein the apparatus includes first, second and third plasma controlling devices that are in communication with a processing region of a plasma chamber.
  • the first plasma controlling device, the second plasma controlling device and the third plasma controlling device are connected to a first RF power source, a second RF power source, and a third RF power source, respectively.
  • a controller that is connected to the first RF power source, the second RF power source and third RF power source controls the modulation of the amplitude of the RF power supplied to the first, the second and the third plasma controlling devices such that the overlap in time of the RF power supplied to the first and second plasma controlling devices is controlled to improve the uniformity of the plasma process completed on a substrate mounted in the processing region.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes amplitude modulating the RF power to a first plasma controlling device and a second plasma controlling device.
  • the method generally includes modulating the pulse frequency and RF power level, to each of the plasma controlling devices, synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device; and controlling the amplitude modulation of the RF power such that the overlap of the amplitude modulated RF power delivered to the first and second plasma controlling devices is controlled to improve the uniformity of the process completed on the substrate.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes generating first and second torroidal paths of plasma, which are not coincident, that pass near and traverse the surface of a substrate.
  • the method generally includes varying the plasma density in the vicinity of the substrate by amplitude modulating the first torroidal path of plasma at a first modulation pulsing frequency and a first RF power and modulation pulsing the second torroidal path of plasma at a second modulation pulsing frequency and a second RF power as a function of time.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes generating a plasma over a first area of a substrate and a second area of a substrate, wherein the first plasma controlling device generates a plasma in a first region near the substrate and the second plasma controlling device generates a plasma in a second region near the substrate and the first and second regions overlap.
  • the method also generally includes varying the plasma density generated in the first region, in the second region, and a region between the first and second region by amplitude modulating the RF power delivered to the first plasma controlling device and the second plasma controlling device.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes amplitude modulating the RF power to a first plasma controlling device and a second plasma controlling device. The method also includes varying the modulation pulse frequency and RF power level, to each of the plasma controlling devices and synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device to adjust the plasma density in the plasma chamber to compensate for a non-uniform area on a substrate surface.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes amplitude modulating the RF power to a first plasma controlling device and a second plasma controlling device.
  • the method also includes amplitude modulating the RF power delivered to each of the plasma controlling devices, synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device, and controlling the shape of the amplitude modulated RF power, wherein the shape of the modulated RF power is rectangular, triangular, trapezoidal or sinusoidal.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes amplitude modulating the RF power to a first plasma controlling device and a second plasma controlling device. The method also includes amplitude modulating the RF power delivered to each of the plasma controlling devices, synchronizing the amplitude modulation of the RF power to the first and the second plasma controlling devices, controlling the shape of the amplitude modulation of the RF power, and controlling the overlap and/or gap between the amplitude modulated RF power to the first and second plasma controlling devices.
  • FIG. 1A illustrates an isometric schematic cross-sectional view of a torroidal plasma source chamber.
  • FIG. 1B illustrates a schematic cross-sectional view of a torroidal plasma source chamber.
  • FIG. 2A illustrates a schematic top view of a torroidal plasma source chamber having two orthogonal plasma conduits.
  • FIG. 2B illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which a plasma current is generated in the first conduit 150 A only.
  • FIG. 2C illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which a plasma current is generated in the second conduit 150 B only.
  • FIG. 2D illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which a plasma current is generated in the first conduit 150 A and the second conduit 150 B.
  • FIG. 2E illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which a plasma current is generated in the first conduit 150 A and the second conduit 150 B and a bias is applied to the substrate pedestal 115 .
  • FIG. 2F illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which the plasma current generated in the first conduit 150 A and the second conduit 150 B are each amplitude modulated and synchronized.
  • FIG. 3A illustrates a cross-sectional view of an inductively coupled plasma processing chamber.
  • FIG. 3B illustrates a cross-sectional view of an inductively coupled and torroidal plasma source configuration that may adapted for plasma processing.
  • FIG. 4A illustrates a cross-sectional view of a capacitively coupled plasma processing chamber
  • FIG. 4B illustrates a cross-sectional view of a capacitively coupled plasma processing chamber.
  • FIG. 5 illustrates a cross-sectional view of a capacitively coupled plasma processing chamber.
  • FIG. 6A illustrates the composite profile of a rectangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 6B and 6C .
  • FIG. 6B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 6C illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 7A illustrates the composite profile of a rectangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 7B and 7C .
  • FIG. 7B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 7C illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 8A illustrates the composite profile of a rectangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 8B and 8C .
  • FIG. 8B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 8C illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 9A illustrates the composite profile of a rectangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 9B and 9C .
  • FIG. 9B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 9C illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 10A illustrates the composite profile of a triangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 10B and 10C .
  • FIG. 10B illustrates a triangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 10C illustrates a triangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 11A illustrates the composite profile of a sinusoidal shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 11B and 11C .
  • FIG. 11B illustrates a sinusoidal shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 11C illustrates a sinusoidal shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 12A illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a plasma controlling device with the modulated RF power waveform shown.
  • FIG. 12B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a plasma controlling device with the modulated RF power waveform shown.
  • FIG. 12C illustrates a sinusoidal shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device with the modulated RF power waveform shown.
  • FIG. 13A is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a 1000 Hz modulation pulse frequency.
  • FIG. 13B is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a 2000 Hz modulation pulse frequency.
  • FIG. 13C is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a 15,000 Hz modulation pulse frequency.
  • FIG. 13D is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a 25,000 Hz modulation pulse frequency.
  • FIG. 13E is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a constant RF power to both plasma controlling devices.
  • FIG. 14A illustrates an isometric schematic cross-sectional view of a torroidal plasma source chamber having a first and a second pedestal RF power source and a first and a second pedestal impedance match element connected to the substrate pedestal.
  • FIG. 14B illustrates a cross-sectional view of an inductively coupled plasma processing chamber having a first and a second pedestal RF power source and a first and a second pedestal impedance match element connected to the substrate pedestal.
  • FIG. 14C illustrates a cross-sectional view of a capacitively coupled plasma processing chamber having a first and a second pedestal RF power source and a first and a second pedestal impedance match element connected to the substrate pedestal.
  • FIG. 14D illustrates a cross-sectional view of a capacitively coupled plasma processing chamber having a first and a second pedestal RF power source and a first and a second pedestal impedance match element connected to the substrate pedestal.
  • FIG. 15 illustrates an isometric schematic cross-sectional view of a torroidal plasma source chamber which contains a substrate pedestal that has two electrodes embedded therein that may be RF biased separately.
  • Embodiments of the present invention generally provide etch or CVD plasma processing methods and apparatus used to generate a uniform etch or deposition profile on the surface of a substrate by modulating the amplitude of the RF power delivered to a plurality of plasma controlling devices associated with a plasma processing chamber.
  • the amplitude modulated RF power, delivered to the plasma controlling devices generates a uniform plasma, which thus develops the uniform etch or deposition profile.
  • the plasma generated and/or sustained in the plasma processing chamber is created by the one or more plasma controlling devices that are used to control, generate, enhance, and/or shape the plasma during the plasma processing steps by use of energy delivered from a RF power source.
  • a plasma controlling device may include, for example, one or more coils (inductively coupled plasma), one or more electrodes (capacitively coupled plasma), a substrate pedestal, and/or any other energy inputting device such as a microwave source.
  • Embodiments of the invention are used to correct process non-uniformities by synchronizing the amplitude modulation of the RF power delivered to each plasma controlling device to reduce the interaction of the field(s) created by the plasma controlling devices, overcome inherent chamber design shortcomings, and/or hardware installation issues.
  • a temporal and spatial variation in the plasma density can be controlled and thus averaged over the plasma processing time to yield a desired process result.
  • spatial variation in the plasma density is meant to denote a change in the plasma density (or composition) over a localized area of the substrate and/or a shifting, or translation, of the generated plasma across the surface of the substrate.
  • temporary variation in the plasma density is meant to denote any change in the plasma density (or composition) over a localized area of the substrate as a function of time.
  • embodiments of the invention generally provide a plasma-based electronic device fabrication processing sequence, wherein the plasma uniformity or flux of ions and neutrals at the surface of a substrate is varied during the processing sequence to achieve more uniform process results on the surface of the substrate. Therefore, embodiments of the invention allow for an infinite number of variations in plasma and/or etch uniformity within a processing sequence, and within recipe steps of the processing sequence, and generally do not require any disassembly or reconfiguration of the plasma controlling devices in order to accomplish plasma uniformity variation.
  • Embodiments of the invention generally provide for varying the plasma uniformity by modulating the amplitude of the RF power delivered to each of the plasma controlling devices as a function of time, since the plasma uniformity and plasma ion density are directly affected by the magnetic field strength or electric field strength in the plasma region of the chamber.
  • a single recurring component of the amplitude modulated RF power waveform, or modulation pulse can have an infinite number of shapes.
  • FIGS. 12 A-C illustrate three examples of amplitude modulated RF power waveforms, or modulation pulse 4 (or modulating waveform), and the underlying amplitude modulated RF power 3 (or carrier).
  • the order of the modulation pulses delivered to each plasma controlling device may vary as a function of time (e.g., the order of the modulation pulse delivered to the plasma controlling devices need not be sequential, etc.), the length of the modulation pulse, and the power level needed to achieve the desired uniformity across the substrate.
  • the frequency of the modulation pulse may vary between about 0.1 hertz and about 100,000 hertz, but preferably varies between about 0.1 hertz and about 10,000 hertz.
  • the power delivered to each of the plasma controlling devices may vary between about 0 Watts to about 5000 Watts at a RF frequency of about 13.56 MHz.
  • the frequency of the power delivered by the RF power source is not limited to frequencies around 13.56 MHz and may be run at frequencies between about 0.4 MHz to greater than 10 GHz.
  • the amplitude modulated RF power delivered to each of the plasma controlling devices is synchronized and controlled by use of a controller 300 (see FIG. 3 ), such as a microprocessor-based controller.
  • the controller 300 is configured to receive inputs from a user and/or various sensors in the plasma processing chamber and appropriately control the plasma processing chamber components in accordance with the various inputs and software instructions retained in the controller's memory.
  • the controller 300 generally contains memory and a CPU (not shown) which are utilized by the controller to retain various programs, process the programs, and execute the programs when necessary.
  • the memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like all well known in the art.
  • a program (or computer instructions) readable by the controller 300 determines which tasks are performable in the plasma processing chamber.
  • the program is software readable by the controller 300 and includes instructions to monitor and control the plasma process based on defined rules and input data.
  • the controller 300 in conjunction with an RF power source, for example, RF power source 180 (see FIG. 1A ), is adapted to control the amplitude modulation of the RF power delivered to each of the plasma controlling devices.
  • the controller 300 and the RF power source combination are generally configured to control the modulation pulsing characteristics, for example, modulation pulse power level, modulation pulse width, modulation pulse overlap, rest time or gap between modulation pulses, modulation pulse frequencies, which are varied to achieve a desired process result.
  • the controller 300 is adapted to synchronize the amplitude modulated RF power delivered to each of the plasma controlling devices.
  • the amplitude modulation control elements of the controller 300 are contained in the two or more RF power sources.
  • the RF power sources are in communication with each other to synchronize the delivery of the modulation pulses to each of the plasma controlling devices.
  • FIG. 1A illustrates a cross-sectional view of a torroidal plasma chamber that is useful for practicing the inventions described herein.
  • An exemplary torroidal plasma chamber is further described in the U.S. Pat. No. 6,410,449, entitled “Method Of Processing A Workpiece Using An Externally Excited Torroidal Plasma Source”, filed on Aug. 11, 2000, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
  • a plasma chamber 100 enclosed by a cylindrical sidewall 105 and a ceiling 110 houses a torroidal plasma source 172 and a substrate pedestal 115 for supporting a wafer or substrate 120 .
  • a backside gas supply 128 furnishes a gas, such as helium, to a gap between the backside of the substrate 120 and the substrate pedestal 115 to improve thermal conduction between the substrate pedestal 115 and the substrate 120 .
  • the substrate pedestal 115 is heated and/or cooled by use of embedded heat transfer fluid lines (not shown), or an embedded thermoelectric device (not shown), to improve the plasma process results on the substrate 120 surface.
  • a process gas supply 125 furnishes process gas into the chamber 100 through one or more gas inlet nozzles 130 extending through the sidewall 105 .
  • a vacuum pump 135 controls the pressure within the chamber 100 , typically holding the pressure below 0.5 milliTorr (mT).
  • the torroidal plasma source 172 or torroidal type of plasma controlling device, generally contains a conduit 150 , a magnetically permeable core 1015 , antenna 170 , an impedance match element 175 , and a RF power source 180 .
  • the antenna 170 which includes a winding or coil section, is wound around a closed magnetically permeable core 1015 , which surrounds the conduit 150 .
  • the closed magnetically permeable core 1015 is used to inductively couple to the plasma generated inside the hollow conduit 150 by use of the antenna 170 , the impedance match element 175 , and the RF power source 180 .
  • dynamic impedance matching may be provided to the antenna 170 by frequency tuning, impedance matching network tuning or frequency tuning with forward power servoing.
  • an impedance match may be achieved without the impedance match element 175 by using, instead, a secondary winding 1120 (not shown) around the core 1015 connected across a tuning capacitor 1130 (not shown).
  • the capacitance of the tuning capacitor 1130 (not shown) is selected to resonate the secondary winding 1120 (not shown) at the frequency of the RF power source 180 .
  • dynamic impedance matching may be provided for a fixed tuning capacitor 1130 (not shown).
  • the half-torroidal hollow tube enclosure or conduit 150 extends above the ceiling 110 in a half circle.
  • the conduit 150 although extending externally outwardly from ceiling 110 , is nevertheless part of the chamber and forms a wall of the chamber. Internally the conduit 150 shares the same evacuated atmosphere as exists elsewhere in the chamber.
  • the conduit 150 has one open end 157 sealed around a first opening, port 155 , in the chamber ceiling 110 and its other end 158 sealed around a second opening, port 160 , in the chamber ceiling 110 .
  • the two openings, port 155 and port 160 are located on generally opposite sides of the substrate pedestal 115 .
  • the hollow conduit 150 is reentrant in that it provides a flow path which exits the main portion of the chamber at one opening and re-enters at the other opening.
  • the conduit 150 may be described herein as being half-torroidal, in that the conduit is hollow and provides a portion of a closed path in which plasma generated in the conduit 150 may flow across the process region overlying the substrate pedestal 115 .
  • the trajectory of the closed path as well as the cross-sectional shape of the path or conduit 150 may be circular or non-circular, and may be square, rectangular or any other shape, regular or irregular.
  • the ports 155 and 160 are separated by a distance that exceeds the diameter of the substrate. For example, for a 12-inch diameter substrate, the ports 155 and 160 are about 16 to 22 inches apart. For an 8-inch diameter substrate, the ports 155 and 160 are about 10 to 16 inches apart.
  • the external conduit 150 may be formed of a relatively thin conductor such as sheet metal and may contain a first insulating gap 152 and a second insulating gap 153 filled with an insulating ring 154 made from a ceramic material.
  • the insulating gaps which extend across and through the conduit 150 , suppress eddy currents in the sheet metal of the hollow conduit 150 and thereby facilitate coupling of an RF inductive field into the interior of the conduit 150 .
  • An RF power source 162 applies RF bias power to the substrate pedestal 115 and substrate 120 through an impedance match element 164 .
  • dynamic impedance matching may be provided to the substrate pedestal by frequency tuning, impedance matching network tuning or frequency tuning with forward power servoing which are well known in the art.
  • Process gases from the chamber 100 fill the hollow conduit 150 .
  • a separate process gas supply 190 may supply process gases directly into the hollow conduit 150 through a gas inlet 195 .
  • the RF field in the external hollow conduit 150 ionizes the gases in the tube to produce a plasma.
  • the RF field induced by the magnetically permeable core 1015 is such that the plasma formed in the conduit 150 reaches through the region between the substrate 120 and the ceiling 110 to complete a torroidal path that includes the half-torroidal hollow conduit 150 .
  • the term “torroidal” refers to the closed and solid nature of the path, but does not refer to or limit its cross-sectional shape or trajectory, either of which may be circular or non-circular or square or otherwise.
  • Plasma circulates through the complete torroidal path or region which may be thought of as a closed plasma circuit or plasma current path.
  • the RF inductive field generated in the conduit 150 by the closed magnetically permeable core 1015 is closed, as are all magnetic fields, and therefore induces a plasma current along the closed torroidal path.
  • the current is generally uniform along the closed path length and alternates at the frequency of the RF signal applied to the closed magnetically permeable core 1015 by the RF power source 180 through the antenna 170 is varied.
  • the torroidal region extends across the diameter of the substrate 120 and, in certain embodiments, has a sufficient width in the plane of the substrate so that it overlies the entire substrate surface.
  • FIG. 1B is a cross-sectional view of the torroidal plasma chamber shown in FIG. 1A .
  • the gas distribution showerhead 210 consists of a gas distribution plenum 220 connected to the gas supply 125 and communicating with the process region 121 over the substrate 120 through plural gas nozzle openings 230 .
  • a conductive showerhead 210 which is connected to ground, may be used since the conductive showerhead may tend to constrict the plasma path over the substrate surface and thereby increases the density of the plasma current in that vicinity and it may provide a uniform electrical potential reference or ground plane close to and across the entire substrate surface.
  • FIG. 2A illustrates a top view of a pair of orthogonal torroidal plasma sources, described below as a first torroidal plasma source (item 172 A) and a second torroidal plasma source (item 172 B).
  • a first conduit 150 A and a second conduit 150 B which extend through their respective ports in the ceiling 110 (i.e., 155 A and 160 A, and 155 B and 160 B) are excited by their respective magnetically permeable cores 1015 A and 1015 B which are in communication with their respective coil antennas 170 A and 170 B.
  • This embodiment creates two mutually orthogonal torroidal plasma current paths over the substrate 120 for enhanced uniformity.
  • the two torroidal sources are separate and independently powered as illustrated, but intersect in the process region 121 overlying the substrate (not shown in this view).
  • the torroidal plasma sources may not be orthogonal to each other, unlike what is shown in FIG. 2A , but are placed at an angle or are otherwise positioned relative to one another, for example, placed parallel to each other, placed end to end, etc., which may help improve process uniformity or improve the ease of manufacturing.
  • the two or more torroidal plasma sources may be placed in any orientation except a coincident orientation, or overlapping orientation, since it is generally preferred not use such an orientation because it will derive a minimal benefit from modulation pulsing the RF power delivered to the plasma controlling devices.
  • coincident orientation or overlapping orientation
  • coincident is meant to describe the case where the fields and plasma paths of two or more plasma generating sources are directly in-line and completely overlap each other.
  • FIGS. 2B-2F illustrate cross-sectional top views of the processing region 121 , above the substrate surface and below the showerhead 210 .
  • FIGS. 2B-2F also illustrate one embodiment of the pair of orthogonal conduits having rectangular shaped conduit ports (i.e., 155 A, 155 B, 160 A and 160 B).
  • FIG. 2B illustrates a top view of the processing region when RF power is applied to generate a plasma in the first conduit, which is connected to port 155 A and port 160 A.
  • One segment of the torroidal path of a plasma generated using a first conduit is shown as item “C”.
  • FIG. 2C illustrates a top view of the processing region when RF power is applied to generate a plasma in the second conduit, which is connected to port 155 B and port 160 B.
  • One segment of the torroidal path of a plasma generated using a second conduit is shown as item “D”.
  • FIG. 2D illustrates a top view of the processing region when RF power is applied to the first conduit, which is connected to ports 155 A and 160 A, and the second conduit, which is connected to ports 155 B and 160 B.
  • FIG. 2D depicts a typical annular plasma path “E” created when a plasma is being generated and/or sustained in both the first conduit and the second conduit. Since the plasma path “E” is not an overlapping pattern, as might be expected after reviewing the plasma paths shown in FIGS. 2B and 2C , the annular path “E” illustrates how the interaction of the generated plasmas and/or generated fields can affect plasma uniformity.
  • FIG. 2E illustrates a top view of the processing region when RF power is applied to the first conduit, which is connected to ports 155 A and 160 A, and the second conduit, which is connected to ports 155 B and 160 B, and a bias is applied to the substrate pedestal 115 .
  • FIG. 2E illustrates how adding bias to the substrate pedestal 115 , under typical process conditions, has only a limited effect on distributing the plasma generated in the plasma processing chamber since the interaction of the fields and/or generated plasma keeps a large majority of the plasma in an annular region illustrated by the darker region shown near the annular path “E”.
  • FIG. 2F illustrates a top view of the processing region when RF power is modulation pulsed to the first conduit, which is connected to ports 155 A and 160 A, and the second conduit, which is connected to ports 155 B and 160 B, such that the interaction between the generated fields is reduced.
  • FIG. 2F illustrates how modulation pulsing the RF power creates a uniform plasma density across the process region, and thus the surface of the substrate, by averaging the plasma density over a sequence of modulation pulses, over a period of time, or more generally over the plasma processing time.
  • a sequence of modulation pulses may be defined as an ordered set of modulation pulses which are able to achieve a uniform processing result on a substrate, and may be defined as the minimum number of modulation pulses in a sequence before the sequence repeats itself.
  • FIGS. 6-11 illustrate embodiments of various modulation pulse sequences having various shapes and degrees of interaction.
  • the shortest sequence may be a two modulation pulse sequence, such as a modulation pulse of the RF power to the first electrode and then a modulation pulse of the RF power to the second electrode.
  • the shortest sequence may be a three step sequence, for example, a modulation pulse of the RF power to the first electrode, a modulation pulse of the RF power to the second electrode and then a modulation pulse of the RF power to the third electrode.
  • the uniformity of the plasma process can be improved.
  • various plasma modulation pulsing recipes can be utilized to improve the processing uniformity.
  • the RF power modulation pulse characteristics may be varied, for example, at the transition between recipe steps in a plasma processing chamber recipe, at one or more times within individual recipe steps of a plasma processing chamber recipe, or continuously throughout the plasma processing process.
  • a user is able to input the desired modulation pulse characteristics (as described above) and other process variables, for example, chamber pressure, gas types, gas flow rates, etc., into a recipe from which the controller 300 is able to monitor and control all aspects of the plasma chamber process.
  • FIG. 3A illustrates a cross-sectional view of a typical inductively coupled plasma processing chamber having two RF coils disposed on a lid of the chamber which may be used to carry out one embodiment of the invention.
  • the inductively coupled plasma processing chamber generally includes a plasma chamber 10 having a generally cylindrical sidewall 15 and a dome-shaped ceiling 20 .
  • Other embodiments of an inductively coupled plasma processing chamber may include a chamber lid having another shape such as cylindrical with a flat top (coils reside on top).
  • a gas inlet 25 supplies process gas into the plasma chamber 10 .
  • a substrate support member or substrate pedestal 115 supports a substrate 120 , inside the plasma chamber 10 .
  • a backside gas supply 128 furnishes a gas, such as helium, to a gap between the backside of the substrate 120 and the substrate pedestal 115 to improve thermal conduction between the substrate pedestal 115 and the substrate 120 .
  • the substrate pedestal 115 is heated and/or cooled by use of embedded heat transfer fluid lines (not shown), or an embedded thermoelectric device (not shown), to improve the plasma process results on the substrate 120 surface.
  • An RF power source 162 may be connected to the substrate pedestal 115 through a conventional RF impedance match element 164 .
  • a plasma is ignited and maintained within the plasma chamber 10 above substrate pedestal 115 by RF power inductively coupled from a coil antenna 50 consisting of a pair of antenna loops or RF coils 52 , 54 , wound around different portions of the dome-shaped ceiling.
  • a coil antenna 50 consisting of a pair of antenna loops or RF coils 52 , 54 , wound around different portions of the dome-shaped ceiling.
  • both loops are wound around a common axis of symmetry coincident with the axis of symmetry of the dome-shaped ceiling 20 and the axis of symmetry of the substrate pedestal 115 and substrate 120 .
  • the first RF coil 52 is wound around an outer portion of the dome-shaped ceiling 20 while the second RF coil 54 is positioned centrally over the ceiling 20 .
  • First and second RF coils 52 , 54 as shown in FIG.
  • RF power in each RF coil 52 , 54 are separately controlled.
  • the RF power signal applied to the first RF coil (outer antenna loop) 52 generally affects plasma ion density near the periphery of the substrate 120 while the RF power signal applied to the second RF coil (inner antenna loop) 54 generally affects plasma ion density near the center of the substrate 120 .
  • the RF power signals delivered to each of the RF coils are adjusted or configured relative to each other to achieve substantial uniformity of plasma ion distribution over a substrate disposed on a substrate support member.
  • the plasma processing system receives a substrate 120 on substrate pedestal 115 for processing in plasma chamber 10 .
  • Plasma chamber 10 may then be pulled to a predetermined pressure/vacuum by a vacuum pump system (not shown).
  • a process gas may be introduced into the plasma chamber 10 by gas inlet 25 , while the vacuum pumping system continues to pump the plasma chamber 10 , such that an equilibrium processing pressure is obtained.
  • the processing pressure is adjustable through, for example, throttling the communication of the vacuum system to the plasma chamber 10 or adjusting the flow rate of the process gases being introduced into plasma chamber 10 by gas inlet 25 . Once the pressure and gas flows are established, the respective power supplies may be activated.
  • Power can be independently supplied to the first RF coil 52 and second RF coil 54 , and the substrate pedestal 115 .
  • the application of power to the first RF coil 52 and second RF coil 54 facilitates striking of a plasma in the region immediately above the substrate pedestal 115 .
  • the ion density of the plasma may be increased or decreased through adjustment of the power supplied to the first RF coil 52 and second RF coil 54 or through adjustment of the processing pressure in plasma chamber 10 , that is, through increased/decreased flow rate of the process gas or an increase/decrease in the chamber pumping rate.
  • the inductively coupled plasma processing chamber illustrated in FIG. 3A , depicts an embodiment having an inner (center) and outer (edge) coil configuration.
  • the inner and outer coil configuration generates a plasma that will generally vary radially, which can generally create radial bands of varying etch rate or deposition rate that are concentric about the center of the substrate being processed.
  • the magnetic field strength over these annular bands is conventionally generated by energizing one or more coils positioned over the substrate being processed.
  • the magnetic field generated by the energized coils positioned above the substrate penetrates the chamber and directly affects plasma uniformity.
  • the uniformity of the generated plasma may vary as the process conditions are varied (e.g., power, pressure, gas mixture, etc.), the way the plasma controlling devices are positioned, the position of the substrate in the plasma and/or the inherent physical characteristics of the plasma controlling devices.
  • the plasma uniformity can be optimized by modulation pulsing the RF power delivered to the plasma controlling devices (e.g., outer coil 52 , inner coil 54 , substrate pedestal, etc.) and thus reducing the interaction of the magnetic fields and plasma that is generated when the plasma controlling devices are energized.
  • the controller 300 the user can define and control the process and modulation pulsing characteristics during the plasma process.
  • the modulation pulsed RF power to each plasma controlling device and plasma processing variables for example, the chamber pressure, gas mixture, and/or the position of the substrate in the plasma, are varied to achieve a desired plasma uniformity and/or plasma density.
  • FIG. 3B illustrates a cross-sectional view of an inductively coupled plasma processing chamber 10 A that contains a torroidal plasma source 172 and a inductive coil (e.g., item 52 ) that are adapted to perform a plasma process. While FIG. 3B , illustrates a single inductive coil positioned outside the torroidal plasma source 172 , this configuration is not intended to limit the scope of the present invention since the number, type of plasma controlling devices, and/or position of the plasma controlling devices is not intended to be limiting to the various aspects of the invention described herein.
  • an RF power source 162 may be connected to the substrate pedestal 115 through a conventional RF impedance match element 164 to generate or control the plasma in the plasma processing chamber 10 A.
  • the torroidal plasma source 172 is adapted to generate a plasma that is maintained over the surface of the substrate 120 .
  • the RF coil 57 is separately connected to the first RF power source 60 through the first RF impedance match networks 70 .
  • the RF power delivered to the torroidal plasma source 172 , substrate pedestal and/or the RF coil 57 may be separately controlled to generate and control the plasma formed in the process region 121 .
  • the RF power delivered to each of the plasma controlling devices can be adjusted or configured relative to each other to achieve substantial uniformity of plasma ion distribution over a substrate disposed on a substrate support member.
  • uniformity of the plasma generated in the plasma processing chamber 10 A can be optimized by modulation pulsing the RF power delivered to the plasma controlling devices (e.g., coil 57 , torroidal plasma source 172 , substrate pedestal 115 , etc.) and thus reducing the interaction of the magnetic fields and plasma that are generated when the plasma controlling devices are energized.
  • the controller 300 the user can define and control the process and modulation pulsing characteristics during the plasma process.
  • the modulation pulsed RF power to each plasma controlling device and plasma processing variables for example, the chamber pressure, gas mixture, and/or the position of the substrate in the plasma, are varied to achieve a desired plasma uniformity and/or plasma density.
  • FIG. 4A illustrates a capacitively coupled plasma chamber 305 .
  • a sidewall 405 , a ceiling 406 , and a base 407 enclose the capacitively coupled plasma chamber 305 .
  • a substrate pedestal 115 which supports a substrate 120 , mounts to the base 407 of the capacitively coupled plasma chamber 305 .
  • a backside gas supply 128 (not shown) furnishes a gas, such as helium, to a gap between the backside of the substrate 120 and the substrate pedestal 115 to improve thermal conduction between the substrate pedestal 115 and the substrate 120 .
  • the substrate pedestal 115 is heated and/or cooled by use of embedded heat transfer fluid lines (not shown), or an embedded thermoelectric device (not shown), to improve the plasma process results on the substrate 120 surface.
  • a vacuum pump 135 controls the pressure within the capacitively coupled plasma chamber 305 , typically holding the pressure below 0.5 milliTorr (mT).
  • a gas distribution showerhead 410 consists of a gas distribution plenum 420 connected to the gas supply 125 and communicating with the process region 121 over the substrate 120 through plural gas nozzle openings 430 .
  • the showerhead 410 acts as a plasma controlling device by use of the attached to a first impedance match element 175 A and a first RF power source 180 A.
  • a second electrode 415 which is concentric to the substrate 120 's surface, is biased by a second impedance match element 175 B and a second RF power source 180 B.
  • a RF power source 162 applies RF bias power to the substrate pedestal 115 and substrate 120 through an impedance match element 164 .
  • a controller 300 is adapted to control the impedance match elements (i.e., 175 A, 175 B, and 164 ), the RF power sources (i.e., 180 A, 180 B, and 162 ) and all other aspects of the plasma process.
  • dynamic impedance matching is provided to the substrate pedestal 115 , the showerhead 410 and second electrode 415 by frequency tuning, impedance matching network tuning or frequency tuning with forward power servoing.
  • FIG. 4B illustrates a capacitively coupled plasma chamber 320 .
  • the capacitively coupled plasma chamber 320 contains all of the same components as the chamber shown in FIG. 4A except it does not contain the second electrode 415 , the second impedance match element 175 B and the second RF power source 180 B.
  • the controller 300 is thus adapted to control the impedance match elements (i.e., 175 A and 164 ), the RF power sources (i.e., 180 A and 162 ) and all other aspects of the plasma process.
  • FIG. 5 illustrates a top view of another capacitively coupled plasma processing chamber 400 which generally contains all of the components found in FIG. 4B , and four side electrodes 450 A-D which are individually connected to their respective impedance match element 428 A-D, which are connected to their respective RF power source 429 A-D.
  • the plasma processing chamber 400 may contain more than four side electrodes 450 , impedance match elements 428 and RF power sources 429 .
  • the plasma processing chamber 400 may contain less than four side electrodes 450 , impedance match elements 428 and RF power sources 429 .
  • the gas distribution showerhead 410 (not shown) is not RF biased.
  • RF power is only delivered to a side electrode 450 and a substrate pedestal 115 (not shown).
  • a controller 300 is adapted to control the impedance match elements (i.e., 428 , 164 and 175 A (if biased)), the RF power sources (i.e., 429 , 162 and 180 A (if biased)) and all other aspects of the plasma process.
  • the uniformity of the generated plasma in the capacitively coupled plasma processing chambers 305 , 320 and 400 may vary depending on the process conditions are varied (e.g., power, pressure, gas mixture, etc.), the way the plasma controlling devices are positioned, the position of the substrate in the plasma and/or the inherent physical characteristics (e.g., surface characteristics, surface area, etc.) of the plasma controlling devices.
  • the plasma uniformity can be optimized by modulation pulsing the power delivered to the plasma controlling devices (e.g., showerhead 410 , second electrode 415 ( FIG. 4A only), substrate pedestal 115 , side electrode 450 , etc.) which reduces the interaction of the electric fields and plasma that are generated by the plasma controlling devices.
  • the user is able to define and control the process variables and modulation pulsing characteristics used during the plasma process.
  • the modulation pulsed RF power to each plasma controlling device and plasma processing variables for example, the chamber pressure, gas mixture, and/or the position of the substrate in the plasma, are varied to achieve a desired plasma uniformity and/or plasma density.
  • the RF power delivered to the substrate pedestal is modulation pulsed relative to one or more plasma controlling devices in the chamber, for example, a torroidal plasma source, an RF coil 52 , an RF coil 54 , a showerhead 210 , etc.
  • Modulation pulsing the RF power to the substrate pedestal relative to other plasma controlling devices can: reduce RF field interaction between the substrate pedestal and the plasma controlling device(s), shape the plasma, control plasma bombardment of the substrate surface, and/or vary the plasma sheath thickness and/or voltage.
  • FIG. 14A illustrates an embodiment of the plasma chamber 100 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164 A, a first pedestal RF power source 162 A connected to the substrate pedestal 115 , and a second impedance match element 164 B, and a second pedestal RF power source 162 B connected to the substrate pedestal 115 .
  • FIG. 14A illustrates an embodiment of the plasma chamber 100 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164 A, a first pedestal RF power source 162 A connected to the substrate pedestal 115 , and a second impedance match element 164 B, and a second pedestal RF power source 162 B connected to the substrate pedestal 115 .
  • FIG. 14A illustrates an embodiment of the plasma chamber 100 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164 A,
  • FIG. 14B illustrates an embodiment of the plasma chamber 10 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164 A, a first pedestal RF power source 162 A connected to the substrate pedestal 115 , and a second impedance match element 164 B, and a second pedestal RF power source 162 B connected to the substrate pedestal 115 .
  • FIG. 14B illustrates an embodiment of the plasma chamber 10 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164 A, a first pedestal RF power source 162 A connected to the substrate pedestal 115 , and a second impedance match element 164 B, and a second pedestal RF power source 162 B connected to the substrate pedestal 115 .
  • FIG. 14C illustrates an embodiment of the plasma chamber 305 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164 A, a first pedestal RF power source 162 A connected to the substrate pedestal 115 , and a second impedance match element 164 B, and a second pedestal RF power source 162 B connected to the substrate pedestal 115 .
  • FIG. 14C illustrates an embodiment of the plasma chamber 305 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164 A, a first pedestal RF power source 162 A connected to the substrate pedestal 115 , and a second impedance match element 164 B, and a second pedestal RF power source 162 B connected to the substrate pedestal 115 .
  • FIG. 14D illustrates an embodiment of the plasma chamber 320 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164 A, a first pedestal RF power source 162 A connected to the substrate pedestal 115 , and a second impedance match element 164 B, and a second pedestal RF power source 162 B connected to the substrate pedestal 115 .
  • the first impedance match element 164 A and the first pedestal RF power source 162 A deliver RF power to the substrate pedestal at a first RF frequency while the second impedance match element 164 B and the second pedestal RF power source 162 B deliver RF power to the substrate pedestal at a second RF frequency which is higher than the first frequency.
  • the first RF frequency may be 13.56 MHz and the second frequency may be 1360 MHz.
  • the RF frequencies that may be created by the first pedestal RF power source 162 A and the second pedestal RF power source 162 B may range from about 0.4 MHz to about 10 GHz.
  • the RF power delivered to the substrate pedestal 115 from the first RF power source 162 A, the second RF power source 162 B, or the first and second RF power sources (i.e., 162 A and 162 B) are modulation pulsed relative to another plasma controlling devices in the chamber, for example, a torroidal plasma source, an RF coil 52 , an RF coil 54 , an showerhead 210 , etc., to help reduce RF field interaction between the various RF fields, to vary the plasma sheath thickness and/or voltage, to shape the plasma and to control plasma bombardment of the substrate surface.
  • a torroidal plasma source for example, a torroidal plasma source, an RF coil 52 , an RF coil 54 , an showerhead 210 , etc.
  • the RF power delivered to the substrate pedestal 115 from the first pedestal RF power source 162 A and second pedestal RF power source 162 B are modulation pulsed relative to each other to help reduce RF field interaction between the various RF fields, vary the plasma sheath thickness and/or voltage, shape the plasma and control plasma bombardment of the substrate surface.
  • the substrate pedestal 115 contains two or more segmented regions that are RF biasable as illustrated in FIG. 15 .
  • the biasable regions are generally two or more electrodes attached or embedded in the substrate pedestal 115 , which can control or shape the generated plasma by amplitude modulating the RF power at different RF powers and/or frequencies to each of the biasable regions.
  • the plasma sheath and substrate bias can be controlled over different regions of the substrate during processing.
  • FIG. 15 illustrates an embodiment of the plasma chamber 100 which contains: a first impedance match element 164 A and a first pedestal RF power source 162 A connected to a first biasable region 115 A; a second impedance match element 164 B and a second pedestal RF power source 162 B connected to the first biasable region 115 A; a third impedance match element 164 C and a third pedestal RF power source 162 C connected to a second biasable region 115 B; and a fourth impedance match element 164 D and a fourth pedestal RF power source 162 D are connected to the second biasable region 115 B. While FIG.
  • FIG. 15 illustrates a concentric two biasable region configuration (e.g., biasable regions 115 A and 115 B) other embodiments may be oriented in a non-concentric manner, for example, in quadrants, divided in half, or other geometric orientations and/or number of biasable regions as needed to achieve a desired process result. Also, while FIG. 15 illustrates the use of a segmented substrate pedestal 115 in a plasma chamber 100 (i.e., torroidal plasma source) this embodiment may also be used in other types of plasma processing chambers, such as those described above.
  • a segmented substrate pedestal 115 in a plasma chamber 100 i.e., torroidal plasma source
  • the first impedance match element 164 A and the first pedestal RF power source 162 A may deliver RF power to the first biasable region 115 A at a first frequency while the second impedance match element 164 B and the second pedestal RF power source 162 B may deliver RF power to the first biasable region 115 A at a second frequency which is higher than the first frequency.
  • the third impedance match element 164 C and the third pedestal RF power source 162 C may deliver RF power to the second biasable region 115 B at a third frequency
  • the fourth impedance match element 164 D and the fourth pedestal RF power source 162 D may deliver RF power to the second biasable region 115 B at a fourth frequency which is higher than the third frequency.
  • the first and third RF frequencies may be 13.56 MHz and the second and fourth frequencies may be 1360 MHz.
  • the first, second, third and fourth RF frequencies that may be used can each vary from about 0.4 MHz to about 10 GHz.
  • the delivered RF power levels may be from 0 to 5000 Watts.
  • FIGS. 6-11 illustrate various embodiments of the invention where the amount of power delivered to two plasma controlling devices is varied as a function of time. While FIGS. 6-11 illustrate different methods of amplitude modulation of the RF power applied to two plasma controlling devices, other embodiments of the invention may contain more than two plasma controlling devices.
  • FIG. 6A illustrates the composite profile of rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices as a function of time.
  • the rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices are shown in FIGS. 6B and 6C , respectively.
  • the modulated pulse waveform 1 in FIG. 6B illustrates an embodiment of an amplitude modulation of the RF power delivered to a first plasma controlling device as a function of time.
  • the modulated pulse waveform 2 in FIG. 6C illustrates an embodiment of an amplitude modulation of the RF power delivered to a second plasma controlling device as a function of time.
  • FIG. 6 A-C illustrate a case where the total power in the processing chamber is kept relatively constant as a function of time but the power to each plasma controlling device is either on or off at any given time, except possibly during the transition to or from the peak RF power level.
  • the peak RF power level, pulse width (e.g., see items t 1 -t 4 ), and modulation pulse frequency of each modulation pulse may be varied from one pulse to the next.
  • FIG. 7A illustrates the composite profile of a rectangular shaped modulation pulse delivered to the first and second plasma controlling devices as a function of time.
  • the rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices are shown in FIGS. 7B and 7C , respectively.
  • the modulated pulse waveform 1 in FIG. 7B illustrates an embodiment of an amplitude modulation of the RF power delivered to a first plasma controlling device as a function of time.
  • the modulated pulse waveform 2 in FIG. 7C illustrates an embodiment of an amplitude modulation of the RF power delivered to a second plasma controlling device as a function of time.
  • the rectangular modulation pulse overlaps an amount “A” and thus the fields created by each plasma controlling device interact for only a portion of the total modulation pulse width.
  • the amount of overlap “A” may be varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied, such as, when the concentration of gasses and the chamber pressure are varied.
  • FIG. 8A illustrates the composite profile of a rectangular-shaped modulation pulse delivered to the first and second plasma controlling devices as a function of time.
  • the rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices are shown in FIGS. 8B and 8C , respectively.
  • the modulated pulse waveform 1 in FIG. 8B illustrates an embodiment of an amplitude modulation of the RF power delivered to a first plasma controlling device as a function of time.
  • the modulated pulse waveform 2 in FIG. 8C illustrates an embodiment of an amplitude modulation of the RF power delivered to a second plasma controlling device as a function of time. In this embodiment a rest time “B” is added between the modulation pulses.
  • the rest time is a period of time of about 100 microseconds or less, in which no power is delivered to any of the plasma controlling devices. It may be advantageous to keep the rest time short enough that the plasma generated in the processing chamber does not extinguish and thus does not require reignition of the plasma after each subsequent modulation pulse is applied to a plasma controlling device.
  • the rest time is varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied, such as the concentration of gasses and the chamber pressure.
  • FIG. 9A illustrates the composite profile of a rectangular-shaped modulation pulse delivered to the first and second plasma controlling devices as a function of time.
  • the rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices are shown in FIGS. 9B and 9C , respectively.
  • the modulated pulse waveform 1 and 1 A in FIG. 9B illustrates an embodiment of an amplitude modulation of the RF power delivered to a first plasma controlling device as a function of time.
  • the modulated pulse waveform 2 and 2 A in FIG. 9C illustrates an embodiment of an amplitude modulation of the RF power delivered to a second plasma controlling device as a function of time.
  • the rectangular modulation pulse overlap and the amount of power delivered to each plasma controlling device, after each subsequent modulation pulse, may be varied proportionally to the power delivered to the other plasma controlling devices (e.g., waveform 1 to 2 A and waveform 2 to 1 A).
  • the fields created by each plasma controlling device interact, but the amount of interaction is minimized from a continuous power delivery case by varying the power of one plasma controlling device relative to the other plasma controlling device(s).
  • the ratio of power delivered at any one time to the various plasma controlling devices may range, for example, from a ratio from about 1 to 1 to about 100 to 1, but is preferably between about 1 to 1 and about 10 to 1.
  • Modulation pulse width is generally defined as the duration of a modulation pulse, such as the time the power is at its peak power level (e.g., t 1 and t 3 in FIG. 6B ), length of time the power is off (e.g., t 2 and t 4 in FIG. 6B ), or the length of time the power is at some intermediate level (e.g., items 1 A and 2 A in FIG. 9 ).
  • the duration of a modulation pulse to the two or more plasma controlling devices may be varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied.
  • the frequency (or period) of the modulation pulse delivered to the two or more plasma controlling devices may be varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied.
  • the amount of power delivered for each subsequent modulation pulse may not be equal and may be varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied.
  • the modulation pulse is not rectangular in shape and may be, for example, trapezoidal, triangular, etc. in shape.
  • FIGS. 10 and 11 illustrate two other embodiments having a triangular-shaped and a sinusoidal-shaped modulation pulse, respectively.
  • Items 1 and 2 in FIGS. 10 and 11 depict amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time and delivered to a second plasma controlling device as a function of time, respectively.
  • FIGS. 10 and 11 illustrate embodiments where the power delivered to the plasma controlling devices have substantially equal amplitude, modulation pulse width and frequency (or period).
  • the modulation pulse width and/or frequency of a modulation pulse to the two or more plasma controlling devices may be varied from one modulation pulse to another, as a function of time or from process step to process step.
  • the amount of power delivered to each plasma controlling device may not be equal at any given time and may be varied relative to one another as required.
  • the modulation pulses overlap and/or have a rest time between each modulation pulse.
  • a multisegmented modulation pulse is used, that is, a modulation pulse having many segments in which the power is varied as a function of time.
  • the ramp up to the peak power and/or the ramp down from the peak power may not be linear, as shown in FIGS. 6-11 , and may be, for example, a second order, a third order, or exponential-shaped curve.
  • it may be advantageous to use a sequence of modulation pulses having different shapes e.g., rectangular and triangular modulation pulse, sinusoidal and rectangular modulation pulse, rectangular, triangular and sinusoidal modulation pulse, etc.
  • a sequence of modulation pulses having different shapes e.g., rectangular and triangular modulation pulse, sinusoidal and rectangular modulation pulse, rectangular, triangular and sinusoidal modulation pulse, etc.
  • a random modulation pulse generator may be used to control when power is delivered to each plasma controlling device, the ratio of power delivered to each device, the shape of the modulation pulse, modulation pulse width, and/or the frequency (or period) of each modulation pulse in an effort to even out any nonuniformity that may occur from delivering the modulation pulse in a systematic way.
  • Examples of different plasma processing recipes utilizing an amplitude modulation of RF power delivered to an orthogonal two plasma controlling device torroidal source are described below for a silicon dioxide etching process.
  • the general process parameters used to etch the surface of a substrate having a silicon dioxide thickness of 20,000 Angstroms are as follows: a chamber process pressure of 30 mTorr, a flow rate of 60 sccm of hexafluoro-1,3-butadiene (C 4 F 6 ), a flow rate of 60 sccm of oxygen (O 2 ), a flow rate of 500 sccm of argon, a substrate pedestal temperature of 20 degrees Celsius, a substrate backside helium pressure of 25 Torr, a constant substrate pedestal bias of 2000 Watts at a RF frequency of 13.56 MHz, and a plasma processing time of 60 seconds.
  • All RF power delivered to the other plasma controlling devices was delivered using dynamic impedance matching at an RF frequency of about 13.56 MHz+/ ⁇ 1 MHz.
  • the 1 sigma, or 1 standard deviation, uniformity values discussed below were measured using a Tencor Prometrix UV 1050's 49-point contour map at a substrate edge exclusion of 3 millimeters.
  • the Tencor Prometrix UV 1050's 49-point contour map uniformity data was collected by measuring the difference, or change, in the surface profile of the substrate before and after plasma etching.
  • An average etch rate of 4930 Angstroms/minute and uniformity of about 1.6% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 6A , where the RF power delivered to one of the plasma controlling devices, at any given time, was at 2000 Watts and the other plasma controlling device was at zero Watts and the modulation pulse frequency was 0.1 Hz.
  • the modulation pulse width was half of the period.
  • An average etch rate of 5027 Angstroms/minute and uniformity of about 1.5% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 6A , where the RF power delivered to one of the plasma controlling devices, at any given time, was at 2000 Watts and the other plasma controlling device was at zero Watts and the modulation pulse frequency was 0.5 Hz.
  • the modulation pulse width was half of the period.
  • An average etch rate of 4602 Angstroms/minute and uniformity of about 1.2% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 9A , where the RF power delivered to one of the plasma controlling devices, at any given time, was at 1800 Watts and the other plasma controlling device was at 200 Watts and the modulation pulse frequency was 0.1 Hz.
  • the modulation pulse width was half of the period.
  • An average etch rate of 4170 Angstroms/minute and uniformity of about 2.7% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 9A , where the RF power delivered to one of the plasma controlling devices, at any given time, was at 1600 Watts and the other plasma controlling device was at 400 Watts and the modulation pulse frequency was 0.1 Hz.
  • the modulation pulse width was half of the period.
  • An average etch rate of 3522 Angstroms/minute and uniformity of about 8.7% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 9A , where the RF power delivered to one of the plasma controlling devices, at any given time, was at 1200 Watts and the other plasma controlling device was at 800 Watts and the modulation pulse frequency was 0.1 Hz.
  • the modulation pulse width was half of the period.
  • the frequency of the amplitude modulation of the RF power, or the modulation pulse frequency it is possible to vary the plasma density across the surface of the substrate.
  • the frequency of the amplitude modulation of the RF power is varied at various times during the process to tailor the plasma density to match a desired etch or deposition profile on the surface of the substrate.
  • varying the modulation pulse frequency during plasma processing can allow the etch or deposition profile to be adjusted to compensate for the initial non-uniformity.
  • the modulation pulse frequency can be varied to increase the plasma density near the edge of the substrate relative to the center of the substrate to assure the results of the plasma process are uniform. Since each plasma processing chamber configuration, process sequence, and process recipe can cause the etch or deposition plasma density to vary from chamber to chamber, sequence to sequence and/or recipe to recipe it is likely that an optimum frequency to achieve a desired plasma density profile will need to be empirically found. An example of such results are shown in Example 2 below.
  • FIGS. 13 A-E illustrate examples of how varying the amplitude modulation pulse characteristics in a plasma processing chamber can vary the plasma density across the surface of the substrate.
  • the results shown below were collected using an orthogonal two plasma controlling device torroidal source utilizing a rectangular-shaped amplitude modulation of RF power to complete a silicon dioxide etching process.
  • the general process parameters used to etch the surface of a substrate having a silicon dioxide thickness of 20,000 Angstroms are as follows: a chamber process pressure of 30 mTorr, a flow rate of 60 sccm of hexafluoro-1,3-butadiene (C 4 F 6 ), a flow rate of 60 sccm of oxygen (O 2 ), a flow rate of 500 sccm of argon, a substrate pedestal temperature of 20 degrees Celsius, a substrate backside helium pressure of 25 Torr, a constant substrate pedestal bias of 2000 Watts at a RF frequency of 13.56 MHz, and a plasma processing time of 60 seconds.
  • All RF power delivered to the other plasma controlling devices was delivered using dynamic impedance matching at an RF frequency of about 13.56 MHz+/ ⁇ 1 MHz.
  • the same hardware configuration process configurations were used throughout this example.
  • the 1 sigma, or 1 standard deviation, uniformity values described herein were measured using a Tencor Prometrix UV 1050's 49 point contour map at a substrate edge exclusion of 3 millimeters.
  • the Tencor Prometrix UV 1050's 49 point contour map uniformity data was collected by measuring the difference, or change, in the surface profile of the substrate before and after plasma etching.
  • FIGS. 13 A-D illustrate Tencor Prometrix UV 1050 49-point contour maps of the production surface of an etched silicon dioxide layer on a substrate using a rectangular-shaped amplitude modulated RF power, similar to the RF power modulation profiles shown in FIG. 6 .
  • the magnitude of the modulation pulse to one of the plasma controlling devices, at any given time was 2000 Watts, while the magnitude of the modulation pulse to the other plasma controlling device was zero Watts.
  • the modulation pulse width used in this example was half of the period.
  • FIG. 13A illustrates an example where at a modulation pulse frequency of 1000 Hz an average etch rate of 5159 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 1.8%.
  • FIG. 13A illustrates an example where at a modulation pulse frequency of 1000 Hz an average etch rate of 5159 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 1.8%.
  • FIG. 13B illustrates an example where at a modulation pulse frequency of 2000 Hz an average etch rate of 4971 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 2.58%.
  • FIG. 13C illustrates an example where at a modulation pulse frequency of 15,000 Hz an average etch rate of 4666 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 4.78%.
  • FIG. 13D illustrates an example where at a modulation pulse frequency of 25,000 Hz an average etch rate of 3524 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 9.49%.
  • FIG. 13E illustrates a Tencor Prometrix UV 1050 49-point contour map of an etched silicon dioxide layer on a substrate where a constant RF power level of 1000 Watts, that is, no amplitude modulation pulsing is delivered to each of the plasma controlling devices.
  • This configuration achieved an average etch rate of 3648 Angstroms/minute and a uniformity of about 10.9%.
  • FIGS. 13 A-E one will note that by increasing the modulation pulse frequency the etch rate towards the edge of the substrate increases as the modulation pulse frequency increases in this orthogonal torroidal source configuration.

Abstract

Embodiments of the invention generally provide etch or CVD plasma processing methods and apparatus used to generate a uniform plasma across the surface of a substrate by modulation pulsing the power delivered to a plurality of plasma controlling devices found in a plasma processing chamber. The plasma generated and/or sustained in the plasma processing chamber is created by the one or more plasma controlling devices that are used to control, generate, enhance, and/or shape the plasma during the plasma processing steps by use of energy delivered from a RF power source. Plasma controlling devices may include, for example, one or more coils (inductively coupled plasma), one or more electrodes (capacitively coupled plasma), and/or any other energy inputting device such as a microwave source.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of provisional U.S. Patent Application Ser. No. 60/566,718, filed Apr. 30, 2004, entitled “Alternating Asymmetrical Plasma Generation In A Process Chamber,” [Attorney Docket No. 8459L] and is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to plasma processing systems and materials and apparatus for controlling plasma uniformity in plasma processing systems.
  • 2. Description of the Related Art
  • Plasma chambers are regularly utilized in various electronic device fabrication processes, such as etching processes, chemical vapor deposition (CVD) processes, and other processes related to the manufacture of electronic devices on substrates. Many ways have been employed to generate and/or control the plasma density, shape, and electrical characteristics in processing chambers, such as capacitively or inductively coupled plasma chambers. An inductively coupled RF plasma chamber typically has an inductive coil antenna wound around the chamber and connected to a plasma source RF power supply. A capacitively coupled plasma chamber typically has two parallel plate electrodes, i.e., “showerhead” and substrate support, between which plasma is generated.
  • Inductively coupled and capacitively coupled plasma chambers typically have a plasma ion density distribution across the surface of the substrate being processed that varies greatly depending upon various processing parameters. These processing parameters, for example, may include the type of process gas or gas mixture introduced into the chamber, the gas pressure, and/or the energy (e.g., RF power, etc.) delivered into the chamber to excite the gas or gas mixture. The plasma ion density may be high, for example, at the substrate center and low at the substrate periphery for one process gas, while for another process gas the plasma ion density may be low at the substrate center and high at the substrate periphery. As a result of these types of processing characteristics, conventional plasma chamber RF coil designs, or electrode designs, are customized for each process or process gas in order to provide a specific plasma uniformity across a substrate surface in the chamber. Multiple RF coil or electrode designs, typically two coils or electrodes, have also been implemented in order to improve plasma uniformity in processing chambers. In these configurations, the first RF coil or electrode is in electrical communication with a first power supply through, for example, a first matching network/circuit, while the second RF coil or electrode is in electrical communication with a second RF power supply through a second matching network/circuit. Therefore, the respective RF power supplies and accompanying matching networks operate to individually control the power supplied to the respective coils or electrodes.
  • During conventional electronic device fabrication processing methods, the RF power is held constant during a substrate processing sequence. This is undesirable for some processing sequences, because the plasma uniformity over the surface of the substrate generated in a particular processing chamber may be acceptable for one portion of a sequence, while causing substrate damage during another portion of the sequence. Conventional processing chambers may vary the ion density and uniformity by varying pressure in the chamber (the density or flow of the process gas into the chamber) or the power applied to the coils or electrodes. However, varying the gas flow is also undesirable, since the gas flow affects the plasma composition and is harder to control due to transient effects created due to the pressure changes. Uniformity achieved in a plasma processing chamber may also be affected by the interaction of the electric and/or magnetic fields generated by two or more plasma controlling devices (e.g., coils, electrodes, etc.) used in the plasma processing chamber. The interaction of the fields are an inherent part of the chamber design, and fields may interact to a greater degree or to a lesser degree based on the configuration of the chamber hardware and process variable settings. Overlapping fields will constructively interfere, thus increasing the ion density in places where the fields interact and decreasing uniformity and the ability to control the process uniformity.
  • The uniformity of the generated plasma may vary as the process conditions are varied (e.g., power, pressure, gas mixture, etc.), the number and shape of the plasma controlling devices in the chamber are varied, the way the plasma controlling devices are installed and/or the inherent physical characteristics of the plasma controlling devices and their relative position to the surface of the substrate. To compensate for any plasma non-uniformity, it is common to adjust the configuration of the plasma controlling hardware and/or plasma process variables such as, for example, a continuous power delivered to each plasma controlling device, chamber pressure or the position of the substrate in the plasma. Once all of the various hardware and process related variables have been optimized, the process uniformity may still exceed a desired value due to the interaction of the fields (i.e., magnetic or electric fields) created when power is delivered to a plurality of plasma controlling devices or due to other effects caused by the interaction of the plasma generated by the plasma controlling devices. The non-uniformity in the process results, for example, may create a variation between the center and edge of the substrate or an edge to edge type variation (e.g., right-side/left-side variation, saddle shaped variation, etc.).
  • Therefore, there is a need for an improved apparatus and methods for controlling plasma uniformity, wherein the apparatus and methods allow for plasma uniformity adjustment without adjusting conventional processing parameters and changing hardware configurations.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention provide an apparatus for plasma processing a substrate, wherein the apparatus includes first and second plasma controlling devices that are in communication with a processing region of a plasma chamber. The first plasma controlling device and second plasma controlling device are connected to a first RF power source and a second RF power source, respectively. A controller that is connected to the first RF power source and the second RF power source controls the modulation of the amplitude of the RF power supplied to the first plasma controlling device and the second plasma controlling device such that the overlap in time of the RF power supplied to the first and second plasma controlling devices is controlled to improve the uniformity of the plasma process completed on a substrate mounted in the processing region.
  • Embodiments of the invention further provide an apparatus for plasma processing a substrate, wherein the apparatus includes first and second plasma controlling devices that are in communication with a processing region of a plasma chamber. The first plasma controlling device and second plasma controlling device are connected to a first RF power source and a second RF power source, respectively. A controller that is connected to the first RF power source and the second RF power source synchronizes and controls the amplitude modulation of the RF power supplied to the first plasma controlling device and the second plasma controlling device such that the power, modulation pulse frequency, modulation pulse duration, rest time between modulation pulses, and overlap of the modulation pulse to the first and/or second plasma controlling devices can be varied as a function of time.
  • Embodiments of the invention further provide an apparatus for plasma processing a substrate, wherein the apparatus includes first, second and third plasma controlling devices that are in communication with a processing region of a plasma chamber. The first plasma controlling device, the second plasma controlling device and the third plasma controlling device are connected to a first RF power source, a second RF power source, and a third RF power source, respectively. A controller that is connected to the first RF power source, the second RF power source and third RF power source controls the modulation of the amplitude of the RF power supplied to the first, the second and the third plasma controlling devices such that the overlap in time of the RF power supplied to the first and second plasma controlling devices is controlled to improve the uniformity of the plasma process completed on a substrate mounted in the processing region.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes amplitude modulating the RF power to a first plasma controlling device and a second plasma controlling device. The method generally includes modulating the pulse frequency and RF power level, to each of the plasma controlling devices, synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device; and controlling the amplitude modulation of the RF power such that the overlap of the amplitude modulated RF power delivered to the first and second plasma controlling devices is controlled to improve the uniformity of the process completed on the substrate.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes generating first and second torroidal paths of plasma, which are not coincident, that pass near and traverse the surface of a substrate. The method generally includes varying the plasma density in the vicinity of the substrate by amplitude modulating the first torroidal path of plasma at a first modulation pulsing frequency and a first RF power and modulation pulsing the second torroidal path of plasma at a second modulation pulsing frequency and a second RF power as a function of time.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes generating a plasma over a first area of a substrate and a second area of a substrate, wherein the first plasma controlling device generates a plasma in a first region near the substrate and the second plasma controlling device generates a plasma in a second region near the substrate and the first and second regions overlap. The method also generally includes varying the plasma density generated in the first region, in the second region, and a region between the first and second region by amplitude modulating the RF power delivered to the first plasma controlling device and the second plasma controlling device.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes amplitude modulating the RF power to a first plasma controlling device and a second plasma controlling device. The method also includes varying the modulation pulse frequency and RF power level, to each of the plasma controlling devices and synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device to adjust the plasma density in the plasma chamber to compensate for a non-uniform area on a substrate surface.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes amplitude modulating the RF power to a first plasma controlling device and a second plasma controlling device. The method also includes amplitude modulating the RF power delivered to each of the plasma controlling devices, synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device, and controlling the shape of the amplitude modulated RF power, wherein the shape of the modulated RF power is rectangular, triangular, trapezoidal or sinusoidal.
  • Embodiments of the invention further provide a method for processing a substrate in a plasma chamber, wherein the method includes amplitude modulating the RF power to a first plasma controlling device and a second plasma controlling device. The method also includes amplitude modulating the RF power delivered to each of the plasma controlling devices, synchronizing the amplitude modulation of the RF power to the first and the second plasma controlling devices, controlling the shape of the amplitude modulation of the RF power, and controlling the overlap and/or gap between the amplitude modulated RF power to the first and second plasma controlling devices.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1A illustrates an isometric schematic cross-sectional view of a torroidal plasma source chamber.
  • FIG. 1B illustrates a schematic cross-sectional view of a torroidal plasma source chamber.
  • FIG. 2A illustrates a schematic top view of a torroidal plasma source chamber having two orthogonal plasma conduits.
  • FIG. 2B illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which a plasma current is generated in the first conduit 150A only.
  • FIG. 2C illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which a plasma current is generated in the second conduit 150B only.
  • FIG. 2D illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which a plasma current is generated in the first conduit 150A and the second conduit 150B.
  • FIG. 2E illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which a plasma current is generated in the first conduit 150A and the second conduit 150B and a bias is applied to the substrate pedestal 115.
  • FIG. 2F illustrates a cross-sectional top view of the processing region of a torroidal plasma source in which the plasma current generated in the first conduit 150A and the second conduit 150B are each amplitude modulated and synchronized.
  • FIG. 3A illustrates a cross-sectional view of an inductively coupled plasma processing chamber.
  • FIG. 3B illustrates a cross-sectional view of an inductively coupled and torroidal plasma source configuration that may adapted for plasma processing.
  • FIG. 4A illustrates a cross-sectional view of a capacitively coupled plasma processing chamber
  • FIG. 4B illustrates a cross-sectional view of a capacitively coupled plasma processing chamber.
  • FIG. 5 illustrates a cross-sectional view of a capacitively coupled plasma processing chamber.
  • FIG. 6A illustrates the composite profile of a rectangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 6B and 6C.
  • FIG. 6B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 6C illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 7A illustrates the composite profile of a rectangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 7B and 7C.
  • FIG. 7B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 7C illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 8A illustrates the composite profile of a rectangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 8B and 8C.
  • FIG. 8B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 8C illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 9A illustrates the composite profile of a rectangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 9B and 9C.
  • FIG. 9B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 9C illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 10A illustrates the composite profile of a triangular shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 10B and 10C.
  • FIG. 10B illustrates a triangular shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 10C illustrates a triangular shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 11A illustrates the composite profile of a sinusoidal shaped amplitude modulation of the RF power delivered to a first and a second plasma controlling device as a function of time as shown in FIGS. 11B and 11C.
  • FIG. 11B illustrates a sinusoidal shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time.
  • FIG. 11C illustrates a sinusoidal shaped amplitude modulation of the RF power that is delivered to a second plasma controlling device as a function of time.
  • FIG. 12A illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a plasma controlling device with the modulated RF power waveform shown.
  • FIG. 12B illustrates a rectangular shaped amplitude modulation of the RF power that is delivered to a plasma controlling device with the modulated RF power waveform shown.
  • FIG. 12C illustrates a sinusoidal shaped amplitude modulation of the RF power that is delivered to a first plasma controlling device with the modulated RF power waveform shown.
  • FIG. 13A is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a 1000 Hz modulation pulse frequency.
  • FIG. 13B is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a 2000 Hz modulation pulse frequency.
  • FIG. 13C is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a 15,000 Hz modulation pulse frequency.
  • FIG. 13D is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a 25,000 Hz modulation pulse frequency.
  • FIG. 13E is a 49 point contour map measuring the change in thickness of a silicon dioxide layer after plasma etching using an orthogonal torroidal source plasma controlling device at a constant RF power to both plasma controlling devices.
  • FIG. 14A illustrates an isometric schematic cross-sectional view of a torroidal plasma source chamber having a first and a second pedestal RF power source and a first and a second pedestal impedance match element connected to the substrate pedestal.
  • FIG. 14B illustrates a cross-sectional view of an inductively coupled plasma processing chamber having a first and a second pedestal RF power source and a first and a second pedestal impedance match element connected to the substrate pedestal.
  • FIG. 14C illustrates a cross-sectional view of a capacitively coupled plasma processing chamber having a first and a second pedestal RF power source and a first and a second pedestal impedance match element connected to the substrate pedestal.
  • FIG. 14D illustrates a cross-sectional view of a capacitively coupled plasma processing chamber having a first and a second pedestal RF power source and a first and a second pedestal impedance match element connected to the substrate pedestal.
  • FIG. 15 illustrates an isometric schematic cross-sectional view of a torroidal plasma source chamber which contains a substrate pedestal that has two electrodes embedded therein that may be RF biased separately.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Embodiments of the present invention generally provide etch or CVD plasma processing methods and apparatus used to generate a uniform etch or deposition profile on the surface of a substrate by modulating the amplitude of the RF power delivered to a plurality of plasma controlling devices associated with a plasma processing chamber. The amplitude modulated RF power, delivered to the plasma controlling devices, generates a uniform plasma, which thus develops the uniform etch or deposition profile. The plasma generated and/or sustained in the plasma processing chamber is created by the one or more plasma controlling devices that are used to control, generate, enhance, and/or shape the plasma during the plasma processing steps by use of energy delivered from a RF power source. A plasma controlling device may include, for example, one or more coils (inductively coupled plasma), one or more electrodes (capacitively coupled plasma), a substrate pedestal, and/or any other energy inputting device such as a microwave source.
  • Embodiments of the invention are used to correct process non-uniformities by synchronizing the amplitude modulation of the RF power delivered to each plasma controlling device to reduce the interaction of the field(s) created by the plasma controlling devices, overcome inherent chamber design shortcomings, and/or hardware installation issues. By varying the nature and extent of interaction of the fields, and generated plasma, created by the plasma controlling devices, a temporal and spatial variation in the plasma density can be controlled and thus averaged over the plasma processing time to yield a desired process result. The term “spatial variation” in the plasma density is meant to denote a change in the plasma density (or composition) over a localized area of the substrate and/or a shifting, or translation, of the generated plasma across the surface of the substrate. The term “temporal variation” in the plasma density is meant to denote any change in the plasma density (or composition) over a localized area of the substrate as a function of time.
  • In operation, embodiments of the invention generally provide a plasma-based electronic device fabrication processing sequence, wherein the plasma uniformity or flux of ions and neutrals at the surface of a substrate is varied during the processing sequence to achieve more uniform process results on the surface of the substrate. Therefore, embodiments of the invention allow for an infinite number of variations in plasma and/or etch uniformity within a processing sequence, and within recipe steps of the processing sequence, and generally do not require any disassembly or reconfiguration of the plasma controlling devices in order to accomplish plasma uniformity variation. Embodiments of the invention generally provide for varying the plasma uniformity by modulating the amplitude of the RF power delivered to each of the plasma controlling devices as a function of time, since the plasma uniformity and plasma ion density are directly affected by the magnetic field strength or electric field strength in the plasma region of the chamber. A single recurring component of the amplitude modulated RF power waveform, or modulation pulse, can have an infinite number of shapes. FIGS. 12A-C illustrate three examples of amplitude modulated RF power waveforms, or modulation pulse 4 (or modulating waveform), and the underlying amplitude modulated RF power 3 (or carrier). In configurations that contain more than two plasma controlling devices, it may be possible, for example, to vary the order of the modulation pulses delivered to each plasma controlling device as a function of time (e.g., the order of the modulation pulse delivered to the plasma controlling devices need not be sequential, etc.), the length of the modulation pulse, and the power level needed to achieve the desired uniformity across the substrate. In various embodiments of the invention, the frequency of the modulation pulse may vary between about 0.1 hertz and about 100,000 hertz, but preferably varies between about 0.1 hertz and about 10,000 hertz. The power delivered to each of the plasma controlling devices may vary between about 0 Watts to about 5000 Watts at a RF frequency of about 13.56 MHz. The frequency of the power delivered by the RF power source is not limited to frequencies around 13.56 MHz and may be run at frequencies between about 0.4 MHz to greater than 10 GHz.
  • The amplitude modulated RF power delivered to each of the plasma controlling devices is synchronized and controlled by use of a controller 300 (see FIG. 3), such as a microprocessor-based controller. The controller 300 is configured to receive inputs from a user and/or various sensors in the plasma processing chamber and appropriately control the plasma processing chamber components in accordance with the various inputs and software instructions retained in the controller's memory. The controller 300 generally contains memory and a CPU (not shown) which are utilized by the controller to retain various programs, process the programs, and execute the programs when necessary. The memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like all well known in the art. A program (or computer instructions) readable by the controller 300 determines which tasks are performable in the plasma processing chamber. Preferably, the program is software readable by the controller 300 and includes instructions to monitor and control the plasma process based on defined rules and input data.
  • The controller 300 in conjunction with an RF power source, for example, RF power source 180 (see FIG. 1A), is adapted to control the amplitude modulation of the RF power delivered to each of the plasma controlling devices. The controller 300 and the RF power source combination are generally configured to control the modulation pulsing characteristics, for example, modulation pulse power level, modulation pulse width, modulation pulse overlap, rest time or gap between modulation pulses, modulation pulse frequencies, which are varied to achieve a desired process result. In one embodiment, the controller 300 is adapted to synchronize the amplitude modulated RF power delivered to each of the plasma controlling devices. In one embodiment the amplitude modulation control elements of the controller 300 are contained in the two or more RF power sources. In this embodiment the RF power sources are in communication with each other to synchronize the delivery of the modulation pulses to each of the plasma controlling devices.
  • Hardware Configurations
  • FIG. 1A illustrates a cross-sectional view of a torroidal plasma chamber that is useful for practicing the inventions described herein. An exemplary torroidal plasma chamber is further described in the U.S. Pat. No. 6,410,449, entitled “Method Of Processing A Workpiece Using An Externally Excited Torroidal Plasma Source”, filed on Aug. 11, 2000, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein. Referring to FIG. 1, a plasma chamber 100 enclosed by a cylindrical sidewall 105 and a ceiling 110 houses a torroidal plasma source 172 and a substrate pedestal 115 for supporting a wafer or substrate 120. A backside gas supply 128 (not shown) furnishes a gas, such as helium, to a gap between the backside of the substrate 120 and the substrate pedestal 115 to improve thermal conduction between the substrate pedestal 115 and the substrate 120. In one embodiment, the substrate pedestal 115 is heated and/or cooled by use of embedded heat transfer fluid lines (not shown), or an embedded thermoelectric device (not shown), to improve the plasma process results on the substrate 120 surface. A process gas supply 125 furnishes process gas into the chamber 100 through one or more gas inlet nozzles 130 extending through the sidewall 105. A vacuum pump 135 controls the pressure within the chamber 100, typically holding the pressure below 0.5 milliTorr (mT).
  • The torroidal plasma source 172, or torroidal type of plasma controlling device, generally contains a conduit 150, a magnetically permeable core 1015, antenna 170, an impedance match element 175, and a RF power source 180. The antenna 170, which includes a winding or coil section, is wound around a closed magnetically permeable core 1015, which surrounds the conduit 150. The closed magnetically permeable core 1015 is used to inductively couple to the plasma generated inside the hollow conduit 150 by use of the antenna 170, the impedance match element 175, and the RF power source 180. In one embodiment, dynamic impedance matching may be provided to the antenna 170 by frequency tuning, impedance matching network tuning or frequency tuning with forward power servoing. In an alternate embodiment an impedance match may be achieved without the impedance match element 175 by using, instead, a secondary winding 1120 (not shown) around the core 1015 connected across a tuning capacitor 1130 (not shown). The capacitance of the tuning capacitor 1130 (not shown) is selected to resonate the secondary winding 1120 (not shown) at the frequency of the RF power source 180. For a fixed tuning capacitor 1130 (not shown), dynamic impedance matching may be provided.
  • The half-torroidal hollow tube enclosure or conduit 150 extends above the ceiling 110 in a half circle. The conduit 150, although extending externally outwardly from ceiling 110, is nevertheless part of the chamber and forms a wall of the chamber. Internally the conduit 150 shares the same evacuated atmosphere as exists elsewhere in the chamber. The conduit 150 has one open end 157 sealed around a first opening, port 155, in the chamber ceiling 110 and its other end 158 sealed around a second opening, port 160, in the chamber ceiling 110. The two openings, port 155 and port 160, are located on generally opposite sides of the substrate pedestal 115. The hollow conduit 150 is reentrant in that it provides a flow path which exits the main portion of the chamber at one opening and re-enters at the other opening. The conduit 150 may be described herein as being half-torroidal, in that the conduit is hollow and provides a portion of a closed path in which plasma generated in the conduit 150 may flow across the process region overlying the substrate pedestal 115. Notwithstanding the use of the term “torroidal”, the trajectory of the closed path as well as the cross-sectional shape of the path or conduit 150 may be circular or non-circular, and may be square, rectangular or any other shape, regular or irregular.
  • In order to avoid edge effects at the substrate periphery, the ports 155 and 160 are separated by a distance that exceeds the diameter of the substrate. For example, for a 12-inch diameter substrate, the ports 155 and 160 are about 16 to 22 inches apart. For an 8-inch diameter substrate, the ports 155 and 160 are about 10 to 16 inches apart.
  • The external conduit 150 may be formed of a relatively thin conductor such as sheet metal and may contain a first insulating gap 152 and a second insulating gap 153 filled with an insulating ring 154 made from a ceramic material. The insulating gaps, which extend across and through the conduit 150, suppress eddy currents in the sheet metal of the hollow conduit 150 and thereby facilitate coupling of an RF inductive field into the interior of the conduit 150. An RF power source 162 applies RF bias power to the substrate pedestal 115 and substrate 120 through an impedance match element 164. In one embodiment, dynamic impedance matching may be provided to the substrate pedestal by frequency tuning, impedance matching network tuning or frequency tuning with forward power servoing which are well known in the art.
  • Process gases from the chamber 100 fill the hollow conduit 150. In addition, a separate process gas supply 190 may supply process gases directly into the hollow conduit 150 through a gas inlet 195. The RF field in the external hollow conduit 150 ionizes the gases in the tube to produce a plasma. The RF field induced by the magnetically permeable core 1015 is such that the plasma formed in the conduit 150 reaches through the region between the substrate 120 and the ceiling 110 to complete a torroidal path that includes the half-torroidal hollow conduit 150. As employed herein, the term “torroidal” refers to the closed and solid nature of the path, but does not refer to or limit its cross-sectional shape or trajectory, either of which may be circular or non-circular or square or otherwise. Plasma circulates through the complete torroidal path or region which may be thought of as a closed plasma circuit or plasma current path. The RF inductive field generated in the conduit 150 by the closed magnetically permeable core 1015 is closed, as are all magnetic fields, and therefore induces a plasma current along the closed torroidal path. The current is generally uniform along the closed path length and alternates at the frequency of the RF signal applied to the closed magnetically permeable core 1015 by the RF power source 180 through the antenna 170 is varied. The torroidal region extends across the diameter of the substrate 120 and, in certain embodiments, has a sufficient width in the plane of the substrate so that it overlies the entire substrate surface.
  • FIG. 1B is a cross-sectional view of the torroidal plasma chamber shown in FIG. 1A. The gas distribution showerhead 210 consists of a gas distribution plenum 220 connected to the gas supply 125 and communicating with the process region 121 over the substrate 120 through plural gas nozzle openings 230. In one embodiment, a conductive showerhead 210, which is connected to ground, may be used since the conductive showerhead may tend to constrict the plasma path over the substrate surface and thereby increases the density of the plasma current in that vicinity and it may provide a uniform electrical potential reference or ground plane close to and across the entire substrate surface.
  • FIG. 2A illustrates a top view of a pair of orthogonal torroidal plasma sources, described below as a first torroidal plasma source (item 172A) and a second torroidal plasma source (item 172B). A first conduit 150A and a second conduit 150B, which extend through their respective ports in the ceiling 110 (i.e., 155A and 160A, and 155B and 160B) are excited by their respective magnetically permeable cores 1015A and 1015B which are in communication with their respective coil antennas 170A and 170B. This embodiment creates two mutually orthogonal torroidal plasma current paths over the substrate 120 for enhanced uniformity. The two torroidal sources are separate and independently powered as illustrated, but intersect in the process region 121 overlying the substrate (not shown in this view). In other embodiments, containing two or more torroidal plasma sources, the torroidal plasma sources may not be orthogonal to each other, unlike what is shown in FIG. 2A, but are placed at an angle or are otherwise positioned relative to one another, for example, placed parallel to each other, placed end to end, etc., which may help improve process uniformity or improve the ease of manufacturing. In this embodiment the two or more torroidal plasma sources may be placed in any orientation except a coincident orientation, or overlapping orientation, since it is generally preferred not use such an orientation because it will derive a minimal benefit from modulation pulsing the RF power delivered to the plasma controlling devices. The term “coincident” is meant to describe the case where the fields and plasma paths of two or more plasma generating sources are directly in-line and completely overlap each other.
  • FIGS. 2B-2F illustrate cross-sectional top views of the processing region 121, above the substrate surface and below the showerhead 210. FIGS. 2B-2F also illustrate one embodiment of the pair of orthogonal conduits having rectangular shaped conduit ports (i.e., 155A, 155B, 160A and 160B). FIG. 2B illustrates a top view of the processing region when RF power is applied to generate a plasma in the first conduit, which is connected to port 155A and port 160A. One segment of the torroidal path of a plasma generated using a first conduit is shown as item “C”.
  • FIG. 2C illustrates a top view of the processing region when RF power is applied to generate a plasma in the second conduit, which is connected to port 155B and port 160B. One segment of the torroidal path of a plasma generated using a second conduit is shown as item “D”.
  • FIG. 2D illustrates a top view of the processing region when RF power is applied to the first conduit, which is connected to ports 155A and 160A, and the second conduit, which is connected to ports 155B and 160B. FIG. 2D depicts a typical annular plasma path “E” created when a plasma is being generated and/or sustained in both the first conduit and the second conduit. Since the plasma path “E” is not an overlapping pattern, as might be expected after reviewing the plasma paths shown in FIGS. 2B and 2C, the annular path “E” illustrates how the interaction of the generated plasmas and/or generated fields can affect plasma uniformity.
  • FIG. 2E illustrates a top view of the processing region when RF power is applied to the first conduit, which is connected to ports 155A and 160A, and the second conduit, which is connected to ports 155B and 160B, and a bias is applied to the substrate pedestal 115. FIG. 2E illustrates how adding bias to the substrate pedestal 115, under typical process conditions, has only a limited effect on distributing the plasma generated in the plasma processing chamber since the interaction of the fields and/or generated plasma keeps a large majority of the plasma in an annular region illustrated by the darker region shown near the annular path “E”.
  • FIG. 2F illustrates a top view of the processing region when RF power is modulation pulsed to the first conduit, which is connected to ports 155A and 160A, and the second conduit, which is connected to ports 155B and 160B, such that the interaction between the generated fields is reduced. FIG. 2F illustrates how modulation pulsing the RF power creates a uniform plasma density across the process region, and thus the surface of the substrate, by averaging the plasma density over a sequence of modulation pulses, over a period of time, or more generally over the plasma processing time. A sequence of modulation pulses may be defined as an ordered set of modulation pulses which are able to achieve a uniform processing result on a substrate, and may be defined as the minimum number of modulation pulses in a sequence before the sequence repeats itself. FIGS. 6-11 illustrate embodiments of various modulation pulse sequences having various shapes and degrees of interaction. For a two plasma controlling device plasma processing chamber, the shortest sequence may be a two modulation pulse sequence, such as a modulation pulse of the RF power to the first electrode and then a modulation pulse of the RF power to the second electrode. For a three plasma controlling device plasma processing chamber, the shortest sequence may be a three step sequence, for example, a modulation pulse of the RF power to the first electrode, a modulation pulse of the RF power to the second electrode and then a modulation pulse of the RF power to the third electrode.
  • By modulation pulsing the RF power delivered to the plasma controlling devices, the first conduit and the second conduit, it has been found that the uniformity of the plasma process can be improved. By adapting the hardware and processing steps, various plasma modulation pulsing recipes can be utilized to improve the processing uniformity. The RF power modulation pulse characteristics may be varied, for example, at the transition between recipe steps in a plasma processing chamber recipe, at one or more times within individual recipe steps of a plasma processing chamber recipe, or continuously throughout the plasma processing process. In one embodiment, a user is able to input the desired modulation pulse characteristics (as described above) and other process variables, for example, chamber pressure, gas types, gas flow rates, etc., into a recipe from which the controller 300 is able to monitor and control all aspects of the plasma chamber process.
  • FIG. 3A illustrates a cross-sectional view of a typical inductively coupled plasma processing chamber having two RF coils disposed on a lid of the chamber which may be used to carry out one embodiment of the invention. The inductively coupled plasma processing chamber generally includes a plasma chamber 10 having a generally cylindrical sidewall 15 and a dome-shaped ceiling 20. Other embodiments of an inductively coupled plasma processing chamber may include a chamber lid having another shape such as cylindrical with a flat top (coils reside on top). A gas inlet 25 supplies process gas into the plasma chamber 10. A substrate support member or substrate pedestal 115 supports a substrate 120, inside the plasma chamber 10. A backside gas supply 128 (not shown) furnishes a gas, such as helium, to a gap between the backside of the substrate 120 and the substrate pedestal 115 to improve thermal conduction between the substrate pedestal 115 and the substrate 120. In one embodiment the substrate pedestal 115 is heated and/or cooled by use of embedded heat transfer fluid lines (not shown), or an embedded thermoelectric device (not shown), to improve the plasma process results on the substrate 120 surface. An RF power source 162 may be connected to the substrate pedestal 115 through a conventional RF impedance match element 164. A plasma is ignited and maintained within the plasma chamber 10 above substrate pedestal 115 by RF power inductively coupled from a coil antenna 50 consisting of a pair of antenna loops or RF coils 52, 54, wound around different portions of the dome-shaped ceiling. In the embodiment shown in FIG. 3A, both loops are wound around a common axis of symmetry coincident with the axis of symmetry of the dome-shaped ceiling 20 and the axis of symmetry of the substrate pedestal 115 and substrate 120. The first RF coil 52 is wound around an outer portion of the dome-shaped ceiling 20 while the second RF coil 54 is positioned centrally over the ceiling 20. First and second RF coils 52, 54, as shown in FIG. 3A, are separately connected to the respective first and second RF power sources 60, 65 through first and second RF impedance match networks 70, 75. RF power in each RF coil 52, 54 are separately controlled. The RF power signal applied to the first RF coil (outer antenna loop) 52 generally affects plasma ion density near the periphery of the substrate 120 while the RF power signal applied to the second RF coil (inner antenna loop) 54 generally affects plasma ion density near the center of the substrate 120. The RF power signals delivered to each of the RF coils are adjusted or configured relative to each other to achieve substantial uniformity of plasma ion distribution over a substrate disposed on a substrate support member.
  • In operation, the plasma processing system receives a substrate 120 on substrate pedestal 115 for processing in plasma chamber 10. Plasma chamber 10 may then be pulled to a predetermined pressure/vacuum by a vacuum pump system (not shown). Once the predetermined pressure is achieved, a process gas may be introduced into the plasma chamber 10 by gas inlet 25, while the vacuum pumping system continues to pump the plasma chamber 10, such that an equilibrium processing pressure is obtained. The processing pressure is adjustable through, for example, throttling the communication of the vacuum system to the plasma chamber 10 or adjusting the flow rate of the process gases being introduced into plasma chamber 10 by gas inlet 25. Once the pressure and gas flows are established, the respective power supplies may be activated. Power can be independently supplied to the first RF coil 52 and second RF coil 54, and the substrate pedestal 115. The application of power to the first RF coil 52 and second RF coil 54 facilitates striking of a plasma in the region immediately above the substrate pedestal 115. The ion density of the plasma may be increased or decreased through adjustment of the power supplied to the first RF coil 52 and second RF coil 54 or through adjustment of the processing pressure in plasma chamber 10, that is, through increased/decreased flow rate of the process gas or an increase/decrease in the chamber pumping rate.
  • The inductively coupled plasma processing chamber, illustrated in FIG. 3A, depicts an embodiment having an inner (center) and outer (edge) coil configuration. The inner and outer coil configuration generates a plasma that will generally vary radially, which can generally create radial bands of varying etch rate or deposition rate that are concentric about the center of the substrate being processed. The magnetic field strength over these annular bands is conventionally generated by energizing one or more coils positioned over the substrate being processed. The magnetic field generated by the energized coils positioned above the substrate penetrates the chamber and directly affects plasma uniformity. The uniformity of the generated plasma may vary as the process conditions are varied (e.g., power, pressure, gas mixture, etc.), the way the plasma controlling devices are positioned, the position of the substrate in the plasma and/or the inherent physical characteristics of the plasma controlling devices. By use of aspects of the invention, the plasma uniformity can be optimized by modulation pulsing the RF power delivered to the plasma controlling devices (e.g., outer coil 52, inner coil 54, substrate pedestal, etc.) and thus reducing the interaction of the magnetic fields and plasma that is generated when the plasma controlling devices are energized. By use of the controller 300 the user can define and control the process and modulation pulsing characteristics during the plasma process. In one embodiment the modulation pulsed RF power to each plasma controlling device and plasma processing variables, for example, the chamber pressure, gas mixture, and/or the position of the substrate in the plasma, are varied to achieve a desired plasma uniformity and/or plasma density.
  • FIG. 3B illustrates a cross-sectional view of an inductively coupled plasma processing chamber 10A that contains a torroidal plasma source 172 and a inductive coil (e.g., item 52) that are adapted to perform a plasma process. While FIG. 3B, illustrates a single inductive coil positioned outside the torroidal plasma source 172, this configuration is not intended to limit the scope of the present invention since the number, type of plasma controlling devices, and/or position of the plasma controlling devices is not intended to be limiting to the various aspects of the invention described herein. In one aspect, an RF power source 162 may be connected to the substrate pedestal 115 through a conventional RF impedance match element 164 to generate or control the plasma in the plasma processing chamber 10A. The torroidal plasma source 172, as described above, is adapted to generate a plasma that is maintained over the surface of the substrate 120. The RF coil 57, as shown in FIG. 3B, is separately connected to the first RF power source 60 through the first RF impedance match networks 70. The RF power delivered to the torroidal plasma source 172, substrate pedestal and/or the RF coil 57 may be separately controlled to generate and control the plasma formed in the process region 121. The RF power delivered to each of the plasma controlling devices can be adjusted or configured relative to each other to achieve substantial uniformity of plasma ion distribution over a substrate disposed on a substrate support member. By use of aspects of the invention, uniformity of the plasma generated in the plasma processing chamber 10A can be optimized by modulation pulsing the RF power delivered to the plasma controlling devices (e.g., coil 57, torroidal plasma source 172, substrate pedestal 115, etc.) and thus reducing the interaction of the magnetic fields and plasma that are generated when the plasma controlling devices are energized. By use of the controller 300 the user can define and control the process and modulation pulsing characteristics during the plasma process. In one embodiment the modulation pulsed RF power to each plasma controlling device and plasma processing variables, for example, the chamber pressure, gas mixture, and/or the position of the substrate in the plasma, are varied to achieve a desired plasma uniformity and/or plasma density.
  • FIG. 4A illustrates a capacitively coupled plasma chamber 305. A sidewall 405, a ceiling 406, and a base 407 enclose the capacitively coupled plasma chamber 305. A substrate pedestal 115, which supports a substrate 120, mounts to the base 407 of the capacitively coupled plasma chamber 305. A backside gas supply 128 (not shown) furnishes a gas, such as helium, to a gap between the backside of the substrate 120 and the substrate pedestal 115 to improve thermal conduction between the substrate pedestal 115 and the substrate 120. In one embodiment the substrate pedestal 115 is heated and/or cooled by use of embedded heat transfer fluid lines (not shown), or an embedded thermoelectric device (not shown), to improve the plasma process results on the substrate 120 surface. A vacuum pump 135 controls the pressure within the capacitively coupled plasma chamber 305, typically holding the pressure below 0.5 milliTorr (mT). A gas distribution showerhead 410 consists of a gas distribution plenum 420 connected to the gas supply 125 and communicating with the process region 121 over the substrate 120 through plural gas nozzle openings 430. The showerhead 410, made from a conductive material (e.g., anodized aluminum, etc.), acts as a plasma controlling device by use of the attached to a first impedance match element 175A and a first RF power source 180A. A second electrode 415, which is concentric to the substrate 120's surface, is biased by a second impedance match element 175B and a second RF power source 180B. A RF power source 162 applies RF bias power to the substrate pedestal 115 and substrate 120 through an impedance match element 164. A controller 300 is adapted to control the impedance match elements (i.e., 175A, 175B, and 164), the RF power sources (i.e., 180A, 180B, and 162) and all other aspects of the plasma process. In one embodiment dynamic impedance matching is provided to the substrate pedestal 115, the showerhead 410 and second electrode 415 by frequency tuning, impedance matching network tuning or frequency tuning with forward power servoing.
  • FIG. 4B illustrates a capacitively coupled plasma chamber 320. The capacitively coupled plasma chamber 320 contains all of the same components as the chamber shown in FIG. 4A except it does not contain the second electrode 415, the second impedance match element 175B and the second RF power source 180B. The controller 300 is thus adapted to control the impedance match elements (i.e., 175A and 164), the RF power sources (i.e., 180A and 162) and all other aspects of the plasma process.
  • FIG. 5 illustrates a top view of another capacitively coupled plasma processing chamber 400 which generally contains all of the components found in FIG. 4B, and four side electrodes 450A-D which are individually connected to their respective impedance match element 428A-D, which are connected to their respective RF power source 429A-D. In one embodiment, the plasma processing chamber 400 may contain more than four side electrodes 450, impedance match elements 428 and RF power sources 429. In another embodiment the plasma processing chamber 400 may contain less than four side electrodes 450, impedance match elements 428 and RF power sources 429.
  • In one embodiment of the plasma processing chamber 400 the gas distribution showerhead 410 (not shown) is not RF biased. In this embodiment RF power is only delivered to a side electrode 450 and a substrate pedestal 115 (not shown). A controller 300 is adapted to control the impedance match elements (i.e., 428, 164 and 175A (if biased)), the RF power sources (i.e., 429, 162 and 180A (if biased)) and all other aspects of the plasma process.
  • The uniformity of the generated plasma in the capacitively coupled plasma processing chambers 305, 320 and 400 may vary depending on the process conditions are varied (e.g., power, pressure, gas mixture, etc.), the way the plasma controlling devices are positioned, the position of the substrate in the plasma and/or the inherent physical characteristics (e.g., surface characteristics, surface area, etc.) of the plasma controlling devices. By use of aspects described herein, the plasma uniformity can be optimized by modulation pulsing the power delivered to the plasma controlling devices (e.g., showerhead 410, second electrode 415 (FIG. 4A only), substrate pedestal 115, side electrode 450, etc.) which reduces the interaction of the electric fields and plasma that are generated by the plasma controlling devices. By use of the controller 300, the user is able to define and control the process variables and modulation pulsing characteristics used during the plasma process. In one embodiment the modulation pulsed RF power to each plasma controlling device and plasma processing variables, for example, the chamber pressure, gas mixture, and/or the position of the substrate in the plasma, are varied to achieve a desired plasma uniformity and/or plasma density.
  • In addition to amplitude modulating the RF power to coils, electrodes, torroidal sources relative to each other, as described above, in some embodiments of the invention the RF power delivered to the substrate pedestal is modulation pulsed relative to one or more plasma controlling devices in the chamber, for example, a torroidal plasma source, an RF coil 52, an RF coil 54, a showerhead 210, etc. Modulation pulsing the RF power to the substrate pedestal relative to other plasma controlling devices can: reduce RF field interaction between the substrate pedestal and the plasma controlling device(s), shape the plasma, control plasma bombardment of the substrate surface, and/or vary the plasma sheath thickness and/or voltage.
  • In another aspect of the invention, two or more RF power sources are attached to the substrate pedestal 115 mounted in a torroidal plasma processing chamber, an inductively coupled plasma processing chamber or a capacitively coupled plasma processing chamber. FIG. 14A illustrates an embodiment of the plasma chamber 100 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164A, a first pedestal RF power source 162A connected to the substrate pedestal 115, and a second impedance match element 164B, and a second pedestal RF power source 162B connected to the substrate pedestal 115. FIG. 14B illustrates an embodiment of the plasma chamber 10 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164A, a first pedestal RF power source 162A connected to the substrate pedestal 115, and a second impedance match element 164B, and a second pedestal RF power source 162B connected to the substrate pedestal 115. FIG. 14C illustrates an embodiment of the plasma chamber 305 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164A, a first pedestal RF power source 162A connected to the substrate pedestal 115, and a second impedance match element 164B, and a second pedestal RF power source 162B connected to the substrate pedestal 115. FIG. 14D illustrates an embodiment of the plasma chamber 320 in which the impedance match element 164 and the pedestal RF power source 162 are replaced by a first impedance match element 164A, a first pedestal RF power source 162A connected to the substrate pedestal 115, and a second impedance match element 164B, and a second pedestal RF power source 162B connected to the substrate pedestal 115. In one embodiment, the first impedance match element 164A and the first pedestal RF power source 162A deliver RF power to the substrate pedestal at a first RF frequency while the second impedance match element 164B and the second pedestal RF power source 162B deliver RF power to the substrate pedestal at a second RF frequency which is higher than the first frequency. For example, the first RF frequency may be 13.56 MHz and the second frequency may be 1360 MHz. In general, the RF frequencies that may be created by the first pedestal RF power source 162A and the second pedestal RF power source 162B may range from about 0.4 MHz to about 10 GHz. By powering the substrate pedestal 115 using RF energy delivered at different powers and frequencies, the plasma sheath and substrate bias can be controlled. In one embodiment, the RF power delivered to the substrate pedestal 115 from the first RF power source 162A, the second RF power source 162B, or the first and second RF power sources (i.e., 162A and 162B) are modulation pulsed relative to another plasma controlling devices in the chamber, for example, a torroidal plasma source, an RF coil 52, an RF coil 54, an showerhead 210, etc., to help reduce RF field interaction between the various RF fields, to vary the plasma sheath thickness and/or voltage, to shape the plasma and to control plasma bombardment of the substrate surface. In yet another embodiment, the RF power delivered to the substrate pedestal 115 from the first pedestal RF power source 162A and second pedestal RF power source 162B are modulation pulsed relative to each other to help reduce RF field interaction between the various RF fields, vary the plasma sheath thickness and/or voltage, shape the plasma and control plasma bombardment of the substrate surface.
  • In another aspect of the invention, the substrate pedestal 115 contains two or more segmented regions that are RF biasable as illustrated in FIG. 15. The biasable regions are generally two or more electrodes attached or embedded in the substrate pedestal 115, which can control or shape the generated plasma by amplitude modulating the RF power at different RF powers and/or frequencies to each of the biasable regions. By powering each of the biasable regions using RF energy delivered at different powers and frequencies, the plasma sheath and substrate bias can be controlled over different regions of the substrate during processing. FIG. 15 illustrates an embodiment of the plasma chamber 100 which contains: a first impedance match element 164A and a first pedestal RF power source 162A connected to a first biasable region 115A; a second impedance match element 164B and a second pedestal RF power source 162B connected to the first biasable region 115A; a third impedance match element 164C and a third pedestal RF power source 162C connected to a second biasable region 115B; and a fourth impedance match element 164D and a fourth pedestal RF power source 162D are connected to the second biasable region 115B. While FIG. 15 illustrates a concentric two biasable region configuration (e.g., biasable regions 115A and 115B) other embodiments may be oriented in a non-concentric manner, for example, in quadrants, divided in half, or other geometric orientations and/or number of biasable regions as needed to achieve a desired process result. Also, while FIG. 15 illustrates the use of a segmented substrate pedestal 115 in a plasma chamber 100 (i.e., torroidal plasma source) this embodiment may also be used in other types of plasma processing chambers, such as those described above. In one embodiment, the first impedance match element 164A and the first pedestal RF power source 162A may deliver RF power to the first biasable region 115A at a first frequency while the second impedance match element 164B and the second pedestal RF power source 162B may deliver RF power to the first biasable region 115A at a second frequency which is higher than the first frequency. In this embodiment, the third impedance match element 164C and the third pedestal RF power source 162C may deliver RF power to the second biasable region 115B at a third frequency, while the fourth impedance match element 164D and the fourth pedestal RF power source 162D may deliver RF power to the second biasable region 115B at a fourth frequency which is higher than the third frequency. For example, the first and third RF frequencies may be 13.56 MHz and the second and fourth frequencies may be 1360 MHz. In general, the first, second, third and fourth RF frequencies that may be used can each vary from about 0.4 MHz to about 10 GHz. The delivered RF power levels may be from 0 to 5000 Watts. By powering each of the biasable regions using RF energy delivered at different RF power levels and frequencies, the plasma sheath and substrate bias can be controlled over different regions of the substrate during processing.
  • Amplitude Modulation Control
  • FIGS. 6-11 illustrate various embodiments of the invention where the amount of power delivered to two plasma controlling devices is varied as a function of time. While FIGS. 6-11 illustrate different methods of amplitude modulation of the RF power applied to two plasma controlling devices, other embodiments of the invention may contain more than two plasma controlling devices. The underlying amplitude modulated RF power waveform, that is, item 3 in FIGS. 12A-C, is not shown in FIGS. 6-11 for clarity.
  • FIG. 6A illustrates the composite profile of rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices as a function of time. The rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices are shown in FIGS. 6B and 6C, respectively. The modulated pulse waveform 1 in FIG. 6B illustrates an embodiment of an amplitude modulation of the RF power delivered to a first plasma controlling device as a function of time. The modulated pulse waveform 2 in FIG. 6C illustrates an embodiment of an amplitude modulation of the RF power delivered to a second plasma controlling device as a function of time. FIGS. 6A-C illustrate a case where the total power in the processing chamber is kept relatively constant as a function of time but the power to each plasma controlling device is either on or off at any given time, except possibly during the transition to or from the peak RF power level. In one embodiment, the peak RF power level, pulse width (e.g., see items t1-t4), and modulation pulse frequency of each modulation pulse may be varied from one pulse to the next.
  • FIG. 7A illustrates the composite profile of a rectangular shaped modulation pulse delivered to the first and second plasma controlling devices as a function of time. The rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices are shown in FIGS. 7B and 7C, respectively. The modulated pulse waveform 1 in FIG. 7B illustrates an embodiment of an amplitude modulation of the RF power delivered to a first plasma controlling device as a function of time. The modulated pulse waveform 2 in FIG. 7C illustrates an embodiment of an amplitude modulation of the RF power delivered to a second plasma controlling device as a function of time. In this embodiment the rectangular modulation pulse overlaps an amount “A” and thus the fields created by each plasma controlling device interact for only a portion of the total modulation pulse width. To achieve a more uniform result, the amount of overlap “A” may be varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied, such as, when the concentration of gasses and the chamber pressure are varied.
  • FIG. 8A illustrates the composite profile of a rectangular-shaped modulation pulse delivered to the first and second plasma controlling devices as a function of time. The rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices are shown in FIGS. 8B and 8C, respectively. The modulated pulse waveform 1 in FIG. 8B illustrates an embodiment of an amplitude modulation of the RF power delivered to a first plasma controlling device as a function of time. The modulated pulse waveform 2 in FIG. 8C illustrates an embodiment of an amplitude modulation of the RF power delivered to a second plasma controlling device as a function of time. In this embodiment a rest time “B” is added between the modulation pulses. The rest time is a period of time of about 100 microseconds or less, in which no power is delivered to any of the plasma controlling devices. It may be advantageous to keep the rest time short enough that the plasma generated in the processing chamber does not extinguish and thus does not require reignition of the plasma after each subsequent modulation pulse is applied to a plasma controlling device. In one embodiment, the rest time is varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied, such as the concentration of gasses and the chamber pressure.
  • FIG. 9A illustrates the composite profile of a rectangular-shaped modulation pulse delivered to the first and second plasma controlling devices as a function of time. The rectangular-shaped modulation pulses delivered to the first and second plasma controlling devices are shown in FIGS. 9B and 9C, respectively. The modulated pulse waveform 1 and 1A in FIG. 9B illustrates an embodiment of an amplitude modulation of the RF power delivered to a first plasma controlling device as a function of time. The modulated pulse waveform 2 and 2A in FIG. 9C illustrates an embodiment of an amplitude modulation of the RF power delivered to a second plasma controlling device as a function of time. In this embodiment, the rectangular modulation pulse overlap and the amount of power delivered to each plasma controlling device, after each subsequent modulation pulse, may be varied proportionally to the power delivered to the other plasma controlling devices (e.g., waveform 1 to 2A and waveform 2 to 1A). In this embodiment, the fields created by each plasma controlling device interact, but the amount of interaction is minimized from a continuous power delivery case by varying the power of one plasma controlling device relative to the other plasma controlling device(s). The ratio of power delivered at any one time to the various plasma controlling devices may range, for example, from a ratio from about 1 to 1 to about 100 to 1, but is preferably between about 1 to 1 and about 10 to 1.
  • While the embodiments illustrated in FIGS. 6-9 have substantially equal amplitude, modulation pulse width, and modulation pulse frequency (or period), these embodiments are not intended to limit the scope of the invention described herein. Modulation pulse width is generally defined as the duration of a modulation pulse, such as the time the power is at its peak power level (e.g., t1 and t3 in FIG. 6B), length of time the power is off (e.g., t2 and t4 in FIG. 6B), or the length of time the power is at some intermediate level (e.g., items 1A and 2A in FIG. 9). In other embodiments, the duration of a modulation pulse to the two or more plasma controlling devices may be varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied. In other embodiments, the frequency (or period) of the modulation pulse delivered to the two or more plasma controlling devices may be varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied. In still other embodiments, the amount of power delivered for each subsequent modulation pulse may not be equal and may be varied throughout the plasma process, from one modulation pulse to another, or as different processing conditions are varied. In other embodiments, the modulation pulse is not rectangular in shape and may be, for example, trapezoidal, triangular, etc. in shape.
  • FIGS. 10 and 11 illustrate two other embodiments having a triangular-shaped and a sinusoidal-shaped modulation pulse, respectively. Items 1 and 2 in FIGS. 10 and 11 depict amplitude modulation of the RF power that is delivered to a first plasma controlling device as a function of time and delivered to a second plasma controlling device as a function of time, respectively. FIGS. 10 and 11 illustrate embodiments where the power delivered to the plasma controlling devices have substantially equal amplitude, modulation pulse width and frequency (or period). In other embodiments, the modulation pulse width and/or frequency of a modulation pulse to the two or more plasma controlling devices may be varied from one modulation pulse to another, as a function of time or from process step to process step. In still other embodiments, the amount of power delivered to each plasma controlling device may not be equal at any given time and may be varied relative to one another as required. In another embodiment, the modulation pulses overlap and/or have a rest time between each modulation pulse. In another embodiment a multisegmented modulation pulse is used, that is, a modulation pulse having many segments in which the power is varied as a function of time.
  • Other embodiments of the invention having different modulation pulse shapes may be devised without departing from the basic scope of the present invention. In one embodiment, the ramp up to the peak power and/or the ramp down from the peak power may not be linear, as shown in FIGS. 6-11, and may be, for example, a second order, a third order, or exponential-shaped curve. In another embodiment, it may be advantageous to use a sequence of modulation pulses having different shapes (e.g., rectangular and triangular modulation pulse, sinusoidal and rectangular modulation pulse, rectangular, triangular and sinusoidal modulation pulse, etc.) during processing to achieve the desired uniformity. In another embodiment, a random modulation pulse generator may be used to control when power is delivered to each plasma controlling device, the ratio of power delivered to each device, the shape of the modulation pulse, modulation pulse width, and/or the frequency (or period) of each modulation pulse in an effort to even out any nonuniformity that may occur from delivering the modulation pulse in a systematic way.
  • EXAMPLES
  • The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all-inclusive and are not intended to limit the scope of the inventions described herein.
  • Example 1
  • Examples of different plasma processing recipes utilizing an amplitude modulation of RF power delivered to an orthogonal two plasma controlling device torroidal source are described below for a silicon dioxide etching process. The general process parameters used to etch the surface of a substrate having a silicon dioxide thickness of 20,000 Angstroms are as follows: a chamber process pressure of 30 mTorr, a flow rate of 60 sccm of hexafluoro-1,3-butadiene (C4F6), a flow rate of 60 sccm of oxygen (O2), a flow rate of 500 sccm of argon, a substrate pedestal temperature of 20 degrees Celsius, a substrate backside helium pressure of 25 Torr, a constant substrate pedestal bias of 2000 Watts at a RF frequency of 13.56 MHz, and a plasma processing time of 60 seconds. All RF power delivered to the other plasma controlling devices was delivered using dynamic impedance matching at an RF frequency of about 13.56 MHz+/−1 MHz. The 1 sigma, or 1 standard deviation, uniformity values discussed below were measured using a Tencor Prometrix UV 1050's 49-point contour map at a substrate edge exclusion of 3 millimeters. The Tencor Prometrix UV 1050's 49-point contour map uniformity data was collected by measuring the difference, or change, in the surface profile of the substrate before and after plasma etching.
  • Example 1A
  • Using a constant RF power level of 1000 Watts to both of the plasma controlling devices achieved an average etch rate of 3400 Angstroms/minute and a uniformity of about 8.4%.
  • Example 1B
  • An average etch rate of 4930 Angstroms/minute and uniformity of about 1.6% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 6A, where the RF power delivered to one of the plasma controlling devices, at any given time, was at 2000 Watts and the other plasma controlling device was at zero Watts and the modulation pulse frequency was 0.1 Hz. The modulation pulse width was half of the period.
  • Example 1C
  • An average etch rate of 5027 Angstroms/minute and uniformity of about 1.5% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 6A, where the RF power delivered to one of the plasma controlling devices, at any given time, was at 2000 Watts and the other plasma controlling device was at zero Watts and the modulation pulse frequency was 0.5 Hz. The modulation pulse width was half of the period.
  • Example 1D
  • An average etch rate of 4602 Angstroms/minute and uniformity of about 1.2% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 9A, where the RF power delivered to one of the plasma controlling devices, at any given time, was at 1800 Watts and the other plasma controlling device was at 200 Watts and the modulation pulse frequency was 0.1 Hz. The modulation pulse width was half of the period.
  • Example 1E
  • An average etch rate of 4170 Angstroms/minute and uniformity of about 2.7% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 9A, where the RF power delivered to one of the plasma controlling devices, at any given time, was at 1600 Watts and the other plasma controlling device was at 400 Watts and the modulation pulse frequency was 0.1 Hz. The modulation pulse width was half of the period.
  • Example 1F
  • An average etch rate of 3522 Angstroms/minute and uniformity of about 8.7% was achieved using a rectangular-shaped amplitude modulated RF power pulse sequence, as shown in FIG. 9A, where the RF power delivered to one of the plasma controlling devices, at any given time, was at 1200 Watts and the other plasma controlling device was at 800 Watts and the modulation pulse frequency was 0.1 Hz. The modulation pulse width was half of the period.
  • In one aspect, by varying the frequency of the amplitude modulation of the RF power, or the modulation pulse frequency, it is possible to vary the plasma density across the surface of the substrate. In one embodiment the frequency of the amplitude modulation of the RF power is varied at various times during the process to tailor the plasma density to match a desired etch or deposition profile on the surface of the substrate. In cases where the user knows the profile of the surface of the substrate prior to processing in the plasma chamber, varying the modulation pulse frequency during plasma processing can allow the etch or deposition profile to be adjusted to compensate for the initial non-uniformity. For example, in a case where the starting substrate profile is edge thick versus the center of the substrate the modulation pulse frequency can be varied to increase the plasma density near the edge of the substrate relative to the center of the substrate to assure the results of the plasma process are uniform. Since each plasma processing chamber configuration, process sequence, and process recipe can cause the etch or deposition plasma density to vary from chamber to chamber, sequence to sequence and/or recipe to recipe it is likely that an optimum frequency to achieve a desired plasma density profile will need to be empirically found. An example of such results are shown in Example 2 below.
  • Example 2
  • FIGS. 13A-E illustrate examples of how varying the amplitude modulation pulse characteristics in a plasma processing chamber can vary the plasma density across the surface of the substrate. The results shown below were collected using an orthogonal two plasma controlling device torroidal source utilizing a rectangular-shaped amplitude modulation of RF power to complete a silicon dioxide etching process. The general process parameters used to etch the surface of a substrate having a silicon dioxide thickness of 20,000 Angstroms are as follows: a chamber process pressure of 30 mTorr, a flow rate of 60 sccm of hexafluoro-1,3-butadiene (C4F6), a flow rate of 60 sccm of oxygen (O2), a flow rate of 500 sccm of argon, a substrate pedestal temperature of 20 degrees Celsius, a substrate backside helium pressure of 25 Torr, a constant substrate pedestal bias of 2000 Watts at a RF frequency of 13.56 MHz, and a plasma processing time of 60 seconds. All RF power delivered to the other plasma controlling devices was delivered using dynamic impedance matching at an RF frequency of about 13.56 MHz+/−1 MHz. The same hardware configuration process configurations were used throughout this example. The 1 sigma, or 1 standard deviation, uniformity values described herein were measured using a Tencor Prometrix UV 1050's 49 point contour map at a substrate edge exclusion of 3 millimeters. The Tencor Prometrix UV 1050's 49 point contour map uniformity data was collected by measuring the difference, or change, in the surface profile of the substrate before and after plasma etching.
  • FIGS. 13A-D illustrate Tencor Prometrix UV 1050 49-point contour maps of the production surface of an etched silicon dioxide layer on a substrate using a rectangular-shaped amplitude modulated RF power, similar to the RF power modulation profiles shown in FIG. 6. In the examples shown in FIGS. 13A-D the magnitude of the modulation pulse to one of the plasma controlling devices, at any given time, was 2000 Watts, while the magnitude of the modulation pulse to the other plasma controlling device was zero Watts. The modulation pulse width used in this example was half of the period. FIG. 13A illustrates an example where at a modulation pulse frequency of 1000 Hz an average etch rate of 5159 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 1.8%. FIG. 13B illustrates an example where at a modulation pulse frequency of 2000 Hz an average etch rate of 4971 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 2.58%. FIG. 13C illustrates an example where at a modulation pulse frequency of 15,000 Hz an average etch rate of 4666 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 4.78%. FIG. 13D illustrates an example where at a modulation pulse frequency of 25,000 Hz an average etch rate of 3524 Angstroms/minute was achieved at a 49 point 1-sigma uniformity of about 9.49%.
  • FIG. 13E illustrates a Tencor Prometrix UV 1050 49-point contour map of an etched silicon dioxide layer on a substrate where a constant RF power level of 1000 Watts, that is, no amplitude modulation pulsing is delivered to each of the plasma controlling devices. This configuration achieved an average etch rate of 3648 Angstroms/minute and a uniformity of about 10.9%. Reviewing FIGS. 13A-E one will note that by increasing the modulation pulse frequency the etch rate towards the edge of the substrate increases as the modulation pulse frequency increases in this orthogonal torroidal source configuration. This effect is shown on the 49-point contour map by the annular ring of “+” symbols on the edge of the substrate, which correspond to a greater amount of etching, versus the “−” symbols in the center, which corresponds to a lesser amount of etching, and the increasing uniformity values as the frequency increases. It should be noted that other plasma controlling device types and configurations, which generate and shape the plasma differently than the torroidal source example shown here, can lead to different etch or deposition rate profiles at various different frequencies.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (42)

1. A plasma chamber for plasma processing a substrate, comprising:
a first plasma controlling device in communication with a processing region of a plasma chamber, wherein the first plasma controlling device is connected to a first RF power source;
a second plasma controlling device in communication with the processing region of the plasma chamber, wherein the second plasma controlling device is connected to a second RF power source; and
a controller adapted to synchronize the amplitude modulation of the RF power delivered to the first plasma controlling device and the second plasma controlling device such that the shape of the amplitude modulated waveform and overlap in time of the RF power supplied to the first and second plasma controlling devices is controlled to improve the uniformity of the plasma process completed on a substrate mounted in the processing region.
2. The plasma chamber of claim 1, wherein the controller, the first RF power source, and the second RF power source modulate the amplitude of the RF power, and wherein modulating the amplitude of the RF power includes synchronizing the RF power delivered to the first and second plasma controlling devices, controlling the ratio of power delivered to the first and second plasma controlling devices, and controlling the shape and duration of the amplitude modulated power.
3. The plasma chamber of claim 1, wherein the shape of the amplitude modulated RF power supplied to the first and second plasma controlling devices, is rectangular in shape, trapezoidal in shape, triangular in shape or sinusoidal in shape.
4. The plasma chamber of claim 1, wherein the amplitude modulated RF power is rectangular in shape and the amplitude modulated RF power supplied to the second plasma controlling devices is at 0 Watts when the first plasma controlling device is at a power level greater than 0 Watts, and the amplitude modulated RF power supplied to the first plasma controlling devices is 0 Watts when the second plasma controlling device is at a power level greater than 0 Watts.
5. The plasma chamber of claim 1, wherein the amplitude modulated RF power is rectangular in shape and the amplitude modulated RF power supplied to the first plasma devices and the second plasma controlling device overlap an amount less than the full pulse width.
6. The plasma chamber of claim 1, wherein the controller, the first RF power source, and the second RF power source control the interaction of the plasma generated by the first and second plasma controlling devices by varying the frequency of the amplitude modulations of the RF power.
7. The plasma chamber of claim 1, wherein the overlap in time is a rest time between the amplitude modulations of the RF power.
8. The plasma chamber of claim 1, wherein the first plasma controlling device is an inductive coil, an electrode or a torroidal source.
9. The plasma chamber of claim 1, wherein the second plasma controlling device is an inductive coil, an electrode or a torroidal source.
10. The plasma chamber of claim 1, further comprising a pedestal that is adapted to support the substrate, wherein the pedestal is connected to a third RF power source that is capable of amplitude modulation of the RF power delivered to the pedestal.
11. The plasma chamber of claim 10, further comprising a fourth RF power source connected to the pedestal that is capable of amplitude modulation of the RF power delivered to the pedestal, wherein the RF frequency of the fourth RF power source is greater than the RF frequency of the third RF power source.
12. A plasma chamber for processing a substrate, comprising:
a first plasma controlling device connected to a first RF power source that is capable of amplitude modulation of the RF power delivered to the first plasma controlling device;
a second plasma controlling device connected to a second RF power source that is capable of amplitude modulation of the RF power delivered to the second plasma controlling device;
a third plasma controlling device connected to a third RF power source that is capable of amplitude modulation of the RF power delivered to the third plasma controlling device; and
a controller adapted to synchronize the amplitude modulation of the RF power delivered to the first plasma controlling device, the second plasma controlling device and the third plasma controlling device such that the shape of the amplitude modulated waveform and overlap in time of the RF power supplied to the first, second and third plasma controlling devices is controlled to improve the uniformity of the plasma process completed on a substrate mounted in the processing region.
13. The plasma chamber of claim 12, wherein the first plasma controlling device is an inductive coil, an electrode, or a torroidal source.
14. The plasma chamber of claim 12, wherein the second plasma controlling device is an inductive coil, an electrode, or a torroidal source.
15. The plasma chamber of claim 12, wherein the third plasma controlling device is a torroidal source, an inductive coil, or a electrode.
16. The plasma chamber of claim 12, further comprising a pedestal that is adapted to support the substrate, wherein the pedestal is connected to a fourth RF power source that is capable of amplitude modulation of the RF power delivered to the pedestal.
17. The plasma chamber of claim 16, further comprising a fifth RF power source connected to the pedestal that is capable of amplitude modulation of the RF power delivered to the pedestal, wherein the RF frequency of the fifth power source is greater than the RF frequency of the fourth RF power source.
18. The plasma chamber of claim 12, wherein the overlap in time is a rest time between the amplitude modulations of the RF power.
19. A method of processing a substrate in a plasma chamber, comprising:
amplitude modulating the RF power delivered to a first plasma controlling device at a first modulation pulse frequency and at a first power level;
amplitude modulating the RF power delivered to a second plasma controlling device at a second modulation pulse frequency and at a second power level;
synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device; and
controlling the amplitude modulation of the RF power such that the overlap in time and the shape of the amplitude modulated RF power delivered to the first and second plasma controlling devices is controlled to improve the uniformity of the process completed on the substrate.
20. The method of claim 19, wherein the first modulation pulsing frequency and the second modulation pulsing frequency are between about 0.1 Hz and about 100,000 Hz.
21. The method of claim 19, wherein the first RF power level and the second RF power level are between about 0 Watts and about 5000 Watts.
22. The method of claim 19, wherein the ratio of the first RF power level to the second RF power level or the second RF power level to the first RF power level is between about 1:1 and about 100:1.
23. The method of claim 19, wherein the first plasma controlling device is an inductive coil, an electrode, or a torroidal source.
24. The method of claim 19, wherein the second plasma controlling device is an inductive coil, an electrode or a torroidal source.
25. The method of claim 19, wherein the amplitude modulating of the RF power supplied to the second plasma controlling devices is less than the first plasma controlling device at a first time, and the RF power supplied to the first plasma controlling devices is less than the second plasma controlling device at a second time.
26. The method of claim 19, wherein the shape of the amplitude modulated RF power is rectangular in shape, trapezoidal in shape, triangular in shape or sinusoidal in shape.
27. The method of claim 19, further comprising:
amplitude modulating the RF power delivered to a third plasma controlling device at a third modulation pulsing frequency and at a third power level;
synchronizing the amplitude modulating of the RF power to the first, second and third plasma controlling devices; and
controlling the amplitude modulation of the RF power such that the overlap of the amplitude modulated RF power delivered to the first, second and third plasma controlling devices is controlled to improve the uniformity of the process completed on the substrate.
28. A method of processing a substrate in a plasma chamber, comprising:
generating a first torroidal path of plasma that passes near and transverse a surface of the substrate using a first torroidal plasma controlling device;
generating a second torroidal path of plasma that passes near and transverse a surface of the substrate using a second torroidal plasma controlling device, wherein the first torroidal path is not coincident to the second torroidal path; and
varying the plasma density in the vicinity of the substrate by amplitude modulating the first torroidal path of plasma at a first modulation pulsing frequency and a first RF power and modulation pulsing the second torroidal path of plasma at a second modulation pulsing frequency and a second RF power as a function of time.
29. The method of claim 28, wherein the first modulation pulsing frequency and the second modulation pulsing frequency are between about 0.1 Hz and about 100,000 Hz.
30. The method of claim 28, wherein the first RF power level and the second RF power level are between about 0 Watts and about 5000 Watts.
31. The method of claim 28, wherein the ratio of the first RF power to the second RF power level is between about 1:1 and about 100:1.
32. A method of processing a substrate in a plasma chamber, comprising:
generating a plasma over a surface of a substrate using a first plasma controlling device;
generating a plasma over a surface of the substrate using a second plasma controlling device, wherein the first plasma controlling device generates a plasma in a first region near the substrate and the second plasma controlling device generates a plasma in a second region near the substrate and the first and second regions overlap; and
varying the plasma density generated in the first region, in the second region, and a region between the first and second region by amplitude modulating the RF power delivered to the first plasma controlling device and the second plasma controlling device.
33. The method of claim 32, wherein the first modulation pulse frequency and the second modulation pulse frequency are between about 0.1 Hz and about 100,000 Hz.
34. The method of claim 32, wherein the first RF power level and the second RF power level are between about 0 Watts and about 5000 Watts.
35. The method of claim 32, wherein the ratio of the first RF power to the second RF power ev is between about 1:1 and about 100:1.
36. The method of claim 32, wherein the first plasma controlling device is a first inductive coil and the second plasma controlling device is a second inductive coil.
37. The method of claim 32, wherein the first plasma controlling device is a first electrode and the second plasma controlling device is a second electrode.
38. The method of claim 32, wherein the first plasma controlling device is a first torroidal source and the second plasma controlling device is a second torroidal source.
39. A method of processing a substrate in a plasma chamber, comprising:
amplitude modulating the RF power to a first plasma controlling device at a first modulation pulse frequency and at a first power level;
amplitude modulating the RF power to a second plasma controlling device at a second modulation pulse frequency and at a second power level;
synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device; and
varying the first and second modulation pulse frequencies to adjust the plasma density in a plasma chamber to compensate for a non-uniform area on a substrate surface.
40. A method of processing a substrate in a plasma chamber, comprising:
amplitude modulating the RF power to a first plasma controlling device at a first modulation pulse frequency and at a first power level;
amplitude modulating the RF power to a second plasma controlling device at a second modulation pulse frequency and at a second power level;
synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device; and
controlling the shape of the amplitude modulated RF power to the first and second plasma controlling devices, wherein the shape of the amplitude modulated RF power is rectangular, trapezoidal, triangular or sinusoidal.
41. A method of processing a substrate in a plasma chamber, comprising:
amplitude modulating the RF power to a first plasma controlling device at a first modulation pulse frequency and at a first power level;
amplitude modulating the RF power to a second plasma controlling device at a second modulation pulse frequency and at a second power level;
synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device,
controlling the shape of the amplitude modulated RF power to the first and second plasma controlling devices; and
controlling the overlap and/or gap between the amplitude modulated RF power to the first plasma controlling device and the second plasma controlling device.
42. A method of processing a substrate in a plasma chamber, comprising:
amplitude modulating the RF power to a first plasma controlling device at a first modulation pulse frequency and at a first power level;
amplitude modulating the RF power to a second plasma controlling device at a second modulation pulse frequency and at a second power level;
synchronizing the amplitude modulation of the RF power to the first plasma controlling device and the second plasma controlling device,
controlling the amplitude modulation of the RF power to the first plasma controlling device and amplitude modulation of the RF power to the second plasma controlling device such that the power, modulation pulse frequency, modulation pulse duration, rest time between modulation pulses, and overlap of the modulation pulse to the first and/or second plasma controlling devices can be varied as a function of time.
US11/060,980 2004-04-30 2005-02-18 Alternating asymmetrical plasma generation in a process chamber Abandoned US20050241762A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/060,980 US20050241762A1 (en) 2004-04-30 2005-02-18 Alternating asymmetrical plasma generation in a process chamber
KR1020050036602A KR20060092790A (en) 2004-04-30 2005-04-30 Alternating asymmetrical plasma generation in a process chamber
TW094117012A TWI339546B (en) 2004-04-30 2005-05-25 Plasma chamber and method of processing substrate in the same
US11/766,067 US20080023443A1 (en) 2004-04-30 2007-06-20 Alternating asymmetrical plasma generation in a process chamber

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56671804P 2004-04-30 2004-04-30
US11/060,980 US20050241762A1 (en) 2004-04-30 2005-02-18 Alternating asymmetrical plasma generation in a process chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/766,067 Division US20080023443A1 (en) 2004-04-30 2007-06-20 Alternating asymmetrical plasma generation in a process chamber

Publications (1)

Publication Number Publication Date
US20050241762A1 true US20050241762A1 (en) 2005-11-03

Family

ID=35353132

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/060,980 Abandoned US20050241762A1 (en) 2004-04-30 2005-02-18 Alternating asymmetrical plasma generation in a process chamber
US11/766,067 Abandoned US20080023443A1 (en) 2004-04-30 2007-06-20 Alternating asymmetrical plasma generation in a process chamber

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/766,067 Abandoned US20080023443A1 (en) 2004-04-30 2007-06-20 Alternating asymmetrical plasma generation in a process chamber

Country Status (3)

Country Link
US (2) US20050241762A1 (en)
KR (1) KR20060092790A (en)
CN (1) CN100437931C (en)

Cited By (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060266735A1 (en) * 2003-08-15 2006-11-30 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US20070000611A1 (en) * 2003-10-28 2007-01-04 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20070006971A1 (en) * 2003-08-15 2007-01-11 Applied Materials, Inc. Plasma generation and control using a dual frequency rf source
US20070017897A1 (en) * 2004-08-09 2007-01-25 Applied Materials, Inc. Multi-frequency plasma enhanced process chamber having a toroidal plasma source
US20070084563A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070186855A1 (en) * 2006-02-15 2007-08-16 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070247075A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070254486A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US20070251642A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070251917A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20080023440A1 (en) * 2006-07-31 2008-01-31 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US20080145683A1 (en) * 2006-12-15 2008-06-19 Hon Hai Precision Industry Co., Ltd. Method for treating surface of polymer article
EP1973140A2 (en) * 2007-03-21 2008-09-24 Applied Materials, Inc. Plasma species and uniformity control through pulsed VHF operation
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US20090001890A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Apparatus for Plasma Processing a Substrate and a Method Thereof
US20090047795A1 (en) * 2007-08-17 2009-02-19 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and storage medium
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US20090142859A1 (en) * 2007-11-29 2009-06-04 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20090230089A1 (en) * 2008-03-13 2009-09-17 Kallol Bera Electrical control of plasma uniformity using external circuit
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20100140221A1 (en) * 2008-12-09 2010-06-10 Tokyo Electron Limited Plasma etching apparatus and plasma cleaning method
US20100154994A1 (en) * 2008-12-19 2010-06-24 Andreas Fischer Controlling ion energy distribution in plasma processing systems
US20100154821A1 (en) * 2008-12-18 2010-06-24 Tokyo Electron Limited Component cleaning method and storage medium
US20100179657A1 (en) * 2009-01-14 2010-07-15 Stout Medical Group, L.P. Expandable support device and method of use
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20100330299A1 (en) * 2009-06-24 2010-12-30 Lg Electronics Inc. Plasma deposition of a thin film
EP2407998A1 (en) * 2010-07-15 2012-01-18 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US8192806B1 (en) * 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
US20130220547A1 (en) * 2012-02-14 2013-08-29 Tokyo Electron Limited Substrate processing apparatus
US20140020831A1 (en) * 2012-07-17 2014-01-23 Hitachi High-Technologies Corporation Plasma processing apparatus
US20140263199A1 (en) * 2013-03-15 2014-09-18 Mks Instruments, Inc. Pulse Synchronization By Monitoring Power In Another Frequency Band
US20140305589A1 (en) * 2012-02-22 2014-10-16 Lam Research Corporation Soft pulsing
US20150076111A1 (en) * 2013-09-19 2015-03-19 Globalfoundries Inc. Feature etching using varying supply of power pulses
US9082594B2 (en) 2013-07-26 2015-07-14 Lam Research Corporation Etch rate modeling and use thereof for in-chamber and chamber-to-chamber matching
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9320127B2 (en) 2013-01-11 2016-04-19 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9455126B2 (en) 2009-11-19 2016-09-27 Lam Research Corporation Arrangement for plasma processing system control based on RF voltage
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US20160372299A1 (en) * 2014-03-11 2016-12-22 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9607810B2 (en) 2012-02-22 2017-03-28 Lam Research Corporation Impedance-based adjustment of power and frequency
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
EP3200220A1 (en) * 2006-10-06 2017-08-02 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US20180076063A1 (en) * 2016-09-13 2018-03-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20180090334A1 (en) * 2015-11-04 2018-03-29 Lam Research Corporation Methods and Systems for Advanced Ion Control for Etching Processes
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10191466B2 (en) 2015-01-28 2019-01-29 Lam Research Corporation Systems and methods for synchronizing execution of recipe sets
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US20190252153A1 (en) * 2018-02-14 2019-08-15 Research & Business Foundation Sungkyunkwan University Apparatus for generating plasma and apparatus for treating substrate having the same
TWI677263B (en) * 2014-04-23 2019-11-11 美商蘭姆研究公司 Soft pulsing
US20200312625A1 (en) * 2019-03-25 2020-10-01 Kokusai Electric Corporation Substrate processing apparatus
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US20210358715A1 (en) * 2020-05-14 2021-11-18 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20210358717A1 (en) * 2020-05-14 2021-11-18 Tokyo Electron Limited Plasma processing apparatus
US20220076928A1 (en) * 2020-09-09 2022-03-10 Tokyo Electron Limited Plasma processing apparatus and high-frequency power application method of plasma processing apparatus

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
KR101170597B1 (en) * 2006-05-10 2012-08-02 주성엔지니어링(주) Gap-fill method using amplitude modulated RF power and gap-fill apparatus for the same
EP1936656A1 (en) * 2006-12-21 2008-06-25 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Plasma generator and method for cleaning an object
EP2299922B1 (en) * 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
EP2297377B1 (en) * 2008-05-30 2017-12-27 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
WO2011123125A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US8382941B2 (en) 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
CN101770922B (en) * 2008-12-31 2011-08-03 财团法人工业技术研究院 Capacitance coupling type radiofrequency plasma source
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
TWI423736B (en) * 2010-02-12 2014-01-11 Advanced Micro Fab Equip Inc A plasma processing apparatus and a processing method thereof
CA2794895A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US8507855B2 (en) * 2011-07-28 2013-08-13 Applied Materials Israel, Ltd. Inductive modulation of focusing voltage in charged beam system
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
EP3034547B1 (en) * 2014-12-17 2019-10-09 SABIC Global Technologies B.V. A process for the preparation of a block copolymer comprising a first polyolefin block and a second polymer block
EP3034546B1 (en) * 2014-12-17 2019-10-16 SABIC Global Technologies B.V. A process for the preparation of a block copolymer comprising a first polyolefin block and a second polymer block
JP7210094B2 (en) 2017-11-16 2023-01-23 東京エレクトロン株式会社 Signal-modulated synchronous plasma processing system

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4935661A (en) * 1985-06-29 1990-06-19 Stc Plc Pulsed plasma apparatus and process
US5160397A (en) * 1989-04-27 1992-11-03 Fujitsu Limited and Fuji Electric Co., Ltd. Plasma process apparatus and plasma processing method
US5928528A (en) * 1996-09-03 1999-07-27 Matsushita Electric Industrial Co., Ltd. Plasma treatment method and plasma treatment system
US5935373A (en) * 1996-09-27 1999-08-10 Tokyo Electron Limited Plasma processing apparatus
US5985375A (en) * 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6309978B1 (en) * 1998-07-22 2001-10-30 Micron Technology, Inc. Beat frequency modulation for plasma generation
US20020041160A1 (en) * 2000-04-06 2002-04-11 Applied Materials, Inc. Method for controlling etch uniformity
US20020066537A1 (en) * 1996-11-20 2002-06-06 Satoshi Ogino Plasma reactor
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6422172B1 (en) * 1997-03-19 2002-07-23 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US20020125213A1 (en) * 2000-10-04 2002-09-12 Shunpei Yamazaki Dry etching apparatus, etching method, and method of forming a wiring
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US20030121886A1 (en) * 2000-05-12 2003-07-03 Strang Eric J. Method of adjusting the thickness of an electrode in a plasma processing system
US6634313B2 (en) * 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US6660659B1 (en) * 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US6675816B2 (en) * 2000-03-27 2004-01-13 Semiconductor Energy Laboratory Co., Ltd Plasma CVD apparatus and dry cleaning method of the same
US20040195216A1 (en) * 2001-08-29 2004-10-07 Strang Eric J. Apparatus and method for plasma processing
US20040221958A1 (en) * 2003-05-06 2004-11-11 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3122618B2 (en) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 Plasma processing equipment
US20010051438A1 (en) * 1997-06-25 2001-12-13 Samsung Electronics Process and apparatus for dry-etching a semiconductor layer
US6518874B2 (en) * 1998-06-17 2003-02-11 Micron Technology, Inc. Portable computer supporting paging functions
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
JP2003506888A (en) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド Inductively coupled annular plasma source apparatus and method for processing gases and materials
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP4024053B2 (en) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 High frequency plasma processing method and high frequency plasma processing apparatus
JP3776856B2 (en) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223B1 (en) * 1983-10-03 1991-04-09 Tegal Corp
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4935661A (en) * 1985-06-29 1990-06-19 Stc Plc Pulsed plasma apparatus and process
US5160397A (en) * 1989-04-27 1992-11-03 Fujitsu Limited and Fuji Electric Co., Ltd. Plasma process apparatus and plasma processing method
US5310452A (en) * 1989-04-27 1994-05-10 Fujitsu Limited Plasma process apparatus and plasma processing method
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5928528A (en) * 1996-09-03 1999-07-27 Matsushita Electric Industrial Co., Ltd. Plasma treatment method and plasma treatment system
US5935373A (en) * 1996-09-27 1999-08-10 Tokyo Electron Limited Plasma processing apparatus
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US20020066537A1 (en) * 1996-11-20 2002-06-06 Satoshi Ogino Plasma reactor
US6422172B1 (en) * 1997-03-19 2002-07-23 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6309978B1 (en) * 1998-07-22 2001-10-30 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6312556B1 (en) * 1998-07-22 2001-11-06 Micron Technology, Inc. Beat frequency modulation for plasma generation
US5985375A (en) * 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6675816B2 (en) * 2000-03-27 2004-01-13 Semiconductor Energy Laboratory Co., Ltd Plasma CVD apparatus and dry cleaning method of the same
US20020041160A1 (en) * 2000-04-06 2002-04-11 Applied Materials, Inc. Method for controlling etch uniformity
US20030121886A1 (en) * 2000-05-12 2003-07-03 Strang Eric J. Method of adjusting the thickness of an electrode in a plasma processing system
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US20020125213A1 (en) * 2000-10-04 2002-09-12 Shunpei Yamazaki Dry etching apparatus, etching method, and method of forming a wiring
US6634313B2 (en) * 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US20040195216A1 (en) * 2001-08-29 2004-10-07 Strang Eric J. Apparatus and method for plasma processing
US6660659B1 (en) * 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US20040221958A1 (en) * 2003-05-06 2004-11-11 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor

Cited By (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510665B2 (en) 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US20070006971A1 (en) * 2003-08-15 2007-01-11 Applied Materials, Inc. Plasma generation and control using a dual frequency rf source
US20060266735A1 (en) * 2003-08-15 2006-11-30 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US20070000611A1 (en) * 2003-10-28 2007-01-04 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20070017897A1 (en) * 2004-08-09 2007-01-25 Applied Materials, Inc. Multi-frequency plasma enhanced process chamber having a toroidal plasma source
US7695633B2 (en) 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7695983B2 (en) 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070084563A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070186855A1 (en) * 2006-02-15 2007-08-16 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
EP1993745A4 (en) * 2006-02-15 2010-03-17 Lam Res Corp Plasma processing reactor with multiple capacitive and inductive power sources
EP1993745A2 (en) * 2006-02-15 2008-11-26 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070247075A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070251917A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20070251642A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7541292B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US20070254486A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US20080023440A1 (en) * 2006-07-31 2008-01-31 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
EP3200220A1 (en) * 2006-10-06 2017-08-02 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US10861678B2 (en) 2006-10-06 2020-12-08 Tokyo Electron Limited Plasma etching apparatus and method
US20080145683A1 (en) * 2006-12-15 2008-06-19 Hon Hai Precision Industry Co., Ltd. Method for treating surface of polymer article
EP1973140A3 (en) * 2007-03-21 2010-07-21 Applied Materials, Inc. Plasma species and uniformity control through pulsed VHF operation
EP1973140A2 (en) * 2007-03-21 2008-09-24 Applied Materials, Inc. Plasma species and uniformity control through pulsed VHF operation
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
EP2012342A2 (en) * 2007-06-26 2009-01-07 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
EP2012342A3 (en) * 2007-06-26 2010-09-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US20090001890A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Apparatus for Plasma Processing a Substrate and a Method Thereof
US20090000946A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma processing with enhanced charge neutralization and process control
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US8926850B2 (en) 2007-06-29 2015-01-06 Varian Semiconductor Equipment Associates, Inc. Plasma processing with enhanced charge neutralization and process control
WO2009005991A1 (en) * 2007-06-29 2009-01-08 Varian Semiconductor Equipment Associates, Inc. Plasma processing with enhanced charge neutralization and process control
US20090047795A1 (en) * 2007-08-17 2009-02-19 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and storage medium
US8703002B2 (en) 2007-08-17 2014-04-22 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and storage medium
EP2026374A3 (en) * 2007-08-17 2010-08-25 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and storage medium
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US7736914B2 (en) 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US20090142859A1 (en) * 2007-11-29 2009-06-04 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US8192806B1 (en) * 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
US20090230089A1 (en) * 2008-03-13 2009-09-17 Kallol Bera Electrical control of plasma uniformity using external circuit
US8741095B2 (en) * 2008-03-31 2014-06-03 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US9659756B2 (en) 2008-12-09 2017-05-23 Tokyo Electron Limited Plasma etching apparatus and plasma cleaning method
US20100140221A1 (en) * 2008-12-09 2010-06-10 Tokyo Electron Limited Plasma etching apparatus and plasma cleaning method
US20100154821A1 (en) * 2008-12-18 2010-06-24 Tokyo Electron Limited Component cleaning method and storage medium
US8236109B2 (en) * 2008-12-18 2012-08-07 Tokyo Electron Limited Component cleaning method and storage medium
KR101204175B1 (en) * 2008-12-18 2012-11-22 도쿄엘렉트론가부시키가이샤 Component cleaning method and storage medium
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US20100154994A1 (en) * 2008-12-19 2010-06-24 Andreas Fischer Controlling ion energy distribution in plasma processing systems
US20100179657A1 (en) * 2009-01-14 2010-07-15 Stout Medical Group, L.P. Expandable support device and method of use
US8414985B2 (en) * 2009-06-24 2013-04-09 Lg Electronics, Inc. Plasma deposition of a thin film
US20100330299A1 (en) * 2009-06-24 2010-12-30 Lg Electronics Inc. Plasma deposition of a thin film
US9455126B2 (en) 2009-11-19 2016-09-27 Lam Research Corporation Arrangement for plasma processing system control based on RF voltage
JP2013539156A (en) * 2010-07-15 2013-10-17 エコール ポリテクニック Plasma treatment method in capacitively coupled reactor with trapezoidal waveform excitation
US8968838B2 (en) 2010-07-15 2015-03-03 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
EP2407998A1 (en) * 2010-07-15 2012-01-18 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
KR101868034B1 (en) * 2010-07-15 2018-07-19 에꼴레 폴리테크닉 Plasma processing in a capacitively-coupled reactor with trapezoidal waveform excitation
WO2012007483A1 (en) * 2010-07-15 2012-01-19 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
KR20130054349A (en) * 2010-07-15 2013-05-24 쌍뜨르 나시오날 드 라 르셰르쉬 시앙띠피끄 Plasma processing in a capacitively-coupled reactor with trapezoidal waveform excitation
US9390943B2 (en) * 2012-02-14 2016-07-12 Tokyo Electron Limited Substrate processing apparatus
US20130220547A1 (en) * 2012-02-14 2013-08-29 Tokyo Electron Limited Substrate processing apparatus
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9607810B2 (en) 2012-02-22 2017-03-28 Lam Research Corporation Impedance-based adjustment of power and frequency
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US20140305589A1 (en) * 2012-02-22 2014-10-16 Lam Research Corporation Soft pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US20140020831A1 (en) * 2012-07-17 2014-01-23 Hitachi High-Technologies Corporation Plasma processing apparatus
US10522331B2 (en) 2012-07-17 2019-12-31 Hitachi High-Technologies Corporation Plasma processing apparatus
US9514967B2 (en) * 2012-07-17 2016-12-06 Hitachi High-Technologies Corporation Plasma processing apparatus
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9320127B2 (en) 2013-01-11 2016-04-19 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US10821542B2 (en) * 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US20140263199A1 (en) * 2013-03-15 2014-09-18 Mks Instruments, Inc. Pulse Synchronization By Monitoring Power In Another Frequency Band
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9082594B2 (en) 2013-07-26 2015-07-14 Lam Research Corporation Etch rate modeling and use thereof for in-chamber and chamber-to-chamber matching
US20150076111A1 (en) * 2013-09-19 2015-03-19 Globalfoundries Inc. Feature etching using varying supply of power pulses
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US20160372299A1 (en) * 2014-03-11 2016-12-22 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10886097B2 (en) * 2014-03-11 2021-01-05 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
TWI677263B (en) * 2014-04-23 2019-11-11 美商蘭姆研究公司 Soft pulsing
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10191466B2 (en) 2015-01-28 2019-01-29 Lam Research Corporation Systems and methods for synchronizing execution of recipe sets
CN109616413A (en) * 2015-11-04 2019-04-12 朗姆研究公司 For carrying out the method and system of advanced ionic control to etch process
US20180090334A1 (en) * 2015-11-04 2018-03-29 Lam Research Corporation Methods and Systems for Advanced Ion Control for Etching Processes
US10943789B2 (en) * 2015-11-04 2021-03-09 Lam Research Corporation Methods and systems for advanced ion control for etching processes
US20180076063A1 (en) * 2016-09-13 2018-03-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US11384431B2 (en) * 2016-09-13 2022-07-12 Kokusai Electric Corporation Substrate processing apparatus
US10784082B2 (en) * 2018-02-14 2020-09-22 Research & Business Foundation Sungkyunkwan University Apparatus for generating plasma and apparatus for treating substrate having the same
US20190252153A1 (en) * 2018-02-14 2019-08-15 Research & Business Foundation Sungkyunkwan University Apparatus for generating plasma and apparatus for treating substrate having the same
US20200312625A1 (en) * 2019-03-25 2020-10-01 Kokusai Electric Corporation Substrate processing apparatus
US20210358715A1 (en) * 2020-05-14 2021-11-18 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20210358717A1 (en) * 2020-05-14 2021-11-18 Tokyo Electron Limited Plasma processing apparatus
US20220076928A1 (en) * 2020-09-09 2022-03-10 Tokyo Electron Limited Plasma processing apparatus and high-frequency power application method of plasma processing apparatus

Also Published As

Publication number Publication date
KR20060092790A (en) 2006-08-23
CN100437931C (en) 2008-11-26
US20080023443A1 (en) 2008-01-31
CN1694228A (en) 2005-11-09

Similar Documents

Publication Publication Date Title
US20050241762A1 (en) Alternating asymmetrical plasma generation in a process chamber
US11842885B2 (en) Plasma processing apparatus and plasma processing method
US10090162B2 (en) Plasma processing method and plasma processing device
US6589437B1 (en) Active species control with time-modulated plasma
US10090160B2 (en) Dry etching apparatus and method
KR100255703B1 (en) Device of plasma using electromagnetic rf
US8974684B2 (en) Synchronous embedded radio frequency pulsing for plasma etching
JP4236294B2 (en) Electromagnetically coupled RF plasma reactor with solenoid antenna on top
US20070020937A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2625072B2 (en) Plasma reactor using electromagnetic RF coupling and method thereof
US9277637B2 (en) Apparatus for plasma treatment and method for plasma treatment
EP0840365A2 (en) High pressure plasma oxide etch process
JPH02209484A (en) Cavity resonance type plasma treating device
JP2001185542A (en) Plasma processor and plasma processing method using the same
US10354841B2 (en) Plasma generation and control using a DC ring
US20070017897A1 (en) Multi-frequency plasma enhanced process chamber having a toroidal plasma source
JP2000031121A (en) Plasma discharger and plasma treating device
US20210407767A1 (en) Plasma processing apparatus and plasma processing method
US20230187214A1 (en) Remote source pulsing with advanced pulse control

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PATERSON, ALEXANDER;PAVEL, ELIZABETH G.;TODOROW, VALENTIN N.;AND OTHERS;REEL/FRAME:016310/0937;SIGNING DATES FROM 20050207 TO 20050214

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION