US20050247955A1 - Implant-controlled-channel vertical JFET - Google Patents

Implant-controlled-channel vertical JFET Download PDF

Info

Publication number
US20050247955A1
US20050247955A1 US11/127,991 US12799105A US2005247955A1 US 20050247955 A1 US20050247955 A1 US 20050247955A1 US 12799105 A US12799105 A US 12799105A US 2005247955 A1 US2005247955 A1 US 2005247955A1
Authority
US
United States
Prior art keywords
layer
silicon
semiconductor
forming
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/127,991
Inventor
Gregory Howard
Leland Swanson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/127,991 priority Critical patent/US20050247955A1/en
Publication of US20050247955A1 publication Critical patent/US20050247955A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • H01L29/66901Unipolar field-effect transistors with a PN junction gate, i.e. JFET with a PN homojunction gate
    • H01L29/66909Vertical transistors, e.g. tecnetrons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1058Channel region of field-effect devices of field-effect transistors with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • H01L29/8083Vertical transistors

Definitions

  • This invention relates to semiconductor devices and particularly to an improved junction field effect transistor (JFET).
  • JFET junction field effect transistor
  • a conventional JFET is a three-terminal semiconductor device in which a current flowing substantially parallel to the top surface of the semiconductor chip is controlled by an externally applied vertical electric field, as shown in FIGS. 1 a, 1 b, and 1 c. It can be used as a switch or an amplifier. JFET is known as the unipolar transistor because the current is transported by carriers of one polarity, namely, the majority carriers. This is in contrast with the bipolar junction transistor, in which both majority-and-minority-carrier currents are important.
  • FIG. 1 A typical n-channel JFET fabricated by the standard planar process is shown in FIG. 1 .
  • FIG. 1 a depicts a JFET built in a semiconductor substrate in an epitaxial layer.
  • FIG. 1 b depicts a JFET fabricated by a double-diffused technique in a bulk semiconductor substrate.
  • FIG. 1 c is a schematic representation of both JFETs.
  • the active region of the JFET consists of a lightly doped n-type channel sandwiched between two heavily doped p + -gate regions.
  • the lower p + region is the substrate
  • the upper p + region is formed by boron diffusion into the epitaxially grown n-type channel.
  • the p + regions are connected either internally or externally to form the gate terminal.
  • Ohmic contacts attached to the two ends of the channel are known as the drain and source terminals through which the channel current flows.
  • the JFET may be fabricated by the double-diffused technique with a diffused channel and an upper gate as illustrated in FIG. 1 b. In both cases, the channel and the gate regions run substantially parallel the top surface of the substrate, so does the current flow in the channel.
  • the transistor When a JFET operates as a switch, without a gate bias voltage, the transistor has a conducting channel between the source and the drain terminals. This is the ON state. To reach the OFF state, a reverse-biasing gate voltage is applied to deplete all carriers in the channel.
  • the reverse voltage bias applied across the gate/channel junctions depletes free carriers from the channel and produces space-charge regions extending into the channel.
  • a gate voltage set between ON and OFF levels the cross-sectional area of the channel and the channel resistance can be varied.
  • the current flow between the source and the drain is modulated by the gate voltage.
  • f co cutoff frequency
  • Another important figure of merit of a JFET is the noise figure. At lower frequencies the dominant noise source in a transistor is due to the interaction of the current flow and the surface region that gives rise to the 1/f noise spectrum.
  • This invention provides a JFET device that has superior f co and 1/f performance over conventional JFETs and a process of making the device.
  • FIG. 1 a is a partial sectional depiction of a semiconductor substrate with a JFET device built in it.
  • FIG. 1 b is a partial sectional depiction of a semiconductor substrate with another JFET device built in it.
  • FIG. 1 c is a schematical representation of a JFET.
  • FIG. 2 is a partial sectional depiction of a semiconductor substrate with a JFET embodying the invention built in it.
  • FIG. 3 is a cross-sectional depiction of a partially completed JFET 10 embodying this invention.
  • FIG. 4 is a cross-sectional depiction of a further partially completed JFET 10 embodying this invention.
  • FIG. 5 is a cross-sectional depiction of a further partially completed JFET 10 embodying this invention.
  • FIG. 6 is a cross-sectional depiction of a further partially completed JFET 10 embodying this invention.
  • FIG. 7 is a cross-sectional depiction of a further partially completed JFET 10 embodying this invention.
  • an n-channel JFET 10 is shown as a three-terminal device, fabricated near the surface of a semiconductor substrate surface.
  • the semiconductor material in the preferred embodiment is silicon.
  • a JFET embodying this invention can also be fabricated in other semiconductor materials such as germanium, germanium-silicon, gallium arsenide or other compound material.
  • FIG. 2 depicts a JFET built in a bulk silicon substrate.
  • a JFET embodying this invention can also be fabricated in a substrate of semiconductor-on-insulator such as SIMOX, silicon-on-sapphire, or in bonded wafer.
  • FIG. 2 depicts an n-channel JFET.
  • a JFET embodying this invention can also be implemented as a p-channel JFET.
  • a JFET may also be one device in an integrated circuit that includes CMOS and Bipolar circuit elements, and passive circuit components.
  • the substrate 110 may be either n-type or p-type. In a typical integrated circuit fabricated by a BiCMOS process, the substrate 110 would be a lightly doped, p-type crystalline silicon material. Over a portion of the substrate 110 is an n-type layer 150 of low resistivity that constitutes the drain portion of the JFET. In a BiCMOS structure, a region commonly referred to as “a buried layer” fits this requirement.
  • layer 200 Over a portion of the buried layer 150 is layer 200 .
  • Layer 200 includes several regions of different materials. Among them, region 220 includes primarily dielectric material. In this embodiment, this material is silicon dioxide, fabricated with a STI technique. Region 220 may also be built with a LOCOS technique or other techniques well known in the art.
  • Element 210 of layer 200 is substantially p-type mono-crystalline silicon. It may be formed by an epitaxial technique.
  • Elements 320 are gate regions of the JFET, located above layer 200 . They are polycrystalline silicon, heavily doped with p-type dopant. A portion of the p-type dopant diffuses into the adjacent lightly doped p-region 310 , which is mono-crystalline. The combination of elements 310 and 210 makes up a mono-crystalline region that contains the channel region 350 of the JFET.
  • the channel may be created by implanting n-type ions perpendicular to the substrate surface.
  • the dopant concentration in the channel region is usually not uniform. In fact, it is advantageous to be able to tailor the doping profile, for example, so that the dopant concentration in the channel region near the surface of the substrate is lower than the dopant concentration distant from the surface of the substrate.
  • This dopant profile places the pinch-off region closer to the top of layer 310 and uses the shallow portion of the implanted ions to set the pinch-off voltage of the JFET.
  • Such a profile may be accomplished with a multiple-implant process.
  • the multiple implants may be of various dosages and implant energies. In this embodiment, we employ a three-implant process—one at 220 keV, one at 340 keV and one at 500 keV.
  • the source region 450 in this embodiment is poly-crystalline. It makes contact to the channel region 350 through an opening 415 etched out through an insulating element that comprises a silicon dioxide element 410 and a silicon nitride element 420 .
  • an insulating element that comprises a silicon dioxide element 410 and a silicon nitride element 420 .
  • there is an absence of native oxide between the source region 450 and the channel region 350 so the source region contacts the channel region and the silicon immediately above the channel region may retain the mono-crystalline structure within a short range.
  • minute oxide may exist in the vicinity of the opening 450 as result of chemical processes such as a wet chemical cleanup process.
  • the source region 450 is heavily doped with phosphorus, arsenic, or other n-type dopants and it partially overhangs the gate regions 320 and is insulated from the gate region 320 by silicon dioxide elements 410 , silicon nitride elements 420 , oxide elements 460 and nitride elements 470 .
  • FIGS. 3 to 7 depict the channel portion of a JFET embodying this invention through a fabrication process.
  • the complete fabrication of a functional JFET, in the context of an integrated circuit, involves many well-known processes in addition to those illustrated in the drawings. These well-known processes include creating a drain contact to the buried layer, a source contact to the source region, and a gate contact to the gate region, and wiring the contacts with metallic elements to connect the JFET to the other circuit elements of the integrated circuit.
  • FIG. 3 depicts a cross-sectional view of a partially completed JFET 10 embodying this invention.
  • Element 110 is a semiconductor substrate.
  • the semiconductor material is silicon.
  • Other semiconductor materials suitable to implement this invention include germanium, silicon-germanium, silicon carbide, and gallium arsenide.
  • the silicon substrate is a bulk substrate.
  • Other type of substrate suitable to implement this invention includes silicon on insulator (SOI).
  • Substrate 110 may be doped with a p-type or n-type dopants.
  • the dopant concentration may vary from light to heavy as understood by a person with reasonable skill in the art of semiconductor processing.
  • Element 150 is a heavily doped semiconductor layer partially covering the substrate 110 .
  • layer 150 is formed by an arsenic or phosphorus implant step followed by a anneal step. In the art of semiconductor processing, this heavily doped region is referred to as “a buried layer”.
  • Layer 200 sits on top of the buried layer.
  • layer 200 is an epitaxial, lightly doped, p-type mono-crystalline-silicon layer.
  • the thickness of this epi-layer may be between 2000 ⁇ and 7000 ⁇ , preferably about 5000 ⁇ .
  • Layer 200 may be doped in-situ. It may also be doped with a boron implant with a dose between 5 ⁇ 10 9 to 5 ⁇ 10 11 ions/cm 2 , to a dopant concentration of about 1 ⁇ 10 15 ions/cm 3 .
  • Layer 200 also includes regions of dielectric material to insulate the JFET electrically from the adjacent circuit elements.
  • the dielectric regions 220 are places in the layer 200 such that the JFET is formed in a mono-crystalline silicon island 210 .
  • the dielectric material is silicon dioxide and the technique with which the silicon dioxide regions are formed is referred to in the art as the shallow trench isolation (STI) technique.
  • STI shallow trench isolation
  • FIG. 4 depicts a cross-sectional view of a further partially completed JFET 10 .
  • a layer element 300 is another lightly doped, p-type, silicon-epi-layer.
  • the thickness of layer 300 may be between 1000 ⁇ and 3000 ⁇ , preferably 2000 ⁇ .
  • Layer 300 may be doped in-situ or it maybe doped with a boron implant with dose between 5 ⁇ 10 9 and 5 ⁇ 10 11 ions/cm 2 , preferably to a dopant concentration of about 1 ⁇ 10 15 ions/cm 3 .
  • the portion of epi-layer 300 that is in contact with element 210 is mono-crystalline while the portion that contacts element 220 is poly-crystalline.
  • FIG. 5 depicts a cross-sectional view of yet a further partially completed JFET 10 embodying this invention.
  • Features depicted in FIG. 5 include a region 350 enclosed in the region 210 , and a layer 400 that comprises a patterned photoresist layer 430 , a silicon nitride layer 420 , and a silicon dioxide layer 410 .
  • the nitride and oxide layers are depicted in FIG. 5 as after a portion, uncovered by the photoresist pattern 430 , has been removed by an etching technique well known in the art of semiconductor processing.
  • the etched portion includes a region 415 .
  • the JFET may also be fabricated by using a single oxide layer, or nitride layer, or oxynitride layer.
  • the region 350 is the n-channel region of the JFET, it maybe formed by implanting n-type ions into region 210 through the opening 415 .
  • the channel is formed with a three-step ion-implant process.
  • One implant is at 200 keV
  • another implant is at 340 keV
  • another implant is at 500 keV.
  • Dosages of phosphorus ions that may range from 2 ⁇ 10 9 to 4 ⁇ 10 11 ions/cm 2 per implant are used in the 3-step implant—with the higher energy implants typically associate with higher doses.
  • Other n-type ion species and implant dosages and energies may also be used to tailor the channel doping profile to suit specific circuit requirement.
  • FIG. 6 depicts a cross-sectional view of yet a further partially completed JFET 10 embodying this invention.
  • Features depicted in FIG. 6 include a layer element 500 .
  • the layer 500 is polysilicon, with a thickness between 1 k ⁇ and 3 k ⁇ .
  • the crystal may follow the structure of the channel region and remains mono-crystalline.
  • FIG. 6 also depicts a photoresist pattern 510 . This pattern defines the source electrode area and the gate electrode area, as will be further illustrated in FIG. 7 .
  • FIG. 7 depicts a cross-sectional view of yet a further partially completed JFET 10 embodying this invention.
  • Features depicted in FIG. 7 include a source element 450 , a gate element 320 , and sidewall elements 460 and 470 .
  • the source element 450 and the gate element 320 are formed with a poly etch process well known in the art of semiconductor processing.
  • the etching action removes the portion of layer 500 that is not protected by the photoresist pattern 510 and the portion of layer 300 that is not protected by oxide element 410 and nitride element 420 .
  • Element 470 and element 460 are referred in the art of semiconductor processing as the sidewalls. They are formed by a technique combining a film deposition and a film etching.
  • the etching action not only removes the newly deposited film but also a portion of the oxide element 410 and nitride element 420 that is not covered by the source element 450 or the sidewall elements 460 and 460 .
  • the silicon surfaces of the source element 450 and the gate element 320 are uncovered.
  • FIG. 7 also depicts the source and gate implant processes.
  • the gate-implant species is boron
  • the dose is 3 ⁇ 10 15 ions/cm 2
  • the implant energy is 20 keV.
  • the source implant species is arsenic
  • the dose is 1.5 ⁇ 10 15 ions/cm 2
  • the implant energy is 50 keV.
  • Other implant species, dosages and energies maybe used to effect low resistivity in the source and gate-poly-regions.
  • the JFET embodying this invention has a “vertical” channel.
  • the “vertical” channel in the present invention channels the flow of the charge carriers in a direction substantially perpendicular to the “surface” of the semiconductor surface.
  • the interaction between the charge carrier and the surface imperfection is substantially reduced, which enables the JFETs embodying this invention to have superior cutoff frequency (f co ) and 1/f noise figure.

Abstract

We disclose the structure of an electronic device, the method of making the device and the operation of the device. The device is built near the top of a substrate. It has, near the top surface, a buried layer that is electrically communicable to a drain terminal. The device has a body region over the buried layer. A portion of the body region contacts a gate region connected to a gate terminal. The device has a channel region, of which the length spans the distance between the buried layer and a source region, which projects upward from the channel region and is connected to a source terminal. The device current flows in the channel substantially perpendicularly to the top surface of the substrate.

Description

    BACKGROUND OF THE INVENTION
  • This invention relates to semiconductor devices and particularly to an improved junction field effect transistor (JFET).
  • A conventional JFET is a three-terminal semiconductor device in which a current flowing substantially parallel to the top surface of the semiconductor chip is controlled by an externally applied vertical electric field, as shown in FIGS. 1 a, 1 b, and 1 c. It can be used as a switch or an amplifier. JFET is known as the unipolar transistor because the current is transported by carriers of one polarity, namely, the majority carriers. This is in contrast with the bipolar junction transistor, in which both majority-and-minority-carrier currents are important.
  • A typical n-channel JFET fabricated by the standard planar process is shown in FIG. 1. FIG. 1 a depicts a JFET built in a semiconductor substrate in an epitaxial layer. FIG. 1 b depicts a JFET fabricated by a double-diffused technique in a bulk semiconductor substrate. FIG. 1 c is a schematic representation of both JFETs.
  • The active region of the JFET consists of a lightly doped n-type channel sandwiched between two heavily doped p+-gate regions. In FIG. 1 a, the lower p+ region is the substrate, and the upper p+ region is formed by boron diffusion into the epitaxially grown n-type channel. The p+ regions are connected either internally or externally to form the gate terminal. Ohmic contacts attached to the two ends of the channel are known as the drain and source terminals through which the channel current flows. Alternatively, the JFET may be fabricated by the double-diffused technique with a diffused channel and an upper gate as illustrated in FIG. 1 b. In both cases, the channel and the gate regions run substantially parallel the top surface of the substrate, so does the current flow in the channel.
  • When a JFET operates as a switch, without a gate bias voltage, the transistor has a conducting channel between the source and the drain terminals. This is the ON state. To reach the OFF state, a reverse-biasing gate voltage is applied to deplete all carriers in the channel.
  • The reverse voltage bias applied across the gate/channel junctions depletes free carriers from the channel and produces space-charge regions extending into the channel. With a gate voltage set between ON and OFF levels, the cross-sectional area of the channel and the channel resistance can be varied. Thus the current flow between the source and the drain is modulated by the gate voltage.
  • An important figure of merit of a JFET is its cutoff frequency (fco), which can be represented mathematically as follows:
    f co ≦q a 2μn N d/(4π o L 2),
    where q is the electric charge of the charge carriers, a is the channel width, μn is the mobility of the charge carriers, Nd is the doping concentration in the channel, k and εo are the dielectric constant and the electrical permittivity of the semiconductor material and the free space respectively, and L is the channel length.
  • Another important figure of merit of a JFET is the noise figure. At lower frequencies the dominant noise source in a transistor is due to the interaction of the current flow and the surface region that gives rise to the 1/f noise spectrum.
  • This invention provides a JFET device that has superior fco and 1/f performance over conventional JFETs and a process of making the device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 a is a partial sectional depiction of a semiconductor substrate with a JFET device built in it.
  • FIG. 1 b is a partial sectional depiction of a semiconductor substrate with another JFET device built in it.
  • FIG. 1 c is a schematical representation of a JFET.
  • FIG. 2 is a partial sectional depiction of a semiconductor substrate with a JFET embodying the invention built in it.
  • FIG. 3 is a cross-sectional depiction of a partially completed JFET 10 embodying this invention.
  • FIG. 4 is a cross-sectional depiction of a further partially completed JFET 10 embodying this invention.
  • FIG. 5 is a cross-sectional depiction of a further partially completed JFET 10 embodying this invention.
  • FIG. 6 is a cross-sectional depiction of a further partially completed JFET 10 embodying this invention.
  • FIG. 7 is a cross-sectional depiction of a further partially completed JFET 10 embodying this invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • In FIG. 2, an n-channel JFET 10 is shown as a three-terminal device, fabricated near the surface of a semiconductor substrate surface. The semiconductor material in the preferred embodiment is silicon. A JFET embodying this invention can also be fabricated in other semiconductor materials such as germanium, germanium-silicon, gallium arsenide or other compound material. FIG. 2 depicts a JFET built in a bulk silicon substrate. A JFET embodying this invention can also be fabricated in a substrate of semiconductor-on-insulator such as SIMOX, silicon-on-sapphire, or in bonded wafer. FIG. 2 depicts an n-channel JFET. A JFET embodying this invention can also be implemented as a p-channel JFET. A JFET may also be one device in an integrated circuit that includes CMOS and Bipolar circuit elements, and passive circuit components.
  • The substrate 110 may be either n-type or p-type. In a typical integrated circuit fabricated by a BiCMOS process, the substrate 110 would be a lightly doped, p-type crystalline silicon material. Over a portion of the substrate 110 is an n-type layer 150 of low resistivity that constitutes the drain portion of the JFET. In a BiCMOS structure, a region commonly referred to as “a buried layer” fits this requirement.
  • Over a portion of the buried layer 150 is layer 200. Layer 200 includes several regions of different materials. Among them, region 220 includes primarily dielectric material. In this embodiment, this material is silicon dioxide, fabricated with a STI technique. Region 220 may also be built with a LOCOS technique or other techniques well known in the art. Element 210 of layer 200 is substantially p-type mono-crystalline silicon. It may be formed by an epitaxial technique.
  • Elements 320 are gate regions of the JFET, located above layer 200. They are polycrystalline silicon, heavily doped with p-type dopant. A portion of the p-type dopant diffuses into the adjacent lightly doped p-region 310, which is mono-crystalline. The combination of elements 310 and 210 makes up a mono-crystalline region that contains the channel region 350 of the JFET.
  • The channel may be created by implanting n-type ions perpendicular to the substrate surface. The dopant concentration in the channel region is usually not uniform. In fact, it is advantageous to be able to tailor the doping profile, for example, so that the dopant concentration in the channel region near the surface of the substrate is lower than the dopant concentration distant from the surface of the substrate. This dopant profile places the pinch-off region closer to the top of layer 310 and uses the shallow portion of the implanted ions to set the pinch-off voltage of the JFET. Such a profile may be accomplished with a multiple-implant process. The multiple implants may be of various dosages and implant energies. In this embodiment, we employ a three-implant process—one at 220 keV, one at 340 keV and one at 500 keV.
  • The source region 450 in this embodiment is poly-crystalline. It makes contact to the channel region 350 through an opening 415 etched out through an insulating element that comprises a silicon dioxide element 410 and a silicon nitride element 420. In the preferred embodiment, there is an absence of native oxide between the source region 450 and the channel region 350 so the source region contacts the channel region and the silicon immediately above the channel region may retain the mono-crystalline structure within a short range. In another embodiment, minute oxide may exist in the vicinity of the opening 450 as result of chemical processes such as a wet chemical cleanup process. The source region 450 is heavily doped with phosphorus, arsenic, or other n-type dopants and it partially overhangs the gate regions 320 and is insulated from the gate region 320 by silicon dioxide elements 410, silicon nitride elements 420, oxide elements 460 and nitride elements 470.
  • FIGS. 3 to 7 depict the channel portion of a JFET embodying this invention through a fabrication process. The complete fabrication of a functional JFET, in the context of an integrated circuit, involves many well-known processes in addition to those illustrated in the drawings. These well-known processes include creating a drain contact to the buried layer, a source contact to the source region, and a gate contact to the gate region, and wiring the contacts with metallic elements to connect the JFET to the other circuit elements of the integrated circuit.
  • FIG. 3 depicts a cross-sectional view of a partially completed JFET 10 embodying this invention. Element 110 is a semiconductor substrate. In this embodiment, the semiconductor material is silicon. Other semiconductor materials suitable to implement this invention include germanium, silicon-germanium, silicon carbide, and gallium arsenide. In this embodiment, the silicon substrate is a bulk substrate. Other type of substrate suitable to implement this invention includes silicon on insulator (SOI).
  • Substrate 110 may be doped with a p-type or n-type dopants. The dopant concentration may vary from light to heavy as understood by a person with reasonable skill in the art of semiconductor processing.
  • Element 150 is a heavily doped semiconductor layer partially covering the substrate 110. In this embodiment, layer 150 is formed by an arsenic or phosphorus implant step followed by a anneal step. In the art of semiconductor processing, this heavily doped region is referred to as “a buried layer”.
  • Layer 200 sits on top of the buried layer. In this embodiment, layer 200 is an epitaxial, lightly doped, p-type mono-crystalline-silicon layer. The thickness of this epi-layer may be between 2000 Å and 7000 Å, preferably about 5000 Å. Layer 200 may be doped in-situ. It may also be doped with a boron implant with a dose between 5×109 to 5×1011 ions/cm2, to a dopant concentration of about 1×1015 ions/cm3.
  • Layer 200 also includes regions of dielectric material to insulate the JFET electrically from the adjacent circuit elements. The dielectric regions 220 are places in the layer 200 such that the JFET is formed in a mono-crystalline silicon island 210. In this embodiment, the dielectric material is silicon dioxide and the technique with which the silicon dioxide regions are formed is referred to in the art as the shallow trench isolation (STI) technique.
  • FIG. 4 depicts a cross-sectional view of a further partially completed JFET 10. Features depicted in FIG. 4 include a layer element 300. In this embodiment, layer 300 is another lightly doped, p-type, silicon-epi-layer. The thickness of layer 300 may be between 1000 Å and 3000 Å, preferably 2000 Å. Layer 300 may be doped in-situ or it maybe doped with a boron implant with dose between 5×109 and 5×1011 ions/cm2, preferably to a dopant concentration of about 1×1015 ions/cm3.
  • The portion of epi-layer 300 that is in contact with element 210 is mono-crystalline while the portion that contacts element 220 is poly-crystalline.
  • FIG. 5 depicts a cross-sectional view of yet a further partially completed JFET 10 embodying this invention. Features depicted in FIG. 5 include a region 350 enclosed in the region 210, and a layer 400 that comprises a patterned photoresist layer 430, a silicon nitride layer 420, and a silicon dioxide layer 410. The nitride and oxide layers are depicted in FIG. 5 as after a portion, uncovered by the photoresist pattern 430, has been removed by an etching technique well known in the art of semiconductor processing. The etched portion includes a region 415. Instead of a silicon-nitride, silicon oxide layer combination in layer 400, the JFET may also be fabricated by using a single oxide layer, or nitride layer, or oxynitride layer.
  • The region 350 is the n-channel region of the JFET, it maybe formed by implanting n-type ions into region 210 through the opening 415. In this embodiment, the channel is formed with a three-step ion-implant process. One implant is at 200 keV, another implant is at 340 keV, and another implant is at 500 keV. Dosages of phosphorus ions that may range from 2×109 to 4×1011 ions/cm2 per implant are used in the 3-step implant—with the higher energy implants typically associate with higher doses. Other n-type ion species and implant dosages and energies may also be used to tailor the channel doping profile to suit specific circuit requirement.
  • FIG. 6 depicts a cross-sectional view of yet a further partially completed JFET 10 embodying this invention. Features depicted in FIG. 6 include a layer element 500. In this embodiment, the layer 500 is polysilicon, with a thickness between 1 kÅ and 3 kÅ. At the vicinity of opening 415, where layer 500 contacts channel 350, the crystal may follow the structure of the channel region and remains mono-crystalline.
  • FIG. 6 also depicts a photoresist pattern 510. This pattern defines the source electrode area and the gate electrode area, as will be further illustrated in FIG. 7.
  • FIG. 7 depicts a cross-sectional view of yet a further partially completed JFET 10 embodying this invention. Features depicted in FIG. 7 include a source element 450, a gate element 320, and sidewall elements 460 and 470.
  • In this embodiment, the source element 450 and the gate element 320 are formed with a poly etch process well known in the art of semiconductor processing. The etching action removes the portion of layer 500 that is not protected by the photoresist pattern 510 and the portion of layer 300 that is not protected by oxide element 410 and nitride element 420. Element 470 and element 460 are referred in the art of semiconductor processing as the sidewalls. They are formed by a technique combining a film deposition and a film etching. The etching action not only removes the newly deposited film but also a portion of the oxide element 410 and nitride element 420 that is not covered by the source element 450 or the sidewall elements 460 and 460. At the completion of the etching process, the silicon surfaces of the source element 450 and the gate element 320 are uncovered.
  • FIG. 7 also depicts the source and gate implant processes. In this embodiment, the gate-implant species is boron, the dose is 3×1015 ions/cm2, and the implant energy is 20 keV. The source implant species is arsenic, the dose is 1.5×1015 ions/cm2, and the implant energy is 50 keV. Other implant species, dosages and energies maybe used to effect low resistivity in the source and gate-poly-regions.
  • Contrary to conventional JFETs, as depicted in FIGS. 1 a, 1 b, and 1 c, which have their channel substantially parallel and proximate to the top surface of the semiconductor substrate, the JFET embodying this invention has a “vertical” channel.
  • It is well known in the art of semiconductor physics that the top surface of the semiconductor substrate is heavily populated with imperfections such as charge traps and surface states. The interaction between the charge carrier in the channel and the surface imperfections is partially responsible for the performance limitation of conventional semiconductor devices in which the current flows parallel to and near the surface.
  • In contrast, the “vertical” channel in the present invention channels the flow of the charge carriers in a direction substantially perpendicular to the “surface” of the semiconductor surface. Thus the interaction between the charge carrier and the surface imperfection is substantially reduced, which enables the JFETs embodying this invention to have superior cutoff frequency (fco) and 1/f noise figure.

Claims (11)

1-23. (canceled)
24. An method for making an electronic device, comprising
a. providing a semiconductor substrate of a first conductivity, having a top surface and a bottom surface;
b. forming a buried layer of a second conductivity near the top surface;
c. forming a first semiconductor-layer over the buried layer, doping the region with dopant of the first conductivity;
d. forming in the first layer insulation regions that isolate an island of the first-layer material, the insulation regions having substantially the same thickness as the first layer so the insulation regions reaches the buried layer;
e. forming a second semiconductor-layer of the first conductivity over the first semiconductor-layer and the insulation regions, portions of the second layer over the insulation regions being polycrystalline, portions of the second layer over the first layer being mono-crystalline;
f. forming a dielectric layer over the second layer;
g. implanting dopant of the second conductivity into the island of the first semiconductor layer to form a channel-region in the first and the second semiconductor-layer that reaches the buried layer;
h. forming a third semiconductor-layer of the second conductivity over the dielectric layer;
i. patterning and etching the third semiconductor-layer and the dielectric layer to form a gate structure and uncovering a portion of the second semiconductor-layer; and
j. implanting dopant of the first conductivity into the uncovered second semiconductor-regions to form a gate structure.
25. The method in claim 24, in which the semiconductor substrate is silicon.
26. The method in claim 24, in which the insulation regions comprise silicon dioxide formed with a STI technique.
27. The method in claim 24, in which the first semiconductor layer is about 0.5 micrometers thick and the second semiconductor layer is about 0.2 micrometers thick.
28. The method in claim 24, in which the dielectric layer comprises silicon dioxide and silicon nitride.
29. The method in claim 24, in which the implanting into the first semiconductor layer comprises three implant energies and three implant dosages.
30. The method in claim 24, in which a portion of the dopant implanted into the gate structure diffuses into the mono-crystalline portion of the second semiconductor layer.
31. The method in claim 24, in which the first conductivity is p-type.
32. The method in claim 24, in which the first conductivity is n-type.
33. A method for making an n-channel silicon JFET, comprising
a. providing a p-type silicon substrate, having a top surface and a bottom surface;
b. forming a buried layer of mono-crystalline silicon near the top surface, doped with a n-type dopant to a sheet resistance of about 25 ohms per square;
c. forming a 0.5 micrometers silicon mono-crystalline first layer over the buried layer, doping the region with p-type dopant to a concentration of about 1×1015 dopant ions per cubic centimeter;
d. forming in the first layer insulation regions that isolate islands of the first-layer material, the insulation regions having substantially the same thickness as the first layer so the insulation regions contact the buried layer;
e. forming a 0.2 micrometer silicon second layer over the first layer and the insulation regions, portions of the second layer over the insulation regions being polycrystalline silicon, portions of the second layer over the first layer being mono-crystalline silicon, doping the second layer with p-type dopant to a concentration of about 1×1015 dopant ions per cubic centimeter;
f. forming a dielectric layer of silicon dioxide and silicon nitride over the second layer;
g. patterning and etching the dielectric layer to form a opening region free of the dielectric material over the second layer;
h. implanting n-type dopant through the opening region to form a n-type channel-region that reaches the buried layer;
i. forming a third n-type silicon layer over the dielectric layer;
j. patterning and etching the third silicon layer and the dielectric layer to form a source structure and uncovering a portion of the second silicon layer; and
k. implanting p-type dopant into the uncovered second-silicon regions to form a gate structure.
US11/127,991 2003-07-08 2005-05-11 Implant-controlled-channel vertical JFET Abandoned US20050247955A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/127,991 US20050247955A1 (en) 2003-07-08 2005-05-11 Implant-controlled-channel vertical JFET

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/614,840 US6909125B2 (en) 2003-07-08 2003-07-08 Implant-controlled-channel vertical JFET
US11/127,991 US20050247955A1 (en) 2003-07-08 2005-05-11 Implant-controlled-channel vertical JFET

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/614,840 Division US6909125B2 (en) 2003-07-08 2003-07-08 Implant-controlled-channel vertical JFET

Publications (1)

Publication Number Publication Date
US20050247955A1 true US20050247955A1 (en) 2005-11-10

Family

ID=33564432

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/614,840 Expired - Lifetime US6909125B2 (en) 2003-07-08 2003-07-08 Implant-controlled-channel vertical JFET
US11/127,991 Abandoned US20050247955A1 (en) 2003-07-08 2005-05-11 Implant-controlled-channel vertical JFET

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/614,840 Expired - Lifetime US6909125B2 (en) 2003-07-08 2003-07-08 Implant-controlled-channel vertical JFET

Country Status (1)

Country Link
US (2) US6909125B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014314A1 (en) * 2003-07-16 2005-01-20 International Business Machines Corporation Ultra-thin channel device with raised source and drain and solid source extension doping
US20050194621A1 (en) * 2003-07-18 2005-09-08 Howard Gregory E. Double diffused vertical JFET
US20070275515A1 (en) * 2006-05-25 2007-11-29 Texas Instruments Incorporated Deep buried channel junction field effect transistor (DBCJFET)
US20080308816A1 (en) * 2007-06-18 2008-12-18 University Of Utah Transistors for replacing metal-oxide semiconductor field-effect transistors in nanoelectronics

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7164160B2 (en) * 2003-09-29 2007-01-16 Texas Instruments Incorporated Integrated circuit device with a vertical JFET
US7084441B2 (en) * 2004-05-20 2006-08-01 Cree, Inc. Semiconductor devices having a hybrid channel layer, current aperture transistors and methods of fabricating same
CN114678419A (en) * 2022-05-27 2022-06-28 深圳平创半导体有限公司 Semiconductor device and manufacturing method thereof, power switch device and power amplifier device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6049108A (en) * 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US6392271B1 (en) * 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6518112B2 (en) * 1998-01-05 2003-02-11 International Business Machines Corporation High performance, low power vertical integrated CMOS devices
US6825531B1 (en) * 2003-07-11 2004-11-30 Micrel, Incorporated Lateral DMOS transistor with a self-aligned drain region
US6861678B2 (en) * 2003-07-18 2005-03-01 Texas Instruments Incorporated Double diffused vertical JFET

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6049108A (en) * 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US6518112B2 (en) * 1998-01-05 2003-02-11 International Business Machines Corporation High performance, low power vertical integrated CMOS devices
US6392271B1 (en) * 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6825531B1 (en) * 2003-07-11 2004-11-30 Micrel, Incorporated Lateral DMOS transistor with a self-aligned drain region
US6861678B2 (en) * 2003-07-18 2005-03-01 Texas Instruments Incorporated Double diffused vertical JFET
US20050194621A1 (en) * 2003-07-18 2005-09-08 Howard Gregory E. Double diffused vertical JFET

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014314A1 (en) * 2003-07-16 2005-01-20 International Business Machines Corporation Ultra-thin channel device with raised source and drain and solid source extension doping
US7271446B2 (en) * 2003-07-16 2007-09-18 International Business Machines Corporation Ultra-thin channel device with raised source and drain and solid source extension doping
US20050194621A1 (en) * 2003-07-18 2005-09-08 Howard Gregory E. Double diffused vertical JFET
US20070275515A1 (en) * 2006-05-25 2007-11-29 Texas Instruments Incorporated Deep buried channel junction field effect transistor (DBCJFET)
US7348228B2 (en) 2006-05-25 2008-03-25 Texas Instruments Incorporated Deep buried channel junction field effect transistor (DBCJFET)
US20080308816A1 (en) * 2007-06-18 2008-12-18 University Of Utah Transistors for replacing metal-oxide semiconductor field-effect transistors in nanoelectronics
US7772056B2 (en) * 2007-06-18 2010-08-10 University Of Utah Research Foundation Transistors for replacing metal-oxide semiconductor field-effect transistors in nanoelectronics
US20100264425A1 (en) * 2007-06-18 2010-10-21 University Of Utah Research Foundation Transistors for replacing metal-oxide-semiconductor field-effect transistors in nanoelectronics
US8253168B2 (en) 2007-06-18 2012-08-28 University Of Utah Research Foundation Transistors for replacing metal-oxide-semiconductor field-effect transistors in nanoelectronics

Also Published As

Publication number Publication date
US6909125B2 (en) 2005-06-21
US20050006663A1 (en) 2005-01-13

Similar Documents

Publication Publication Date Title
US4700461A (en) Process for making junction field-effect transistors
US7598547B2 (en) Low noise vertical variable gate control voltage JFET device in a BiCMOS process and methods to build this device
US5340754A (en) Method for forming a transistor having a dynamic connection between a substrate and a channel region
JP3447927B2 (en) Semiconductor device and manufacturing method thereof
US5543637A (en) Silicon carbide semiconductor devices having buried silicon carbide conduction barrier layers therein
US7208386B2 (en) Drain extended MOS transistor with improved breakdown robustness
JP5378635B2 (en) Metal oxide semiconductor device formed in silicon-on-insulator
US6177299B1 (en) Transistor having substantially isolated body and method of making the same
US7589007B2 (en) MESFETs integrated with MOSFETs on common substrate and methods of forming the same
US20080036009A1 (en) JFET with built in back gate in either SOI or bulk silicon
US5970329A (en) Method of forming power semiconductor devices having insulated gate electrodes
US20050194621A1 (en) Double diffused vertical JFET
JPH1012887A (en) Transistor device and manufacture thereof
WO2007146734A2 (en) Self aligned gate jfet structure and method
US8963218B2 (en) Dual-gate VDMOS device
US20050247955A1 (en) Implant-controlled-channel vertical JFET
EP1402580A1 (en) Symmetric trench mosfet device and method of making same
US6281593B1 (en) SOI MOSFET body contact and method of fabrication
US9449968B2 (en) Method for manufacturing a semiconductor device and a semiconductor device
US6930357B2 (en) Active SOI structure with a body contact through an insulator
US20020014664A1 (en) Multi-function semiconductor structure and method
KR20030084997A (en) Soi devices with integrated gettering structure
US6420767B1 (en) Capacitively coupled DTMOS on SOI
US6359298B1 (en) Capacitively coupled DTMOS on SOI for multiple devices
WO2019128555A1 (en) Method for manufacturing semiconductor device and integrated semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION