US20050272237A1 - Dual damascene integration structure and method for forming improved dual damascene integration structure - Google Patents

Dual damascene integration structure and method for forming improved dual damascene integration structure Download PDF

Info

Publication number
US20050272237A1
US20050272237A1 US11/143,831 US14383105A US2005272237A1 US 20050272237 A1 US20050272237 A1 US 20050272237A1 US 14383105 A US14383105 A US 14383105A US 2005272237 A1 US2005272237 A1 US 2005272237A1
Authority
US
United States
Prior art keywords
hard
gcib
layer
dual damascene
porous layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/143,831
Inventor
John Hautala
Greg Book
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Epion Inc
Original Assignee
TEL Epion Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TEL Epion Inc filed Critical TEL Epion Inc
Priority to US11/143,831 priority Critical patent/US20050272237A1/en
Assigned to EPION CORPORATION reassignment EPION CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAUTALA, JOHN J.
Publication of US20050272237A1 publication Critical patent/US20050272237A1/en
Priority to US12/246,352 priority patent/US20090130861A1/en
Assigned to TEL EPION INC. reassignment TEL EPION INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: EPION CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Definitions

  • This invention relates generally to improved dual damascene integration structures for semiconductor integrated circuits utilizing low dielectric constant (low-k) dielectrics, and to methods of forming such structures using low-k dielectrics and the application of gas-cluster ion-beam processing.
  • low-k dielectric constant
  • FIGS. 2A-2F show schematics illustrating in-process structures (identified as 300 A- 300 F) resulting after each step in a conventional “via first” dual damascene ULK integration process.
  • the “via first” process begins with deposition of a sequence of insulator films over the previous metal wiring level (not shown in the figures.)
  • this insulator stack 300 A is comprised of etch stop film 302 , ULK dielectric layer 304 , first hard-mask layer 306 , second hard-mask layer 308 , antireflective coating 310 , and photoresist layer 312 .
  • the etch stop film 302 must have a reasonably low-k value and the ULK dielectric layer 304 must have a high etch rate ratio with respect to the etch stop film 302 . If the prior (underlying) metal wiring layer is copper, then this etch stop film 302 must also be a Cu diffusion barrier.
  • the most commonly used etch stop films for this application are Si 3 N 4 and SiCN.
  • the first hard-mask layer 306 is intended to remain as part of the dual damascene structure after processing to protect the fragile, porous ULK dielectric layer 304 from the effects of a subsequent chemical mechanical polishing (CMP) step.
  • the second hard-mask layer 308 is a material that provides oxidation resistance and enables photo rework through ash removal of the antireflective coating 310 and the photoresist layer 312 .
  • Typical materials used for first hard-mask layer 306 are SiCOH or SiC while SiO 2 and Si 3 N 4 are typically used for second hard-mask layer 308 .
  • the second hard-mask layer 308 is a sacrificial layer and is removed during subsequent CMP of the Cu and barrier materials.
  • the photoresist layer 312 is then imaged in the desired via pattern and then reactive ion etching (RIE) is used to transfer the pattern down through the antireflective coating 310 , hard-mask layers 306 and 308 and through the ULK dielectric layer 304 while stopping on the SiCN etch stop, thus forming via 324 .
  • RIE reactive ion etching
  • any remaining photoresist layer 312 and antireflective coating 310 are removed by plasma ashing with mixtures of oxygen and other gases to obtain structure 300 C.
  • Another prior art alternative is plasma ashing of the resist followed by solvent removal of the antireflective coating layers.
  • RIE polymer on the via sidewalls 314 is traditionally removed by wet cleans. It has been shown that both the oxygen plasma ashing and wet clean processes, which were traditionally used with SiO 2 dielectrics, can damage the porous ULK dielectric layer 304 materials and so are not optimal because they are detrimental to the process and can reduce the reliability and performance of the circuits thus formed.
  • antireflective coating and photoresist materials are next re-applied to the patterned wafer to obtain structure 300 D.
  • a bottom antireflective coating 316 which will fill the etched vias (via 324 , for example) and planarize the surface is applied first.
  • a third hard-mask layer 318 is then applied, which will allow photo rework, and this is followed by a standard antireflective coating 320 and photoresist layer 322 .
  • One prior art alternative to this scheme is to completely remove and then re-apply all anti-reflective coating and photoresist layers during each photo rework operation.
  • FIG. 2E shows the stack (structure 300 E) after imaging the photoresist layer 322 in a desired trench pattern and after transferring through RIE the pattern down through the third hard-mask layer 318 , bottom antireflective coating 316 , second hard-mask layer 308 , first hard-mask layer 306 and partially into the ULK dielectric layer 304 , thus forming a trench 326 .
  • the bottom antireflective coating 316 material should have a slightly faster RIE etch rate than the ULK dielectric layer 304 material or un-etched protrusions “fences” will remain around the via periphery.
  • RIE is used to remove any remaining photoresist layer 322 , antireflective coating 320 , third hard-mask layer 318 , bottom antireflective layer 316 , and to open the underlying etch stop film 302 , thus completing via 324 and obtaining structure 300 F.
  • oxygen RIE processes and any needed wet cleans have been shown to permeate and degrade the porous ULK dielectric layer 304 material, to the detriment of the process and to the reduced reliability and performance of the circuits thus formed.
  • FIGS. 3A-3G show schematics illustrating in-process structures 400 A- 400 G corresponding to stages in the prior art conventional “dual hard-mask” dual damascene ULK integration scheme, a second widely used formation process.
  • the “dual hard-mask” process begins with deposition of a sequence of insulator films over the previous metal wiring level. Similar to the “via first” scheme discussed above, this “dual hard-mask” insulator stack (structure 400 A) is also comprised of an etch stop film 402 , a ULK dielectric layer 404 , a first hard-mask layer 406 , second hard-mask layer 408 , an antireflective coating 410 , and a photoresist layer 412 .
  • the first hard-mask layer 406 in this integration scheme is intended to remain as part of the dual damascene structure after processing to protect the fragile, porous ULK dielectric layer 404 from the effects of subsequent CMP.
  • the second hard-mask layer 408 is a sacrificial layer and is removed during CMP of the Cu and barrier materials.
  • the photoresist layer 412 is then imaged in the desired trench pattern and RIE is used to transfer the pattern down through the antireflective coating 410 and second hard-mask layer 408 , stopping at first hard-mask layer 406 , thus forming trench pattern 414 in the second hard-mask layer 408 in structure 400 B.
  • the remaining photoresist layer 412 and antireflective coating 410 are either removed by plasma ashing with mixtures of oxygen and other gases or alternatively by using wet solvents.
  • antireflective coating 416 and photoresist layer 418 are next re-applied to the patterned wafer to obtain structure 400 D.
  • FIG. 3E shows resultant structure 400 E following imaging of the photoresist layer 418 in the desired via pattern followed by RIE transfer of the pattern down through the antireflective coating 416 , second hard-mask layer 408 , first hard-mask layer 406 , and partially into the ULK dielectric layer 404 , forming partial via 420 .
  • the antireflective coating 416 material should have a similar etch rate to the second hard-mask layer 408 during this process.
  • an ashing or RIE process is then used to remove any remaining photoresist layer 418 and antireflective coating 416 from the workpiece to obtain structure 400 F.
  • the structure 400 G illustrated in FIG. 3G shows that next a RIE process is used to extend the second hard-mask layer's trench pattern 414 through first hard-mask layer 406 and into the ULK dielectric layer 404 , forming trench 424 , while simultaneously completing the via etch and thus opening up the underlying etch stop film at the bottom of the completed via 422 .
  • the second hard-mask layer 408 is partially consumed during this process and is intended to be completely removed during the subsequent barrier and Cu CMP process.
  • the via shape tends to become elongated and to develop a sloped region 426 as also shown in FIG. 3G .
  • a subsequent argon pre-clean process for the Cu barrier and seed layer tends to sputter material from this sloped region 426 into the bottom of the via 422 , which is typically a copper metal layer, and causes contamination and reliability problems.
  • both of these prior art integration schemes utilize multiple hard-masks for processing which are complex and costly.
  • the final insulator dual damascene structure also retains a hard-mask layer which raises the effective k value of the insulator structure and also serves as a focal point for leakage, delamination, and other potential reliability problems.
  • the resulting final structures produced by these processes retain one or more of the hard-mask layers. This raises the effective k value of the insulator structure and is not desirable. There is additional motivation to minimize the use of these hard-mask layers in that every additional material interface is a potential source for electrical leakage, delamination, or other reliability problems.
  • the etched surfaces of the trench and via structure are open to contamination from subsequent process steps. For example conventional wet or dry stripping processes have been shown to contaminate the ULK films.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • the present invention uses gas-cluster ion-beam (GCIB) processing and novel integration structures to solve many of the problems described above.
  • GCIB gas-cluster ion-beam
  • gas-clusters are nano-sized aggregates of materials that are gaseous under conditions of standard temperature and pressure. Such gas-clusters typically are comprised of aggregates of from a few to several thousand molecules loosely bound to form the cluster.
  • the clusters can be ionized by electron bombardment or other means, permitting them to be formed into directed beams of controllable energy.
  • Such ions each typically carry positive charges of q ⁇ e (where e is the electronic charge and q is an integer of from one to several representing the charge state of the cluster ion).
  • Non-ionized clusters may also exist within a cluster ion beam.
  • the larger sized cluster ions are often the most useful because of their ability to carry substantial energy per cluster ion, while yet having only modest average energy per molecule of from a fraction of an electron volt to a few tens of electron volts.
  • the clusters disintegrate on impact, with each individual molecule carrying only a small fraction of the total cluster ion energy. Consequently, the impact effects of large cluster ions are substantial, but are limited to a very shallow surface region. This makes cluster ions effective for a variety of surface modification processes, without the tendency to produce deeper subsurface damage characteristic of conventional monomer ion beam processing.
  • Many useful surface processing effects can be achieved by bombarding surfaces with GCIBs. These processing effects include, but are not necessarily limited to, cleaning, smoothing, etching, doping, and film formation or growth.
  • FIG. 1 is a schematic showing the basic elements of a prior art GCIB processing apparatus
  • FIGS. 2A-2F show schematics illustrating stages in the prior art conventional “via first” dual damascene ULK integration scheme
  • FIGS. 3A-3G show schematics illustrating stages in the prior art conventional “dual hard-mask” dual damascene ULK integration scheme
  • FIGS. 4A-4H show schematics illustrating an integration structure for a first embodiment of the invention and for explaining a first method of the invention.
  • FIGS. 5A-5G show schematics illustrating an integration structure for a second embodiment of the invention and for explaining a second method of the invention.
  • FIG. 1 shows a schematic of the basic elements of a typical configuration for a GCIB processing apparatus 100 which may be described as follows: a vacuum vessel 102 is divided into three communicating chambers, a source chamber 104 , an ionization/acceleration chamber 106 , and a processing chamber 108 . The three chambers are evacuated to suitable operating pressures by vacuum pumping systems 146 a , 146 b , and 146 c , respectively.
  • a condensable source gas 112 (for example argon or N 2 ) stored in a gas storage cylinder 111 is admitted under pressure through gas metering valve 113 and gas feed tube 114 into stagnation chamber 116 and is ejected into the substantially lower pressure vacuum through a properly shaped nozzle 110 .
  • a supersonic gas jet 118 results. Cooling, which results from the expansion in the jet, causes a portion of the gas jet 118 to condense into clusters, each comprising from several to several thousand weakly bound atoms or molecules.
  • a gas skimmer aperture 120 partially separates the gas molecules that have not condensed into a cluster jet from the cluster jet so as to minimize pressure in the downstream regions where such higher pressures would be detrimental (e.g., ionizer 122 , high voltage electrodes 126 , and processing chamber 108 ).
  • Suitable condensable source gases 112 include, but are not necessarily limited to argon, nitrogen, carbon dioxide, oxygen, and other gases and mixtures thereof.
  • the ionizer 122 is typically an electron impact ionizer that produces thermoelectrons from one or more incandescent filaments 124 and accelerates and directs the electrons causing them to collide with the gas-clusters in the gas jet 118 , where the jet passes through the ionizer 122 .
  • the electron impact ejects electrons from the clusters, causing a portion the clusters to become positively ionized.
  • Some clusters may have more than one electron ejected and may become multiply ionized.
  • a set of suitably biased high voltage electrodes 126 extracts the cluster ions from the ionizer, forming a beam, and then accelerates them to a desired energy (typically with acceleration potentials of from several hundred V to several tens of kV) and focuses them to form a GCIB 128 .
  • Filament power supply 136 provides filament voltage V f to heat the ionizer filament 124 .
  • Anode power supply 134 provides anode voltage V A to accelerate thermoelectrons emitted from filament 124 to cause them to irradiate the cluster containing gas jet 118 to produce ions.
  • Extraction power supply 138 provides extraction voltage V E to bias a high voltage electrode to extract ions from the ionizing region of ionizer 122 and to form a GCIB 128 .
  • Accelerator power supply 140 provides acceleration voltage V Acc to bias a high voltage electrode with respect to the ionizer 122 so as to result in a total GCIB acceleration potential equal to V Acc .
  • One or more lens power supplies may be provided to bias high voltage electrodes with focusing voltages (V L1 and V L2 for example) to focus the GCIB 128 .
  • a workpiece 152 which may be a semiconductor wafer or other workpiece to be processed by GCIB processing, is held on a workpiece holder 150 , which can be disposed in the path of the GCIB 128 . Since most applications contemplate the processing of large workpieces with spatially uniform results, a scanning system is desirable to uniformly scan the GCIB 128 across large areas to produce spatially homogeneous results.
  • the GCIB 128 is stationary, has a GCIB axis 129 , and the workpiece 152 is mechanically scanned through the GCIB 128 to distribute the effects of the GCIB 128 over a surface of the workpiece 152 .
  • An X-scan actuator 202 provides linear motion of the workpiece holder 150 in the direction of X-scan motion 208 (into and out of the plane of the paper).
  • a Y-scan actuator 204 provides linear motion of the workpiece holder 150 in the direction of Y-scan motion 210 , which is typically orthogonal to the X-scan motion 208 .
  • the combination of X-scanning and Y-scanning motions moves the workpiece 152 , held by the workpiece holder 150 in a raster-like scanning motion through GCIB 128 to cause a uniform (or otherwise programmed) irradiation of a surface of the workpiece 152 by the GCIB 128 for processing of the workpiece 152 .
  • the workpiece holder 150 disposes the workpiece 152 at an angle with respect to the axis of the GCIB 128 so that the GCIB 128 has an angle of beam incidence 206 with respect to the workpiece 152 surface.
  • the angle of beam incidence 206 may be any suitable angle, but is typically 90 degrees or near 90 degrees.
  • the workpiece 152 and the workpiece holder 150 move from the position shown to the alternate position “A” indicated by the designators 152 A and 150 A respectively. Notice that in moving between the two positions, the workpiece 152 is scanned through the GCIB 128 and in both extreme positions, is moved completely out of the path of the GCIB 128 (over-scanned). Though not shown explicitly in FIG. 1 , similar scanning and over-scan is performed in the (typically) orthogonal X-scan motion 208 direction (in and out of the plane of the paper).
  • a beam current sensor 218 is disposed beyond the workpiece holder 150 in the path of the GCIB 128 so as to intercept a sample of the GCIB 128 when the workpiece holder 150 is scanned out of the path of the GCIB 128 .
  • the beam current sensor 218 is typically a faraday cup or the like, closed except for a beam-entry opening, and is typically affixed to the wall of the vacuum vessel 102 with an electrically insulating mount 212 .
  • a controller 220 which may be a microcomputer based controller connects to the X-scan actuator 202 and the Y-scan actuator 204 through electrical cable 216 and controls the X-scan actuator 202 and the Y-scan actuator 204 so as to place the workpiece 152 into or out of the GCIB 128 and to scan the workpiece 152 uniformly relative to the GCIB 128 to achieve desired processing of the workpiece 152 by the GCIB 128 .
  • Controller 220 receives the sampled beam current collected by the beam current sensor 218 by way of lead 214 and thereby monitors the GCIB and controls the GCIB dose received by the workpiece 152 by removing the workpiece 152 from the GCIB 128 when a predetermined desired dose has been delivered.
  • GCIB processes useful at several stages of semiconductor integration include processes for etching, for ashing photoresists and the like, and for densifying and pore sealing of porous ULK dielectrics.
  • SCCM Useful Gasses Gas
  • kV Typical Gas Flow Gas Flow Range V ACC
  • gases such as CF 4 , CHF 3 , 95% O 2 C 2 F 2 , SF 6 , NF 3 , and Mixtures of fluorine- containing gases with O 2 , for example 1-10% NF 3 in 90-99% O 2
  • FIGS. 4A-4G illustrate in-process integration structures 500 A- 500 G resulting from inventive process steps in a first embodiment of the present invention, a method for fabricating the dual damascene integration structure 500 H shown in FIG. 4H incorporating porous ULK dielectrics. None of the suggested dimensions in any of the following embodiments are intended to limit the invention in any manner to such embodiments.
  • a process in accordance with the first embodiment of the invention begins with deposition of a sequence of insulator films over the previous metal wiring level (not shown, but would appear below the stop film layer 502 in structure 500 A.)
  • the insulator stack is comprised of an etch stop film 502 , porous ULK dielectric layer 504 and a hard-mask layer 506 .
  • the etch stop material has the same requirements as previously stated for the prior art processes and therefore is typically composed of a material such as Si 3 N 4 or SiCN.
  • the etch stop film 502 may have a thickness of about 35 nm.
  • the porous ULK dielectric layer 504 may have a thickness (for example) of about 300 nm.
  • the hard-mask layer 506 in this integration scheme does not remain as part of the dual damascene structure after processing. Therefore, relatively higher-k materials such as SiO 2 or Si 3 N 4 may be used for hard-mask layer 506 compared to what would be required in a conventional integration scheme. Since SiO 2 or Si 3 N 4 are also oxidation resistant, photo rework is allowed.
  • the hard-mask layer 506 may have a thickness (for example) of about 40 nm.
  • Suitable hard mask materials include, but are not necessarily limited to SiCOH, SiCN and SiC.
  • the surface of the porous ULK dielectric layer 504 may be densified and pore-sealed by GCIB processing (see Table 3 for typical process parameters.)
  • an antireflective coating 508 and a photoresist layer 510 of types known in the art are applied to insulator stack 500 B.
  • the antireflective coating 508 and photoresist layer 510 will both be employed, but there may be applications wherein antireflective coating is not necessary.
  • the antireflective coating 508 and photoresist layer 510 are collectively referred to herein as a “masking material” layer, however the same term could be applied, in such embodiments, to refer to a photoresist-only layer.
  • the antireflective coating 508 may be (for exemplary purposes) about 40 nm thick and the photoresist layer 510 may be (for example) about 200 nm thick, measured from the upper surface of coating 508 .
  • the antireflective coating 508 may comprise (for example, not for limitation) “AR 40 Anti-Reflectant” and the photoresist layer 510 may comprise (for example, not for limitation) “EpicTM 2210 ArF Photoresist”, both materials supplied commercially by Rohm and Haas Electronic Materials (Phoenix, Ariz.)
  • the resist is then imaged in the desired via pattern and then RIE or preferably GCIB etching (See Table 1 for example process parameters) is used to transfer the pattern down through the antireflective coating 508 , hard-mask layer 506 , and porous ULK dielectric layer 504 , stopping on the etch stop film 502 , thus forming via 512 in resultant structure 500 C.
  • RIE or preferably GCIB etching See Table 1 for example process parameters
  • the remaining photoresist layer 510 and antireflective coating 508 are removed by conventional plasma or GCIB ashing with mixtures of oxygen and other gases (See Table 2 for example process parameters) to obtain structure 500 D.
  • the sidewalls of the etched via 512 in the porous ULK dielectric layer 504 are cleaned and densified and rendered non-porous by the GCIB treatment (See Table 3 for example process parameters), thus avoiding the need for wet cleaning and avoiding the opportunity for contamination that results from wet processing of porous dielectrics.
  • FIG. 4E another masking material layer, comprised of optional bottom antireflective coating 516 and photoresist layer 518 are next applied to the patterned wafer (structure 500 E) as shown.
  • the antireflective coating component of the masking material layer fills the etched vias (via 512 for example) and planarizes the surface prior to the application of photoresist.
  • the bottom antireflective coating 516 and the photoresist layer 518 may similarly be composed of the materials described above. Not including the via filling depth, the bottom antireflective coating 516 material may be (for example) about 200 nm thick.
  • the photoresist layer 518 may be (for example) about 200 nm thick.
  • FIG. 4F shows a structure 500 F resulting from imaging the resist in the desired trench pattern and then RIE or GCIB etch transferring the pattern down through the bottom antireflective coating 516 , hard-mask layer 506 , and partially into the porous ULK dielectric layer 504 , thus forming a trench 520 .
  • the bottom antireflective coat 516 material should have a slightly faster GCIB etch rate than the porous ULK dielectric layer 504 material to avoid un-etched protrusions, “fences”, remaining around the upper surface of the via 512 periphery.
  • an ashing process preferably a GCIB ashing process (See Table 3 for example process parameters) then removes any remaining photoresist layer 518 and bottom antireflective coating 516 from the surface of the workpiece 500 G. This is followed by RIE or GCIB etching to remove the hard-mask layer 506 and to open up the underlying etch stop film 502 to complete the via 512 (See Table 1 for example process parameters.)
  • a final GCIB treatment (See Table 3 for example process parameters) is then used to complete the densification and smoothing of all the exposed ULK dielectric layer surfaces 522 to obtain the first embodiment of the improved dual damascence structure 500 H.
  • FIGS. 5A-5G illustrate in-process structures 600 A- 600 F and a final dual damascene integration structure 600 G using porous ULK dielectrics, formed in accordance with a second embodiment of the present invention, and which is based on initial transfer of a trench pattern into a hard-mask.
  • the process of the second embodiment begins with deposition of a sequence of insulator films over the previous metal wiring level.
  • This insulator stack 600 A is comprised of an etch stop film 602 , a porous ULK dielectric layer 604 and a hard-mask layer 606 .
  • a masking material layer comprised of an optional antireflective coating 608 and photoresist layer 610 are then applied to this insulator stack as also illustrated in this figure.
  • the etch stop material has the same requirements as previously stated for the prior art processes and therefore is typically a material such as Si 3 N 4 or SiCN.
  • the etch stop film 602 may have a thickness (for example) of about 35 nm.
  • the porous ULK dielectric layer 604 may have a thickness (for example) of about 300 nm.
  • the hard-mask layer 606 in this integration scheme does not remain as part of the dual damascene structure after processing. Therefore, relatively higher-k materials such as SiO 2 or Si 3 N 4 may be used for hard-mask layer 606 compared to what would be required in a conventional integration scheme. Since SiO 2 or Si 3 N 4 are also oxidation resistant, photo rework is allowed.
  • the hard-mask layer 606 may have a thickness (for example) of about 80 nm. This thickness is adjustable depending on the relative etch rates of the hard-mask to the ULK and underlying etch stop.
  • Suitable hard mask materials include, but are not necessarily limited to, SiCOH, SiCN and SiC.
  • the antireflective coating 608 may be (for example) about 40 nm thick and the photoresist layer 610 may be (for example) about 200 nm thick.
  • the antireflective coating 608 and the photoresist layer 610 may similarly comprise (for example, not for limitation) the materials discussed above or other known materials. Note that, optionally, prior to formation of the hard-mask layer 606 , the surface of the porous ULK dielectric layer 604 may be densified and pore-sealed by gas cluster ion beam processing (see Table 3 for typical process parameters).
  • FIG. 5B illustrates resultant structure 600 B subsequent to imaging of the photoresist layer 610 in the desired trench pattern and then use of RIE or GCIB etching (See Table 1 for example process parameters) to transfer of the pattern down through the antireflective coating 608 and hard-mask layer 606 , stopping after minimal etching of the porous ULK dielectric layer 604 , thus forming a trench pattern 612 in hard-mask layer 606 .
  • RIE or GCIB etching See Table 1 for example process parameters
  • the remaining masking material layer is removed by a conventional plasma or GCIB ashing process with pure concentrations or mixes of oxygen, nitrogen, hydrogen, argon and other gases (See Table 2 for example process parameters) while simultaneously accomplishing densification of surface 614 of the porous ULK dielectric layer 604 .
  • FIG. 5D another masking material layer composed of optional antireflective coating 616 and photoresist layer 618 are next applied to the patterned wafer (structure 600 D) as shown.
  • the antireflective coating 616 may comprise (for example, not for limitation) “AR 40 Anti-Reflectant” and the photoresist layer 618 may comprise (for example, not for limitation) “EpicTM 2210 ArF Photoresist.”
  • photoresist layer 618 is imaged in the desired via pattern and RIE or GCIB etching is used to transfer the pattern down through the antireflective coating 616 , the hard-mask layer 606 , and partially into the porous ULK dielectric layer 604 .
  • the antireflective coating material should have a similar etch rate to the hard-mask layer 606 during this step (See Table 1 for example process parameters—note that the relative etch rates in the bottom antireflective coat 616 and in the porous ULK dielectric layer 604 is controlled by setting the ratio of NF 3 to O 2 in the gas mixture.)
  • a conventional plasma or preferably GCIB ashing process (See Table 2 for example process parameters) is then used to remove any remaining material of the masking material layer from the workpiece (structure 600 F.)
  • a RIE or GCIB etch process is utilized which extends the hard-mask layer 606 trench pattern 612 into the porous ULK dielectric layer 604 , thus forming trench 624 , while simultaneously completing the via etch and opening up the underlying etch stop at the bottom of the via, thus completing via 610 (See Table 1 for example process parameters).
  • the hard-mask layer 606 is completely removed during this process.
  • another GCIB process is used to smooth and densify and to seal pores of all exposed ULK surfaces 622 (See Table 2 for example process parameters). Note that since GCIB etching is highly directional, the sidewalls of the vias do not develop a shallow slope (they have substantially no slope) as in a conventional RIE process (see FIG. 3G for illustration of this problem in the prior art).
  • utilizing GCIB processing eliminates the need for a hard-mask over a porous ULK dielectric material, since the GCIB process can provide smoothing, densification, and pore sealing of the etched ULK dielectric material.
  • RIE removal of the hard-mask over ULK dielectric results in a roughened porous ULK dielectric surface.
  • CMP removal of the hard-mask over ULK dielectric material can provide smoothing but no densification or pore sealing and therefore the associated wet chemistry degrades the porous ULK dielectric material.
  • Another benefit of this invention is that the number of hard-masks used in the formation of the dual damascene structure is minimized and hard-masks are eliminated in the final etched dual damascene structure. Therefore, the final dual damascene structure has a lower effective k and minimal interfaces that can be sources for leakage, delamination and other reliability problems.
  • the process causes densification and sealing of all porous etched ULK dielectric surfaces such that they are not susceptible to contamination from subsequent processes such CVD or ALD barrier processes.
  • GCIB etching is not subject to micro-loading effects and therefore provides better control of the etched trench depth and shape such that more precise specification of resistance and capacitance can be made to the design community.

Abstract

Methods of densifying a porous ultra-low-k (ULK) dielectric material by using gas-cluster ion-beam processing are disclosed. Methods for gas-cluster ion-beam etching, densification, pore sealing and ashing are described that allow simultaneous removal of material and densification of the ULK interfaces. A novel ULK dual damascene structure is disclosed with densified interfaces and no hard-masks.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit of priority to, and incorporates herein by reference, U.S. provisional patent application Ser. No. 60/576,439, filed Jun. 3, 2004, and entitled “Improved Dual Damascene Integration Structure and Method for Forming Improved Dual Damascene Integration Structure.”
  • FIELD OF THE INVENTION
  • This invention relates generally to improved dual damascene integration structures for semiconductor integrated circuits utilizing low dielectric constant (low-k) dielectrics, and to methods of forming such structures using low-k dielectrics and the application of gas-cluster ion-beam processing.
  • BACKGROUND OF THE INVENTION
  • The semiconductor industry has had tremendous success in delivering ever more cost effective chips to market through the use of scaling. However, while scaling works well in device or front end of semiconductor processing, device wiring is not amenable to scaling and results in degraded interconnect resistance and/or capacitance. To alleviate this problem, the industry has been migrating to the use of a lower resistance conductor (copper) and is also introducing lower-k insulators to reduce capacitance. Newly developed insulators in the ultra-low-k (ULK) range (k<2.5) are generally characterized by a great deal of porosity (e.g., 30-50%.) These materials are extremely difficult to integrate since they are susceptible to contamination from other wet chemicals and gases.
  • Conventional dual damascene ULK integration schemes rely on a series of higher-k hard-mask films to protect the surface of the porous and fragile ULK films. The two most widely used process sequences are the “via first” scheme and the conventional “dual hard-mask”.
  • FIGS. 2A-2F show schematics illustrating in-process structures (identified as 300A-300F) resulting after each step in a conventional “via first” dual damascene ULK integration process. The “via first” process begins with deposition of a sequence of insulator films over the previous metal wiring level (not shown in the figures.) As shown in FIG. 2A, this insulator stack 300A is comprised of etch stop film 302, ULK dielectric layer 304, first hard-mask layer 306, second hard-mask layer 308, antireflective coating 310, and photoresist layer 312. The etch stop film 302 must have a reasonably low-k value and the ULK dielectric layer 304 must have a high etch rate ratio with respect to the etch stop film 302. If the prior (underlying) metal wiring layer is copper, then this etch stop film 302 must also be a Cu diffusion barrier. The most commonly used etch stop films for this application are Si3N4 and SiCN.
  • The first hard-mask layer 306 is intended to remain as part of the dual damascene structure after processing to protect the fragile, porous ULK dielectric layer 304 from the effects of a subsequent chemical mechanical polishing (CMP) step. The second hard-mask layer 308 is a material that provides oxidation resistance and enables photo rework through ash removal of the antireflective coating 310 and the photoresist layer 312. Typical materials used for first hard-mask layer 306 are SiCOH or SiC while SiO2 and Si3N4 are typically used for second hard-mask layer 308. The second hard-mask layer 308 is a sacrificial layer and is removed during subsequent CMP of the Cu and barrier materials.
  • As reflected by structure 300B of FIG. 2B, the photoresist layer 312 is then imaged in the desired via pattern and then reactive ion etching (RIE) is used to transfer the pattern down through the antireflective coating 310, hard- mask layers 306 and 308 and through the ULK dielectric layer 304 while stopping on the SiCN etch stop, thus forming via 324. As depicted in FIG. 2C, any remaining photoresist layer 312 and antireflective coating 310 are removed by plasma ashing with mixtures of oxygen and other gases to obtain structure 300C. Another prior art alternative is plasma ashing of the resist followed by solvent removal of the antireflective coating layers. RIE polymer on the via sidewalls 314 is traditionally removed by wet cleans. It has been shown that both the oxygen plasma ashing and wet clean processes, which were traditionally used with SiO2 dielectrics, can damage the porous ULK dielectric layer 304 materials and so are not optimal because they are detrimental to the process and can reduce the reliability and performance of the circuits thus formed.
  • As shown in FIG. 2D, antireflective coating and photoresist materials are next re-applied to the patterned wafer to obtain structure 300D. A bottom antireflective coating 316, which will fill the etched vias (via 324, for example) and planarize the surface is applied first. A third hard-mask layer 318 is then applied, which will allow photo rework, and this is followed by a standard antireflective coating 320 and photoresist layer 322. One prior art alternative to this scheme is to completely remove and then re-apply all anti-reflective coating and photoresist layers during each photo rework operation.
  • FIG. 2E shows the stack (structure 300E) after imaging the photoresist layer 322 in a desired trench pattern and after transferring through RIE the pattern down through the third hard-mask layer 318, bottom antireflective coating 316, second hard-mask layer 308, first hard-mask layer 306 and partially into the ULK dielectric layer 304, thus forming a trench 326. It should be noted that the bottom antireflective coating 316 material should have a slightly faster RIE etch rate than the ULK dielectric layer 304 material or un-etched protrusions “fences” will remain around the via periphery.
  • Now referring to FIG. 2F, RIE is used to remove any remaining photoresist layer 322, antireflective coating 320, third hard-mask layer 318, bottom antireflective layer 316, and to open the underlying etch stop film 302, thus completing via 324 and obtaining structure 300F. It should again be noted that oxygen RIE processes and any needed wet cleans have been shown to permeate and degrade the porous ULK dielectric layer 304 material, to the detriment of the process and to the reduced reliability and performance of the circuits thus formed.
  • FIGS. 3A-3G show schematics illustrating in-process structures 400A-400G corresponding to stages in the prior art conventional “dual hard-mask” dual damascene ULK integration scheme, a second widely used formation process.
  • As shown in FIG. 3A, the “dual hard-mask” process begins with deposition of a sequence of insulator films over the previous metal wiring level. Similar to the “via first” scheme discussed above, this “dual hard-mask” insulator stack (structure 400A) is also comprised of an etch stop film 402, a ULK dielectric layer 404, a first hard-mask layer 406, second hard-mask layer 408, an antireflective coating 410, and a photoresist layer 412. The first hard-mask layer 406 in this integration scheme is intended to remain as part of the dual damascene structure after processing to protect the fragile, porous ULK dielectric layer 404 from the effects of subsequent CMP. A RIE etching chemistry for which the second hard-mask layer 408 has a high etch rate with respect to that for the first hard-mask layer 406 must be used initially and subsequently other chemistries must be applied for which the second hard-mask layer 408 has a low etch rate with respect to the ULK dielectric layer 404. The second hard-mask layer 408 is a sacrificial layer and is removed during CMP of the Cu and barrier materials.
  • As shown in FIG. 3B, the photoresist layer 412 is then imaged in the desired trench pattern and RIE is used to transfer the pattern down through the antireflective coating 410 and second hard-mask layer 408, stopping at first hard-mask layer 406, thus forming trench pattern 414 in the second hard-mask layer 408 in structure 400B.
  • As reflected in structure 400C depicted in FIG. 3C, the remaining photoresist layer 412 and antireflective coating 410 are either removed by plasma ashing with mixtures of oxygen and other gases or alternatively by using wet solvents.
  • As shown in FIG. 3D, antireflective coating 416 and photoresist layer 418 are next re-applied to the patterned wafer to obtain structure 400D.
  • FIG. 3E shows resultant structure 400E following imaging of the photoresist layer 418 in the desired via pattern followed by RIE transfer of the pattern down through the antireflective coating 416, second hard-mask layer 408, first hard-mask layer 406, and partially into the ULK dielectric layer 404, forming partial via 420. It should be noted that the antireflective coating 416 material should have a similar etch rate to the second hard-mask layer 408 during this process.
  • As shown in FIG. 3F, an ashing or RIE process is then used to remove any remaining photoresist layer 418 and antireflective coating 416 from the workpiece to obtain structure 400F.
  • The structure 400G illustrated in FIG. 3G shows that next a RIE process is used to extend the second hard-mask layer's trench pattern 414 through first hard-mask layer 406 and into the ULK dielectric layer 404, forming trench 424, while simultaneously completing the via etch and thus opening up the underlying etch stop film at the bottom of the completed via 422. The second hard-mask layer 408 is partially consumed during this process and is intended to be completely removed during the subsequent barrier and Cu CMP process. It should also be noted that since a portion of the via 422 is not protected by resist or a hard-mask during this RIE process, the via shape tends to become elongated and to develop a sloped region 426 as also shown in FIG. 3G. A subsequent argon pre-clean process for the Cu barrier and seed layer tends to sputter material from this sloped region 426 into the bottom of the via 422, which is typically a copper metal layer, and causes contamination and reliability problems.
  • A problem common to both of these prior art integration schemes (“via first” and “dual hard-mask” is that RIE is subject to micro-loading effects that lead to poor control of trench depth and shape and, therefore, poor control of the wiring resistance and capacitance. The inability to definitively specify wiring resistance and capacitance to the design community has a negative impact on chip performance.
  • Since RIE leaves the etched ULK dielectric surfaces as an open porous structure, these interfaces are not compatible with the desire to use ALD or CVD techniques for the subsequent barrier and seed layer processes.
  • In addition, both of these prior art integration schemes utilize multiple hard-masks for processing which are complex and costly. The final insulator dual damascene structure also retains a hard-mask layer which raises the effective k value of the insulator structure and also serves as a focal point for leakage, delamination, and other potential reliability problems.
  • There are a number of problems shared by both of these integration schemes. First, the resulting final structures produced by these processes retain one or more of the hard-mask layers. This raises the effective k value of the insulator structure and is not desirable. There is additional motivation to minimize the use of these hard-mask layers in that every additional material interface is a potential source for electrical leakage, delamination, or other reliability problems. Also, the etched surfaces of the trench and via structure are open to contamination from subsequent process steps. For example conventional wet or dry stripping processes have been shown to contaminate the ULK films. In addition, it is desirable to use chemical vapor deposition (CVD) or atomic layer deposition (ALD) processes to deposit the barrier film in subsequent processing steps. It has been shown that ALD and CVD processes penetrate the porous RIE etched sidewalls and raise the k value of the ULK films. Finally, conventional RIE is subject to seasoning and micro-loading effects that lead to a great deal of variability in the shape and depth of the trench and, therefore, the wiring resistance and capacitance. This inability to definitively specify wiring resistance and capacitance to the semiconductor design community has a negative impact on chip performance.
  • SUMMARY OF THE INVENTION
  • The present invention uses gas-cluster ion-beam (GCIB) processing and novel integration structures to solve many of the problems described above.
  • The use of cluster ion beams for processing surfaces is known in the art (see for example, U.S. Pat. No. 5,814,194, Deguchi et al., incorporated herein by reference.) For purposes of this discussion, gas-clusters are nano-sized aggregates of materials that are gaseous under conditions of standard temperature and pressure. Such gas-clusters typically are comprised of aggregates of from a few to several thousand molecules loosely bound to form the cluster. The clusters can be ionized by electron bombardment or other means, permitting them to be formed into directed beams of controllable energy. Such ions each typically carry positive charges of q·e (where e is the electronic charge and q is an integer of from one to several representing the charge state of the cluster ion). Non-ionized clusters may also exist within a cluster ion beam. The larger sized cluster ions are often the most useful because of their ability to carry substantial energy per cluster ion, while yet having only modest average energy per molecule of from a fraction of an electron volt to a few tens of electron volts. The clusters disintegrate on impact, with each individual molecule carrying only a small fraction of the total cluster ion energy. Consequently, the impact effects of large cluster ions are substantial, but are limited to a very shallow surface region. This makes cluster ions effective for a variety of surface modification processes, without the tendency to produce deeper subsurface damage characteristic of conventional monomer ion beam processing.
  • Apparatus for creation of and acceleration of such GCIBs are described in the reference (U.S. Pat. No. 5,814,194) previously cited. Presently available cluster ion sources produce clusters ions having a wide distribution of sizes, N, up to 5000 or more (where N=the number of molecules in each cluster ion—in the case of monatomic gases like argon, an atom of the monatomic gas will be referred to herein as a molecule and an ionized atom of such a monatomic gas will be referred to as a molecular ion—or simply a monomer ion.) Many useful surface processing effects can be achieved by bombarding surfaces with GCIBs. These processing effects include, but are not necessarily limited to, cleaning, smoothing, etching, doping, and film formation or growth.
  • It is an objective of this invention to provide methods of GCIB processing of low-k dielectric materials to form dual damascene integration structures that minimize the requirement to use hard-masks.
  • It is another objective of this invention to provide methods of GCIB processing of low-k dielectric materials to form dual damascene integration structures that include no hard-masks in the final etched structure.
  • It is a further objective of this invention to provide methods of densifying and sealing all etched surfaces to reduce their susceptibility to contamination from subsequent processes.
  • It is a still further objective of this invention to provide better control over etched trench depths and shapes and resultant interconnection resistance and capacitance.
  • It is still another objective of this invention to provide improved articles in the form of ULK integration structures with a reduced number, or even no hard-mask uses in the dual damascene formation process and fewer to no hard-masks in the final etched structures.
  • BRIEF DESCRIPTION OF THE FIGURES
  • For a better understanding of the present invention, together with other and further objects thereof, reference is made to the accompanying drawings and detailed description, wherein:
  • FIG. 1 is a schematic showing the basic elements of a prior art GCIB processing apparatus;
  • FIGS. 2A-2F show schematics illustrating stages in the prior art conventional “via first” dual damascene ULK integration scheme;
  • FIGS. 3A-3G show schematics illustrating stages in the prior art conventional “dual hard-mask” dual damascene ULK integration scheme;
  • FIGS. 4A-4H show schematics illustrating an integration structure for a first embodiment of the invention and for explaining a first method of the invention; and
  • FIGS. 5A-5G show schematics illustrating an integration structure for a second embodiment of the invention and for explaining a second method of the invention.
  • DETAILED DESCRIPTION OF CERTAIN PREFERRED EMBODIMENTS OF THE INVENTION
  • FIG. 1 shows a schematic of the basic elements of a typical configuration for a GCIB processing apparatus 100 which may be described as follows: a vacuum vessel 102 is divided into three communicating chambers, a source chamber 104, an ionization/acceleration chamber 106, and a processing chamber 108. The three chambers are evacuated to suitable operating pressures by vacuum pumping systems 146 a, 146 b, and 146 c, respectively. A condensable source gas 112 (for example argon or N2) stored in a gas storage cylinder 111 is admitted under pressure through gas metering valve 113 and gas feed tube 114 into stagnation chamber 116 and is ejected into the substantially lower pressure vacuum through a properly shaped nozzle 110. A supersonic gas jet 118 results. Cooling, which results from the expansion in the jet, causes a portion of the gas jet 118 to condense into clusters, each comprising from several to several thousand weakly bound atoms or molecules. A gas skimmer aperture 120 partially separates the gas molecules that have not condensed into a cluster jet from the cluster jet so as to minimize pressure in the downstream regions where such higher pressures would be detrimental (e.g., ionizer 122, high voltage electrodes 126, and processing chamber 108). Suitable condensable source gases 112 include, but are not necessarily limited to argon, nitrogen, carbon dioxide, oxygen, and other gases and mixtures thereof.
  • After the supersonic gas jet 118 containing gas-clusters has been formed, the clusters are ionized in an ionizer 122. The ionizer 122 is typically an electron impact ionizer that produces thermoelectrons from one or more incandescent filaments 124 and accelerates and directs the electrons causing them to collide with the gas-clusters in the gas jet 118, where the jet passes through the ionizer 122. The electron impact ejects electrons from the clusters, causing a portion the clusters to become positively ionized. Some clusters may have more than one electron ejected and may become multiply ionized. A set of suitably biased high voltage electrodes 126 extracts the cluster ions from the ionizer, forming a beam, and then accelerates them to a desired energy (typically with acceleration potentials of from several hundred V to several tens of kV) and focuses them to form a GCIB 128. Filament power supply 136 provides filament voltage Vf to heat the ionizer filament 124. Anode power supply 134 provides anode voltage VA to accelerate thermoelectrons emitted from filament 124 to cause them to irradiate the cluster containing gas jet 118 to produce ions. Extraction power supply 138 provides extraction voltage VE to bias a high voltage electrode to extract ions from the ionizing region of ionizer 122 and to form a GCIB 128. Accelerator power supply 140 provides acceleration voltage VAcc to bias a high voltage electrode with respect to the ionizer 122 so as to result in a total GCIB acceleration potential equal to VAcc. One or more lens power supplies (142 and 144 shown for example) may be provided to bias high voltage electrodes with focusing voltages (VL1 and VL2 for example) to focus the GCIB 128.
  • A workpiece 152, which may be a semiconductor wafer or other workpiece to be processed by GCIB processing, is held on a workpiece holder 150, which can be disposed in the path of the GCIB 128. Since most applications contemplate the processing of large workpieces with spatially uniform results, a scanning system is desirable to uniformly scan the GCIB 128 across large areas to produce spatially homogeneous results.
  • The GCIB 128 is stationary, has a GCIB axis 129, and the workpiece 152 is mechanically scanned through the GCIB 128 to distribute the effects of the GCIB 128 over a surface of the workpiece 152.
  • An X-scan actuator 202 provides linear motion of the workpiece holder 150 in the direction of X-scan motion 208 (into and out of the plane of the paper). A Y-scan actuator 204 provides linear motion of the workpiece holder 150 in the direction of Y-scan motion 210, which is typically orthogonal to the X-scan motion 208. The combination of X-scanning and Y-scanning motions moves the workpiece 152, held by the workpiece holder 150 in a raster-like scanning motion through GCIB 128 to cause a uniform (or otherwise programmed) irradiation of a surface of the workpiece 152 by the GCIB 128 for processing of the workpiece 152. The workpiece holder 150 disposes the workpiece 152 at an angle with respect to the axis of the GCIB 128 so that the GCIB 128 has an angle of beam incidence 206 with respect to the workpiece 152 surface. The angle of beam incidence 206 may be any suitable angle, but is typically 90 degrees or near 90 degrees. During Y-scanning, the workpiece 152 and the workpiece holder 150 move from the position shown to the alternate position “A” indicated by the designators 152A and 150A respectively. Notice that in moving between the two positions, the workpiece 152 is scanned through the GCIB 128 and in both extreme positions, is moved completely out of the path of the GCIB 128 (over-scanned). Though not shown explicitly in FIG. 1, similar scanning and over-scan is performed in the (typically) orthogonal X-scan motion 208 direction (in and out of the plane of the paper).
  • A beam current sensor 218 is disposed beyond the workpiece holder 150 in the path of the GCIB 128 so as to intercept a sample of the GCIB 128 when the workpiece holder 150 is scanned out of the path of the GCIB 128. The beam current sensor 218 is typically a faraday cup or the like, closed except for a beam-entry opening, and is typically affixed to the wall of the vacuum vessel 102 with an electrically insulating mount 212.
  • A controller 220, which may be a microcomputer based controller connects to the X-scan actuator 202 and the Y-scan actuator 204 through electrical cable 216 and controls the X-scan actuator 202 and the Y-scan actuator 204 so as to place the workpiece 152 into or out of the GCIB 128 and to scan the workpiece 152 uniformly relative to the GCIB 128 to achieve desired processing of the workpiece 152 by the GCIB 128. Controller 220 receives the sampled beam current collected by the beam current sensor 218 by way of lead 214 and thereby monitors the GCIB and controls the GCIB dose received by the workpiece 152 by removing the workpiece 152 from the GCIB 128 when a predetermined desired dose has been delivered.
  • For use in the present invention, the inventors have developed GCIB processes useful at several stages of semiconductor integration. These include processes for etching, for ashing photoresists and the like, and for densifying and pore sealing of porous ULK dielectrics.
    TABLE 1
    GCIB Processing Parameters for Etching Processes
    (all Values are Approximations)
    Range of Typical VACC Typical
    Typical Gas Flow Gas Flow Range VACC
    Some Useful Gasses Gas (SCCM) (SCCM) (kV) (kV)
    O2 and Fluorine-containing  5% NF3 in 200-2000 500 10-80 30
    gases such as CF4, CHF3, 95% O2
    C2F2, SF6, NF3, and
    Mixtures of fluorine-
    containing gases with O2,
    for example
    1-10% NF3 in 90-99% O2
  • Although several useful gasses and gas mixtures are listed in Table 1, many other gasses and mixtures are also useful in different etching situations, and practice of the invention is not necessarily limited to use of the preferred gasses and conditions specified in Table 1. Optimal gas flow is dependent on the characteristics of the GCIB processing system. The gas flow values listed in Table 1 are optimized for use in either the commercially available nFusion™ model GCIB200 processing system, or the nFusion™ model GCIB300 processing system, both manufactured and offered commercially by Epion Corporation (Billerica, Mass.) The GCIB dose required for etching is determined by the material and thickness etched, but typical doses are on the order of 1×1015 ions/cm2. It should be noted that often it is desirable to etch two or more materials simultaneously and to have a controllable ratio of etch rate for each of the materials. In such cases, mixtures of gases are particularly useful and by controlling the ratio of gases in the mixture, the differential etch rates for different materials can be controlled. As an example, the 1-10% NF3 in 90-99% O2 gas mixture suggested in Table 1, is useful for controlling differential etch rates by varying the gas mixture.
    TABLE 2
    GCIB Processing Parameters for Ashing Processes
    (all Values are Approximations)
    Range of Typical VACC Typical
    Some Useful Typical Gas Flow Gas Flow Range VACC
    Gasses Gas (SCCM) (SCCM) (kV) (kV)
    O2, N2, He, H2, N2 200-2000 700 10-80 30
    Ar, and mixtures
    of these gases
  • Although several useful gasses/mixtures are listed in Table 2, many other gasses and mixtures are also useful in different ashing situations, and practice of the invention is not necessarily limited to use of the preferred gasses and conditions specified in Table 2. Optimal gas flow is dependent on the characteristics of the GCIB processing system. The gas flow values listed in Table 2 are similarly optimized for use in either the commercially available nFusion™ model GCIB200 processing system, or the nFusion™ model GCIB300 processing system. The GCIB dose required for ashing is determined by the material and thickness etched, but typical doses are on the order of 1×1015 ions/cm2.
    TABLE 3
    GCIB Processing Parameters for ULK Dielectric Surface Densification
    & Pore Sealing Processes (all Values are Approximations)
    Range of Typical VACC Typical
    Some Useful Typical Gas Flow Gas Flow Range VACC
    Gasses Gas (SCCM) (SCCM) (kV) (kV)
    O2, Ar, N2, CH4 N2 200-2000 700 2.5-20 for 15
    and mixtures of Ar and Ar
    CH4 with Ar mixtures
    2.5-80 for 30
    O2, N2
  • Although several useful gasses/mixtures are listed in Table 3, many other gasses and mixtures are also useful in different ULK dielectric surface densification and pore sealing situations, and practice of the invention is not necessarily limited to use of the preferred gasses and conditions specified in Table 3. Optimal gas flow is dependent on the characteristics of the GCIB processing system. The gas flow values listed in Table 3 are similarly optimized for use in either the nFusion™ model GCIB200 model GCIB300 processing systems. The GCIB dose required for ULK dielectric surface densification and/or pore sealing is dependent on the material, but typical optimized doses are on the order of 1×1014 to 5×1014 ions/cm2. Doses higher than 5×1014 ions/cm2 may be used, but saturation may occur and additional improvement is seldom achieved with higher doses. By controlling GCIB conditions (VACC, dose, gas, etc.—see Table 3) and depending on the ULK material employed, experimentally observed densified layer thicknesses range from less than about 50 Angstroms to more than about 350 Angstroms.
  • FIGS. 4A-4G illustrate in-process integration structures 500A-500G resulting from inventive process steps in a first embodiment of the present invention, a method for fabricating the dual damascene integration structure 500H shown in FIG. 4H incorporating porous ULK dielectrics. None of the suggested dimensions in any of the following embodiments are intended to limit the invention in any manner to such embodiments.
  • Referring to FIG. 4A, a process in accordance with the first embodiment of the invention begins with deposition of a sequence of insulator films over the previous metal wiring level (not shown, but would appear below the stop film layer 502 in structure 500A.) The insulator stack is comprised of an etch stop film 502, porous ULK dielectric layer 504 and a hard-mask layer 506. The etch stop material has the same requirements as previously stated for the prior art processes and therefore is typically composed of a material such as Si3N4 or SiCN. The etch stop film 502 may have a thickness of about 35 nm. The porous ULK dielectric layer 504 may have a thickness (for example) of about 300 nm.
  • The hard-mask layer 506 in this integration scheme does not remain as part of the dual damascene structure after processing. Therefore, relatively higher-k materials such as SiO2 or Si3N4 may be used for hard-mask layer 506 compared to what would be required in a conventional integration scheme. Since SiO2 or Si3N4 are also oxidation resistant, photo rework is allowed. The hard-mask layer 506 may have a thickness (for example) of about 40 nm.
  • In addition to SiO2 and Si3N4, other suitable hard mask materials include, but are not necessarily limited to SiCOH, SiCN and SiC. Prior to formation of the hard-mask layer 506, the surface of the porous ULK dielectric layer 504 may be densified and pore-sealed by GCIB processing (see Table 3 for typical process parameters.)
  • As is illustrated in FIG. 4B, an antireflective coating 508 and a photoresist layer 510 of types known in the art are applied to insulator stack 500B. In most applications, the antireflective coating 508 and photoresist layer 510 will both be employed, but there may be applications wherein antireflective coating is not necessary. The antireflective coating 508 and photoresist layer 510 are collectively referred to herein as a “masking material” layer, however the same term could be applied, in such embodiments, to refer to a photoresist-only layer. The antireflective coating 508 may be (for exemplary purposes) about 40 nm thick and the photoresist layer 510 may be (for example) about 200 nm thick, measured from the upper surface of coating 508. The antireflective coating 508 may comprise (for example, not for limitation) “AR 40 Anti-Reflectant” and the photoresist layer 510 may comprise (for example, not for limitation) “Epic™ 2210 ArF Photoresist”, both materials supplied commercially by Rohm and Haas Electronic Materials (Phoenix, Ariz.)
  • As shown in FIG. 4C, the resist is then imaged in the desired via pattern and then RIE or preferably GCIB etching (See Table 1 for example process parameters) is used to transfer the pattern down through the antireflective coating 508, hard-mask layer 506, and porous ULK dielectric layer 504, stopping on the etch stop film 502, thus forming via 512 in resultant structure 500C.
  • As depicted in FIG. 4D the remaining photoresist layer 510 and antireflective coating 508 are removed by conventional plasma or GCIB ashing with mixtures of oxygen and other gases (See Table 2 for example process parameters) to obtain structure 500D. In the preferred approach, the sidewalls of the etched via 512 in the porous ULK dielectric layer 504 are cleaned and densified and rendered non-porous by the GCIB treatment (See Table 3 for example process parameters), thus avoiding the need for wet cleaning and avoiding the opportunity for contamination that results from wet processing of porous dielectrics.
  • According to FIG. 4E, another masking material layer, comprised of optional bottom antireflective coating 516 and photoresist layer 518 are next applied to the patterned wafer (structure 500E) as shown. Preferably the antireflective coating component of the masking material layer fills the etched vias (via 512 for example) and planarizes the surface prior to the application of photoresist. The bottom antireflective coating 516 and the photoresist layer 518 may similarly be composed of the materials described above. Not including the via filling depth, the bottom antireflective coating 516 material may be (for example) about 200 nm thick. The photoresist layer 518 may be (for example) about 200 nm thick. This structure is significantly simpler than that of the prior art FIG. 2D and represents an improvement since, in the preferred approach, GCIB ash removal of the photoresist and antireflective coating can be used if photo rework capability is desired.
  • FIG. 4F shows a structure 500F resulting from imaging the resist in the desired trench pattern and then RIE or GCIB etch transferring the pattern down through the bottom antireflective coating 516, hard-mask layer 506, and partially into the porous ULK dielectric layer 504, thus forming a trench 520. The bottom antireflective coat 516 material should have a slightly faster GCIB etch rate than the porous ULK dielectric layer 504 material to avoid un-etched protrusions, “fences”, remaining around the upper surface of the via 512 periphery. (See Table 1 for example process parameters—note that the relative etch rates in the bottom antireflective coat 516 and in the porous ULK dielectric layer 504 is controlled by setting the ratio of NF3 to O2 in the gas mixture) As shown in FIG. 4G, an ashing process, preferably a GCIB ashing process (See Table 3 for example process parameters) then removes any remaining photoresist layer 518 and bottom antireflective coating 516 from the surface of the workpiece 500G. This is followed by RIE or GCIB etching to remove the hard-mask layer 506 and to open up the underlying etch stop film 502 to complete the via 512 (See Table 1 for example process parameters.)
  • As shown in FIG. 4H, a final GCIB treatment (See Table 3 for example process parameters) is then used to complete the densification and smoothing of all the exposed ULK dielectric layer surfaces 522 to obtain the first embodiment of the improved dual damascence structure 500H.
  • FIGS. 5A-5G illustrate in-process structures 600A-600F and a final dual damascene integration structure 600G using porous ULK dielectrics, formed in accordance with a second embodiment of the present invention, and which is based on initial transfer of a trench pattern into a hard-mask.
  • As shown in FIG. 5A, the process of the second embodiment begins with deposition of a sequence of insulator films over the previous metal wiring level. This insulator stack 600A is comprised of an etch stop film 602, a porous ULK dielectric layer 604 and a hard-mask layer 606. A masking material layer comprised of an optional antireflective coating 608 and photoresist layer 610 are then applied to this insulator stack as also illustrated in this figure. The etch stop material has the same requirements as previously stated for the prior art processes and therefore is typically a material such as Si3N4 or SiCN. The etch stop film 602 may have a thickness (for example) of about 35 nm. The porous ULK dielectric layer 604 may have a thickness (for example) of about 300 nm. The hard-mask layer 606 in this integration scheme does not remain as part of the dual damascene structure after processing. Therefore, relatively higher-k materials such as SiO2 or Si3N4 may be used for hard-mask layer 606 compared to what would be required in a conventional integration scheme. Since SiO2 or Si3N4 are also oxidation resistant, photo rework is allowed. The hard-mask layer 606 may have a thickness (for example) of about 80 nm. This thickness is adjustable depending on the relative etch rates of the hard-mask to the ULK and underlying etch stop. In addition to SiO2 and Si3N4, other suitable hard mask materials include, but are not necessarily limited to, SiCOH, SiCN and SiC. The antireflective coating 608 may be (for example) about 40 nm thick and the photoresist layer 610 may be (for example) about 200 nm thick. The antireflective coating 608 and the photoresist layer 610 may similarly comprise (for example, not for limitation) the materials discussed above or other known materials. Note that, optionally, prior to formation of the hard-mask layer 606, the surface of the porous ULK dielectric layer 604 may be densified and pore-sealed by gas cluster ion beam processing (see Table 3 for typical process parameters).
  • FIG. 5B illustrates resultant structure 600B subsequent to imaging of the photoresist layer 610 in the desired trench pattern and then use of RIE or GCIB etching (See Table 1 for example process parameters) to transfer of the pattern down through the antireflective coating 608 and hard-mask layer 606, stopping after minimal etching of the porous ULK dielectric layer 604, thus forming a trench pattern 612 in hard-mask layer 606.
  • As reflected by structure 600C depicted in FIG. 5C the remaining masking material layer is removed by a conventional plasma or GCIB ashing process with pure concentrations or mixes of oxygen, nitrogen, hydrogen, argon and other gases (See Table 2 for example process parameters) while simultaneously accomplishing densification of surface 614 of the porous ULK dielectric layer 604.
  • Referring to FIG. 5D, another masking material layer composed of optional antireflective coating 616 and photoresist layer 618 are next applied to the patterned wafer (structure 600D) as shown. The antireflective coating 616 may comprise (for example, not for limitation) “AR 40 Anti-Reflectant” and the photoresist layer 618 may comprise (for example, not for limitation) “Epic™ 2210 ArF Photoresist.”
  • As illustrated in FIG. 5E, photoresist layer 618 is imaged in the desired via pattern and RIE or GCIB etching is used to transfer the pattern down through the antireflective coating 616, the hard-mask layer 606, and partially into the porous ULK dielectric layer 604. The antireflective coating material should have a similar etch rate to the hard-mask layer 606 during this step (See Table 1 for example process parameters—note that the relative etch rates in the bottom antireflective coat 616 and in the porous ULK dielectric layer 604 is controlled by setting the ratio of NF3 to O2 in the gas mixture.)
  • As shown in FIG. 5F, a conventional plasma or preferably GCIB ashing process (See Table 2 for example process parameters) is then used to remove any remaining material of the masking material layer from the workpiece (structure 600F.)
  • Referring to FIG. 5G, next a RIE or GCIB etch process is utilized which extends the hard-mask layer 606 trench pattern 612 into the porous ULK dielectric layer 604, thus forming trench 624, while simultaneously completing the via etch and opening up the underlying etch stop at the bottom of the via, thus completing via 610 (See Table 1 for example process parameters). The hard-mask layer 606 is completely removed during this process. Finally, another GCIB process is used to smooth and densify and to seal pores of all exposed ULK surfaces 622 (See Table 2 for example process parameters). Note that since GCIB etching is highly directional, the sidewalls of the vias do not develop a shallow slope (they have substantially no slope) as in a conventional RIE process (see FIG. 3G for illustration of this problem in the prior art).
  • Importantly, utilizing GCIB processing eliminates the need for a hard-mask over a porous ULK dielectric material, since the GCIB process can provide smoothing, densification, and pore sealing of the etched ULK dielectric material. RIE removal of the hard-mask over ULK dielectric results in a roughened porous ULK dielectric surface. CMP removal of the hard-mask over ULK dielectric material can provide smoothing but no densification or pore sealing and therefore the associated wet chemistry degrades the porous ULK dielectric material.
  • Another benefit of this invention is that the number of hard-masks used in the formation of the dual damascene structure is minimized and hard-masks are eliminated in the final etched dual damascene structure. Therefore, the final dual damascene structure has a lower effective k and minimal interfaces that can be sources for leakage, delamination and other reliability problems. The process causes densification and sealing of all porous etched ULK dielectric surfaces such that they are not susceptible to contamination from subsequent processes such CVD or ALD barrier processes. Finally, GCIB etching is not subject to micro-loading effects and therefore provides better control of the etched trench depth and shape such that more precise specification of resistance and capacitance can be made to the design community.
  • Although the invention has been described with respect to various embodiments, it should be realized this invention is also capable of a wide variety of further and other embodiments within the spirit of the invention.

Claims (10)

1. A method comprising:
forming a porous layer; and
exposing said porous layer to a gas clustered ion beam to densify said porous layer.
2. The method of claim 1, wherein forming a porous layer includes forming an interlayer dielectric.
3. The method of claim 2, including forming a carbon doped oxide interlayer dielectric.
4. The method of claim 1, including forming metallic features on said porous layer and exposing said metallic features and said porous layer to a clustered ion beam.
5. The method of claim 1, including densifying said porous layer to form a hard mask.
6. The method of claim 5, including using said hard mask to enable an unlanded via integration scheme.
7. The method of claim 5, including using said hard mask in a damascene or dual damascene process.
8. The method of claim 1, including densifying only a surface region of said porous layer while the remainder of said porous layer below said surface region remains undensified.
9. The method of claim 8, including controlling the thickness of a densified region from less than about 50 Angstroms to more than about 350 Angstroms.
10. The method of claim 8, including controlling the thickness of a densified region to approximately 50 Angstroms or less.
US11/143,831 2004-06-03 2005-06-02 Dual damascene integration structure and method for forming improved dual damascene integration structure Abandoned US20050272237A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/143,831 US20050272237A1 (en) 2004-06-03 2005-06-02 Dual damascene integration structure and method for forming improved dual damascene integration structure
US12/246,352 US20090130861A1 (en) 2004-06-03 2008-10-06 Dual damascene integration structures and method of forming improved dual damascene integration structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US57643904P 2004-06-03 2004-06-03
US11/143,831 US20050272237A1 (en) 2004-06-03 2005-06-02 Dual damascene integration structure and method for forming improved dual damascene integration structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/246,352 Continuation US20090130861A1 (en) 2004-06-03 2008-10-06 Dual damascene integration structures and method of forming improved dual damascene integration structures

Publications (1)

Publication Number Publication Date
US20050272237A1 true US20050272237A1 (en) 2005-12-08

Family

ID=35503817

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/143,421 Expired - Fee Related US7759251B2 (en) 2004-06-03 2005-06-02 Dual damascene integration structure and method for forming improved dual damascene integration structure
US11/143,831 Abandoned US20050272237A1 (en) 2004-06-03 2005-06-02 Dual damascene integration structure and method for forming improved dual damascene integration structure
US12/246,352 Abandoned US20090130861A1 (en) 2004-06-03 2008-10-06 Dual damascene integration structures and method of forming improved dual damascene integration structures

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/143,421 Expired - Fee Related US7759251B2 (en) 2004-06-03 2005-06-02 Dual damascene integration structure and method for forming improved dual damascene integration structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/246,352 Abandoned US20090130861A1 (en) 2004-06-03 2008-10-06 Dual damascene integration structures and method of forming improved dual damascene integration structures

Country Status (4)

Country Link
US (3) US7759251B2 (en)
EP (1) EP1759407A2 (en)
JP (1) JP2008502150A (en)
WO (1) WO2005122224A2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050181631A1 (en) * 2003-05-15 2005-08-18 Johnston Steven W. Densifying a relatively porous material
US20070222081A1 (en) * 2006-03-23 2007-09-27 International Business Machine Corporation Surface treatment of inter-layer dielectric
US20070224824A1 (en) * 2006-03-23 2007-09-27 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of gcib surface treatment using gas clusters of organic molecular species
US7329956B1 (en) * 2006-09-12 2008-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene cleaning method
US20080145795A1 (en) * 2006-12-19 2008-06-19 Chartered Semiconductor Manufacturing Ltd. Semiconductor processing system with ultra low-k dielectric
US20090079076A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US20090081418A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US20090079075A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US20100025365A1 (en) * 2008-08-01 2010-02-04 Tel Epion Inc. Method for selectively etching areas of a substrate using a gas cluster ion beam
US20100227142A1 (en) * 2009-03-06 2010-09-09 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing
US20130005151A1 (en) * 2011-07-01 2013-01-03 United Microelectronics Corp. Method for forming contact holes
US8865590B2 (en) 2009-06-16 2014-10-21 Tokyo Electron Limited Film forming method, pretreatment device, and processing system
WO2016176569A1 (en) * 2015-04-30 2016-11-03 Tel Epion Inc. Method of surface profile correction using gas cluster ion beam
US20170316981A1 (en) * 2016-04-28 2017-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Manufacture

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7709344B2 (en) * 2005-11-22 2010-05-04 International Business Machines Corporation Integrated circuit fabrication process using gas cluster ion beam etching
US20070218698A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, and computer-readable storage medium
US7781154B2 (en) * 2006-03-28 2010-08-24 Applied Materials, Inc. Method of forming damascene structure
US8034722B2 (en) * 2006-04-07 2011-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming dual damascene semiconductor device
US20080124924A1 (en) * 2006-07-18 2008-05-29 Applied Materials, Inc. Scheme for copper filling in vias and trenches
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US7884026B2 (en) * 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
US7815815B2 (en) 2006-08-01 2010-10-19 Sony Corporation Method and apparatus for processing the peripheral and edge portions of a wafer after performance of a surface treatment thereon
US20080090402A1 (en) * 2006-09-29 2008-04-17 Griselda Bonilla Densifying surface of porous dielectric layer using gas cluster ion beam
JP2009094378A (en) * 2007-10-11 2009-04-30 Panasonic Corp Semiconductor device and method for fabricating the same
US7981308B2 (en) 2007-12-31 2011-07-19 Robert Bosch Gmbh Method of etching a device using a hard mask and etch stop layer
JP5026354B2 (en) * 2008-06-23 2012-09-12 日本航空電子工業株式会社 Solid surface processing equipment
JP5587550B2 (en) * 2008-12-26 2014-09-10 日本航空電子工業株式会社 Solid surface sealing method and electronic component manufacturing method
US7947582B2 (en) * 2009-02-27 2011-05-24 Tel Epion Inc. Material infusion in a trap layer structure using gas cluster ion beam processing
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US8377823B2 (en) * 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
US8334203B2 (en) * 2010-06-11 2012-12-18 International Business Machines Corporation Interconnect structure and method of fabricating
JP2012204591A (en) * 2011-03-25 2012-10-22 Toshiba Corp Film formation method and non-volatile storage device
US8513138B2 (en) 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for Si-containing and Ge-containing materials
US8512586B2 (en) 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for achieving target etch process metrics for multiple materials
US8557710B2 (en) 2011-09-01 2013-10-15 Tel Epion Inc. Gas cluster ion beam etching process for metal-containing materials
US8946081B2 (en) 2012-04-17 2015-02-03 International Business Machines Corporation Method for cleaning semiconductor substrate
JP2013251358A (en) * 2012-05-31 2013-12-12 Toshiba Corp Semiconductor device manufacturing method and semiconductor device
US8722542B2 (en) 2012-06-08 2014-05-13 Tel Epion Inc. Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
US8728947B2 (en) 2012-06-08 2014-05-20 Tel Epion Inc. Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9209033B2 (en) 2013-08-21 2015-12-08 Tel Epion Inc. GCIB etching method for adjusting fin height of finFET devices
US9330915B2 (en) * 2013-12-10 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Surface pre-treatment for hard mask fabrication
US9385086B2 (en) 2013-12-10 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer hard mask for robust metallization profile
JP6126570B2 (en) * 2013-12-13 2017-05-10 富士フイルム株式会社 Pattern forming method, electronic device manufacturing method
US9613906B2 (en) * 2014-06-23 2017-04-04 GlobalFoundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
US20160064239A1 (en) * 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
CN105789111B (en) * 2014-12-18 2019-03-12 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US10522349B2 (en) 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814194A (en) * 1994-10-20 1998-09-29 Matsushita Electric Industrial Co., Ltd Substrate surface treatment method
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6171951B1 (en) * 1998-10-30 2001-01-09 United Microelectronic Corp. Dual damascene method comprising ion implanting to densify dielectric layer and forming a hard mask layer with a tapered opening
US6475929B1 (en) * 2001-02-01 2002-11-05 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant
US6492256B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Method for forming an interconnect structure with air gap compatible with unlanded vias
US6812147B2 (en) * 2001-10-11 2004-11-02 Epion Corporation GCIB processing to improve interconnection vias and improved interconnection via
US7071126B2 (en) * 2003-05-15 2006-07-04 Intel Corporation Densifying a relatively porous material
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4423547A (en) 1981-06-01 1984-01-03 International Business Machines Corporation Method for forming dense multilevel interconnection metallurgy for semiconductor devices
JP3169151B2 (en) * 1992-10-26 2001-05-21 三菱電機株式会社 Thin film forming equipment
JP3152018B2 (en) * 1993-06-24 2001-04-03 双葉電子工業株式会社 Method of manufacturing field emission device
US5731624A (en) 1996-06-28 1998-03-24 International Business Machines Corporation Integrated pad and fuse structure for planar copper metallurgy
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
SG70654A1 (en) 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
EP1238406B1 (en) * 1999-12-06 2008-12-17 TEL Epion Inc. Gas cluster ion beam smoother apparatus
US6331227B1 (en) * 1999-12-14 2001-12-18 Epion Corporation Enhanced etching/smoothing of dielectric surfaces
US6426249B1 (en) 2000-03-16 2002-07-30 International Business Machines Corporation Buried metal dual damascene plate capacitor
US6452251B1 (en) 2000-03-31 2002-09-17 International Business Machines Corporation Damascene metal capacitor
US6503827B1 (en) 2000-06-28 2003-01-07 International Business Machines Corporation Method of reducing planarization defects
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
JP4902088B2 (en) * 2000-07-10 2012-03-21 ティーイーエル エピオン インク. System and method for improving thin films by gas cluster ion beam processing
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
WO2002089702A2 (en) * 2001-05-09 2002-11-14 Epion Corporation Method and system for improving the effectiveness of artificial joints by the application of gas cluster ion beam technology
US6426558B1 (en) 2001-05-14 2002-07-30 International Business Machines Corporation Metallurgy for semiconductor devices
JP3881562B2 (en) * 2002-02-22 2007-02-14 三井造船株式会社 SiC monitor wafer manufacturing method
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6888251B2 (en) 2002-07-01 2005-05-03 International Business Machines Corporation Metal spacer in single and dual damascene processing
US6846741B2 (en) 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
JP2006507670A (en) 2002-11-08 2006-03-02 エピオン コーポレーション GCIB processing of integrated circuit interconnect structures
WO2004053945A2 (en) 2002-12-12 2004-06-24 Epion Corporation Re-crystallization of semiconductor surface film and doping of semiconductor by energetic cluster irradiation
JP3895281B2 (en) * 2003-02-18 2007-03-22 Tdk株式会社 Pattern forming method, magnetoresistive effect element and magnetic head manufacturing method using the same, head suspension assembly and magnetic disk apparatus
US7223705B2 (en) * 2003-05-06 2007-05-29 Intel Corporation Ambient gas treatment of porous dielectric
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7244674B2 (en) * 2004-04-27 2007-07-17 Agency For Science Technology And Research Process of forming a composite diffusion barrier in copper/organic low-k damascene technology

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814194A (en) * 1994-10-20 1998-09-29 Matsushita Electric Industrial Co., Ltd Substrate surface treatment method
US6492256B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Method for forming an interconnect structure with air gap compatible with unlanded vias
US6171951B1 (en) * 1998-10-30 2001-01-09 United Microelectronic Corp. Dual damascene method comprising ion implanting to densify dielectric layer and forming a hard mask layer with a tapered opening
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6475929B1 (en) * 2001-02-01 2002-11-05 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant
US6812147B2 (en) * 2001-10-11 2004-11-02 Epion Corporation GCIB processing to improve interconnection vias and improved interconnection via
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US7071126B2 (en) * 2003-05-15 2006-07-04 Intel Corporation Densifying a relatively porous material

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7071126B2 (en) * 2003-05-15 2006-07-04 Intel Corporation Densifying a relatively porous material
US20050181631A1 (en) * 2003-05-15 2005-08-18 Johnston Steven W. Densifying a relatively porous material
US20070222081A1 (en) * 2006-03-23 2007-09-27 International Business Machine Corporation Surface treatment of inter-layer dielectric
US20070224824A1 (en) * 2006-03-23 2007-09-27 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of gcib surface treatment using gas clusters of organic molecular species
US7838428B2 (en) * 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7816253B2 (en) 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
US7329956B1 (en) * 2006-09-12 2008-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene cleaning method
US7622403B2 (en) 2006-12-19 2009-11-24 Chartered Semiconductor Manufacturing Ltd. Semiconductor processing system with ultra low-K dielectric
US20080145795A1 (en) * 2006-12-19 2008-06-19 Chartered Semiconductor Manufacturing Ltd. Semiconductor processing system with ultra low-k dielectric
US7944055B2 (en) 2007-09-20 2011-05-17 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US9484248B2 (en) 2007-09-20 2016-11-01 Globalfoundries Inc. Patternable dielectric film structure with improved lithography and method of fabricating same
US7709370B2 (en) 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8450854B2 (en) 2007-09-20 2013-05-28 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US20090079075A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US20090081418A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US20090079076A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8084862B2 (en) 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8202435B2 (en) * 2008-08-01 2012-06-19 Tel Epion Inc. Method for selectively etching areas of a substrate using a gas cluster ion beam
US20100025365A1 (en) * 2008-08-01 2010-02-04 Tel Epion Inc. Method for selectively etching areas of a substrate using a gas cluster ion beam
US8226835B2 (en) 2009-03-06 2012-07-24 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing
WO2010101688A1 (en) * 2009-03-06 2010-09-10 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing
US20100227142A1 (en) * 2009-03-06 2010-09-09 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing
US8865590B2 (en) 2009-06-16 2014-10-21 Tokyo Electron Limited Film forming method, pretreatment device, and processing system
US8835324B2 (en) * 2011-07-01 2014-09-16 United Microelectronics Corp. Method for forming contact holes
US20130005151A1 (en) * 2011-07-01 2013-01-03 United Microelectronics Corp. Method for forming contact holes
WO2016176569A1 (en) * 2015-04-30 2016-11-03 Tel Epion Inc. Method of surface profile correction using gas cluster ion beam
US9875947B2 (en) 2015-04-30 2018-01-23 Tel Epion Inc. Method of surface profile correction using gas cluster ion beam
US20170316981A1 (en) * 2016-04-28 2017-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Manufacture
US10535566B2 (en) * 2016-04-28 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11217485B2 (en) 2016-04-28 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11776853B2 (en) 2016-04-28 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Also Published As

Publication number Publication date
JP2008502150A (en) 2008-01-24
US7759251B2 (en) 2010-07-20
WO2005122224A3 (en) 2006-11-09
US20050272265A1 (en) 2005-12-08
EP1759407A2 (en) 2007-03-07
WO2005122224A2 (en) 2005-12-22
US20090130861A1 (en) 2009-05-21

Similar Documents

Publication Publication Date Title
US7759251B2 (en) Dual damascene integration structure and method for forming improved dual damascene integration structure
US6812147B2 (en) GCIB processing to improve interconnection vias and improved interconnection via
US7115511B2 (en) GCIB processing of integrated circuit interconnect structures
KR101184529B1 (en) Copper interconnect wiring and method of forming thereof
US7838423B2 (en) Method of forming capping structures on one or more material layer surfaces
US7776743B2 (en) Method of forming semiconductor devices containing metal cap layers
US8992785B2 (en) Method for modifying an etch rate of a material layer using energetic charged particles
US7754588B2 (en) Method to improve a copper/dielectric interface in semiconductor devices
US20070184656A1 (en) GCIB Cluster Tool Apparatus and Method of Operation
US20120064713A1 (en) Ultra-low-k dual damascene structure and method of fabricating
US7981483B2 (en) Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US8192805B2 (en) Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
WO2007092856A2 (en) Copper interconnect wiring and method and apparatus for forming thereof
CN112490127A (en) Method for correcting etching rate of material layer by using charged particles
TWI423389B (en) Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: EPION CORPORATION, MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HAUTALA, JOHN J.;REEL/FRAME:016901/0959

Effective date: 20050722

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: TEL EPION INC., MASSACHUSETTS

Free format text: CHANGE OF NAME;ASSIGNOR:EPION CORPORATION;REEL/FRAME:023090/0693

Effective date: 20061219