US20060014388A1 - Wafer processing apparatus & methods for depositing cobalt silicide - Google Patents

Wafer processing apparatus & methods for depositing cobalt silicide Download PDF

Info

Publication number
US20060014388A1
US20060014388A1 US11/224,863 US22486305A US2006014388A1 US 20060014388 A1 US20060014388 A1 US 20060014388A1 US 22486305 A US22486305 A US 22486305A US 2006014388 A1 US2006014388 A1 US 2006014388A1
Authority
US
United States
Prior art keywords
wafer
cluster tool
target
cobalt
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/224,863
Inventor
Water Lur
David Lee
Kuang-Chih Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
Water Lur
David Lee
Kuang-Chih Wang
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Water Lur, David Lee, Kuang-Chih Wang filed Critical Water Lur
Priority to US11/224,863 priority Critical patent/US20060014388A1/en
Publication of US20060014388A1 publication Critical patent/US20060014388A1/en
Assigned to UNITED MICROELECTRONICS CORPORATION reassignment UNITED MICROELECTRONICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, DAVID, WANG, KUANG-CHIH, LUR, WATER
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • This invention relates to a process and system for making a cobalt silicide material suitable for a semiconductor manufacturing process.
  • CMOS complementary metal oxide semiconductor
  • DSM Deep submicron
  • CMOS complementary metal oxide semiconductor
  • connections to and between active CMOS FET devices are typically created with so-called “silicide” contacts, in which a portion of a source/drain region is converted during a thermal treatment into a metallic low resistance region.
  • Solicitation reactions are well-known, and state of the art manufacturing processes in the 0.18 micron realm typically utilize some form of TiSi2 material as a gate and active region contact.
  • TiSi2 has several limitations, including linewidth-dependent sheet resistance, low thermal stability, and the fact that titanium can consume an unpredictable amount of silicon during the salicidation reaction. Such characteristics severely handicap the potential for TiSi2 in next generation technologies.
  • CoSi2 Cobalt silicide
  • Cobalt is not without its limitations and problems as well. For instance, Cobalt is sensitive to oxygen and water. Even using very high purity inert gas for the heat treatment, the resulting cobalt salicide is often oxygen contaminated and a sheet resistance of the cobalt salicide thus increases. To prevent such oxidization of the cobalt layer, Goto discloses a cobalt salicide process using a Ti or TiN cap layer on top of the cobalt layer.
  • a cobalt layer is deposited on a wafer having a top surface comprised of a mixture of exposed surfaces, including dielectric (typically sidewall and isolation) surfaces and silicon surfaces (typically gate and source/drain regions).
  • a Ti or TiN cap layer is deposited on the cobalt layer without exposing the cobalt layer to air.
  • the wafer is then subjected to a first anneal. During the first anneal cobalt reacts with silicon at the surface of the wafer where silicon contacts with cobalt After the first anneal the wafer is etched in a NH4OH, H2O2, H2O solution and then with a HCl, H2O2, H2O solution.
  • This two-step wet process etches away any metals which are not silicided, that is, Co, Ti, TiN and mixtures thereof.
  • the wafer is then subjected to a second annealing process.
  • conventional semiconductor process quality N2 can be used during the first annealing.
  • the Ti or TiN cap prevents residual oxygen from reacting with Co; therefore the resistance of the produced cobalt salicide does not increase due to an oxygen contamination problem.
  • Ti and TiN are most widely used for capping a Co layer in the Co salicide process.
  • the two materials have different strengths and weaknesses in this regard. For instance, TiN is more stable and does not react much with the Co layer. Nonetheless, Ti is more favored at this time, in large part because Ti is more reactive toward oxygen, and therefore is a potentially a better cap for preventing oxidation of Co.
  • a Ti cap is also known to produce a more thermally stable Co salicide film. This fact is disclosed in Sohn et al. “Effects of Ti-capping on formation and stability of Co silicide” Journal of The Electrochemical Society 147 (1) page 373-380, 2000.
  • the first anneal temperature typically needs to be higher than for a comparable TiN capped process. This is a result of the effect of Ti diffusion into the Co layer and the resulting mediation of the silicidation reaction by Ti.
  • the presence of Ti retards the Co—Si reaction so that higher anneal temperatures are needed to complete the total reaction.
  • Ti diffuses into the Si interface and silicide grain boundary, thus stabilizing the final CoSi2 film,. Not all deposited Co reacts to form silicide because some Co reacts with the Ti and is converted into a Co—Ti intermetallic mixture layer.
  • the conventional Co salicide process generally meets the requirement of advanced process of less than 0.1 um feature size, there is need to further improve the Co salicide process, and to ensure that it will be useable even below such feature size.
  • a basic process flow and process tool for forming Co salicide that achieves a higher productivity in conventional semiconductor manufacturing.
  • a primary object of the present invention therefore is to provide a solution to many of the aforementioned problems associated with the manufacturing of cobalt silicide.
  • a related object is to provide a high performance, easily manufacturable contact material suitable for a variety of semiconductor applications, including in self-aligned silicide (SALICIDE) applications;
  • Another object of the present invention is to provide an improved integrated deposition system that is capable of depositing and treating various semiconductor layers, including high performance silicides such as cobalt silicide;
  • Yet another object of the present invention is to provide cost effective, reliable Co silicide processes suitable for mass implementation of next generation IC technologies in conventional semiconductor fabrication facilities.
  • a first aspect of the invention therefore concerns a method of forming silicide materials on a silicon based substrate in which a combination of Co an Co—Ti is used.
  • This includes generally the steps of: depositing a first metal layer on the silicon based substrate, the first metal layer including Cobalt (Co); and depositing a second metal layer on at least selected portions of the first metal layer, the second metal layer including an alloy of Cobalt and a refractory metal; and performing a first heat treatment so as to convert at least part of the first metal layer and the silicon based substrate into a first silicide composition having one Or more cobalt silicide phases, the one or more cobalt silicide phases being characterized by a first resistitivity; and performing a second heat treatment so as to convert the first composition, including the one or more cobalt silicide phases, into a second silicide composition containing primarily a lower resistivity cobalt silicide phase, the lower resistivity cobalt silicide phase having a resistivity substantially less than the first
  • the alloy is a composition including 20 to 80 percent atomic Titanium.
  • a further step of removing any non-silicides after step (c) is also performed in most instances.
  • an additional step of: cleaning the silicon substrate so as to substantially remove any non-native oxides is done prior to step (a).
  • the alloy is preferably a ternary composition of Cobalt, Titanium, and one additional refractory metal and/or carbon.
  • steps (a) through (c) are performed in a single semiconductor wafer processing cluster tool, and without exposing a wafer to ambient between such steps. This further increases reliability, productivity and throughput.
  • Another aspect of the invention concerns forming Co based silicide materials on a silicon based substrate within a cluster tool, and comprising the steps of: depositing a first metal layer on at least selected portions of the silicon based substrate within a first processing chamber of a semiconductor process cluster tool, the first metal layer including an alloy of Cobalt and a refractory metal (preferably Ti).
  • the alloy includes a percentage of refractory metal in the range of 1 to approximately 10 percent.
  • a first heat treatment is performed within the semiconductor process duster tool so as to convert at least part of the first metal layer and the silicon based substrate into a first silicide composition having one or more cobalt silicide phases, the one or more cobalt silicide phases being characterized by a first resistitivity.
  • a purge treatment within the semiconductor process cluster tool is performed using a noble gas so as to remove contaminants and reactive gasses at least prior to steps (a) and/or (b).
  • a second heat treatment converts the first composition, including the one or more cobalt silicide phases, into a second silicide composition containing primarily a lower resistivity cobalt silicide phase, the lower resistivity cobalt silicide phase having a resistivity substantially less than the first resistivity.
  • the first heat treatment is performed as an in-situ anneal while the first metal layer is being deposited. Because a small amount of titanium is used in the target the resulting silicide contains trace amounts of the same.
  • a related aspect of the invention pertains to a method of forming silicide materials in which both sputtering and heat processing operations are performed, to effectuate a type of high temperature sputtering of an alloy layer containing an alloy of Cobalt (Co) and a second refractory metal onto a silicon based substrate.
  • the Co is present in the alloy layer in an amount sufficient for forming a low resistivity salicide contact with the silicon based substrate.
  • the silicon based substrate is heated in-situ (by a heating lamp) at a temperature and time sufficient to cause at least partial salicidation of the silicon based substrate and the alloy layer.
  • the final salicidation is achieved during a subsequent heating step, which is at a higher temperature, and which can also be performed in-situ at the same processing station of a cluster chamber.
  • Yet another aspect of the invention is directed to a method of forming silicide materials on a silicon based substrate using two different layers of cobalt.
  • This process generally include the following steps: (a) depositing a first metal layer on the silicon based substrate, the first metal layer including including an alloy of Cobalt and a refractory metal; and (b) depositing a second metal layer on the silicon based substrate, the second metal layer including a concentration of Cobalt exceeding that of the first metal layer; and (c) performing a first heat treatment substantially contemporaneously with step (b) so as to convert at least part of the first metal layer, the second metal layer and the silicon based substrate into a first silicide composition having one or more cobalt silicide phases, the one or more cobalt silicide phases being characterized by a first resistitivity; and (d) performing a second heat treatment so as to convert the first composition, including the one or more cobalt silicide phases, into a second silicide composition containing primarily a lower resistivity co
  • the alloy includes about 20 to 80 atomic percent of Ti
  • the second metal layer includes a second alloy of Cobalt and a refractory metal.
  • steps (a), (b) and (c) preferably occur within a single semiconductor wafer processing cluster tool.
  • Yet another aspect of the invention concerns a method of operating a cluster tool to effectuate the aforementioned Co silicide processes and reactions.
  • One representative example uses the following steps: (a) cleaning the silicon based wafer to remove any native oxides and/or contaminants; and (b) out-gassing the silicon based wafer. At this point, the silicon based wafer is substantially water-mark free.
  • step (c) a first metal layer is sputtered on the silicon based wafer using an alloy target comprising cobalt (Co) and at least one refractory metal.
  • a step (d) annealing the silicon based wafer in a first anneal treatment to cause the cobalt to react with silicon located on the silicon based wafer is performed.
  • steps (b) through (e) are performed in a single semiconductor wafer processing cluster tool.
  • Still another aspect of the invention is directed to a cluster tool for performing semiconductor processing operations on a wafer.
  • the cluster tool is adapted to have: (a) a load lock chamber for receiving the wafer; and (b) a sputter chamber equipped with a cobalt alloy target for sputtering a target material on the wafer; and (c) a heat annealing apparatus for heating the wafer at a rate and temperature sufficient to cause a silicide reaction between the sputtered target material and the wafer.
  • the load lock chamber is preferably used for outgassing of the wafer.
  • the sputter chamber and the heat annealing apparatus are preferably integrated in a single processing station to effectuate an in-situ; high temperature sputtering operation.
  • a second sputter chamber is also equipped with a second target including cobalt for sputtering a second target material on the wafer.
  • a cleaning station is adapted for performing a cleaning operation on the wafer prior to any sputter operation.
  • a target for the sputter chamber is adjustable in situ so that two different target materials can be deposited on the wafer without changing locations.
  • FIGS. 1 to 6 are cross sectional views generally illustrating an overall manufacturing method for making a layer of cobalt silicide, and a semiconductor device employing the same in accordance with a first embodiment of the present invention
  • FIGS. 7 to 9 are cross sectional views generally illustrating an overall manufacturing method for making a layer of cobalt silicide, and a semiconductor device employing the same in accordance with a second embodiment of the present invention
  • FIGS. 10 to 13 are cross sectional views generally illustrating an overall manufacturing method for making a layer of cobalt silicide, and a semiconductor device employing the same in accordance with a third embodiment of the present invention
  • FIG. 14 depicts a cross section of a prior art scheme for forming cobalt silicide, including intermediate reactions results generated by an anneal step
  • FIG. 15 depicts some of the intermediate reactions results generated by a cobalt silicide process of the present invention during an anneal step
  • FIG. 16 generally illustrates a preferred embodiment of a deposition/reaction system configured in accordance with the teachings of the present invention for depositing and forming silicide materials.
  • FIG. 1 a cross-section of a semiconductor wafer 100 shows a conventional semiconductor device 105 formed in a lightly doped (n or p type) substrate 101 , and which device typically has a doped (n or p type) polysilicon based gate electrode 110 , a gate insulating film 115 , dielectric (SiN) sidewall spacers 120 , 121 , shallow doped (n or p type) source/drain regions 130 , 131 and deep doped (also n or p) source/drain regions 135 , 136 .
  • n or p type lightly doped
  • SiN dielectric
  • Device 100 typically is also bordered by one or more isolation regions 138 , consisting of various insulating films such as SiO2.
  • isolation regions 138 consisting of various insulating films such as SiO2.
  • the materials and manufacturing techniques used to create such starting structures are well-known in the art, so in the interests of brevity and clarity they are not reproduced here.
  • the present invention is preferably used with a polysilicon based gate electrode 110 , and silicon-based source/drain regions 135 , 136 , it will be apparent to those skilled in the art that the present teachings can be used with any suitable base material that can be controllably reacted with cobalt.
  • the exposed silicon surface portions typically comprise both n type and p type doped regions for both polysilicon and substrate areas across wafer 100 .
  • the silicon substrate areas 135 . 136 and polysilicon gate 110 are generally doped by P, As, B and Ge ion implanted impurities, and are usually covered with a thin native oxide (not shown) as noted earlier. This native oxide must be removed prior to the silicidation process to ensure proper contact formation.
  • wafer 100 is processed using any number of conventional techniques known in the art for removing or reducing native oxide on a silicon surface.
  • wafer 100 is processed with a HF dip preferably using deoxygenated water. Isopropyl alcohol drying of the wafer prevents water marks. HF dip and Isopropyl alcohol drying can be performed in a batch process as well as a single wafer process.
  • Another approach is to use a HF vapor treatment to remove the native oxide.
  • Another approach is to physically sputter wafer 100 to remove any native oxide.
  • an out-gassing step may also be included.
  • an overall typical process for native oxide reduction includes the following sequence of steps: a HF dip, IPA drying and an out-gassing step. While out-gassing is usually performed in a reaction chamber, in some applications it can be performed in a load lock location as well
  • the cross section in FIG. 1 depicts wafer 100 after such native oxide reduction steps.
  • wafer 100 is placed in a first sputter deposition chamber where a Cobalt (Co) layer 140 of about 10 nm is deposited over the entire wafer using a substantially pure cobalt target in a noble gas plasma environment.
  • the first sputter deposition chamber is part of a cluster tool described in more detail with reference to FIG. 16 below.
  • the wafer is then moved to a second chamber to deposit a Co—Ti alloy cap layer 150 of about 15 nm using a Co—Ti target also in a noble gas plasma environment.
  • the Co—Ti target is adapted to have a Ti content from about 20 to 80 atomic percent, preferably about 50 atomic percent.
  • Refractory metals such as Ta, W, Mo, Zr, Hf, Nb are also known to mediate cobalt silicidation to form high quality cobalt silicide films just as Ti does.
  • refractory metal is not intended to limit the invention to these metals, and those skilled in the art will appreciate that other metals (positioned near these refractory metals on a periodic table) are also entirely suitable for the present invention.
  • the wafer is transferred to an anneal chamber.
  • This anneal chamber can be integrated to the same cluster tool that includes the aforementioned first and second reaction chambers, or it can be located elsewhere because of the protection afforded by Co—Ti cap layer 150 .
  • cap layer 150 is preferably formed as an amorphous layer. This can be achieved using conventional mechanisms by controlling the temperature of wafer 100 while depositing cap layer 150 .
  • a ternary target with a small addition of a second refractory metal or a light element such as carbon can also be used to improve the quality of cap layer 150 .
  • a first anneal is performed for a relatively short period of time (about 60 seconds) and at about 500 to 650 degree centigrade in a nitrogen or an argon environment, using a conventional heat lamp apparatus.
  • the actual times and temperatures will vary, of course, from heater to heater and for any particular combination of materials/thicknesses can be easily determined and optimized using routine skill.
  • This first anneal operation causes a number of reactions, both within Co—Ti layer 150 , Co layer 140 , and at interfaces with the underlying silicon layers.
  • Co layer 140 and silicon materials in the underlying silicon areas react to form certain compounds within layer 140 , as well as at an interface 160 therebetween, including CoSi and Co2Si which represent high resistivity phase silicides.
  • Titanium from Co—Ti layer 150 may be abstracted by nitrogen to the surface, where it can react to form a Ti/TiN layer (not shown). Since CoTi silicidation is a competing reaction against such abstraction, however, this additional type of layer is not expected to be a significant factor in embodiments of the present invention.
  • Wafer 100 is then selectively etched as illustrated in FIG. 5 to remove metals and mixtures other than the silicides formed in the prior step.
  • the various chemicals and procedures required for this step are well-known in the art, and the present invention is by no means limited in this respect.
  • wafer 100 is then subjected to a second anneal at about 750 to 900 degrees centigrade (in the same manner as before) and with a time sufficient to complete the silicidation process, that is, to transform the high resistance Co2Si and/or CoSi phase materials to a lower resistance CoSi2 phase material.
  • a second anneal at about 750 to 900 degrees centigrade (in the same manner as before) and with a time sufficient to complete the silicidation process, that is, to transform the high resistance Co2Si and/or CoSi phase materials to a lower resistance CoSi2 phase material.
  • the optimal actual times and temperatures will vary, of course, depending on the specific materials, thicknesses, etc. of the deposited layers.
  • wafer 100 may undergo any number of additional well-known processing steps (not shown) to provide interlayer dielectrics, contacts, interconnects, etc., to device 105 , and to complete fabrication of such device.
  • FIG. 15 A cross sectional view of the result of the first anneal treatment is shown in FIG. 15 , which can be contrasted with the result of the prior art at a similar stage shown in FIG. 14 .
  • the intermediate reaction products of the present invention are similar, but the present invention instead advanteageously initially deposits what is an end-result (Co—Ti) of the prior art process. This means that the present process is more predictable, because for a given amount of Co, a process engineer can better determine how much intermediate product (CoSi and Co2Si) will be formed.
  • Co—Ti alloy is less reactive to N2 than Ti, it is nonetheless still sufficiently reactive to oxygen and moisture to prevent any contamination problems. Thus, it performs well enough to prevent any performance issues with the tesulting cobalt silicide layer.
  • the Co—Ti layer 150 of the present invention can act as a source for some Ti diffusion into the underlying Co layer 140 .
  • the presence of Ti mediates the silicidation reaction, which raises the temperature required to convert to the lower resistance CoSi2 phase, but it nonetheless enhances the thermal stability of the resulting cobalt silicide layer 170 .
  • the Co—Ti cap layer 150 is less reactive than a pure Ti cap on Co; this means that there is less interaction between the cap layer and underlying Co films to adversely affect the amount of Co that is available for the silicidation reaction. This fact, in turn, means that process control is improved because the final thickness of the resulting silicide film is more easily controlled.
  • the resulting thickness can be very thin as compared to a prior art process, because less Ti has to be involved in the overall process. This also increases productivity, reduces cycle time, etc. In the present approach, only about 80 to 100 Angstroms of Cobalt are required to react with 350 Angstroms of silicon, resulting in an extremely dense combined silicide layer of approximately 330 Angstroms after final silicidation.
  • FIGS. 7 to 9 A preferred method employed by a second embodiment of the invention is depicted collectively in FIGS. 7 to 9 .
  • like numerals for the second embodiment are intended to refer to like structures as previously discussed in the first embodiment above.
  • a wafer 100 having dielectric surface and silicon surface is prepared.
  • wafer 100 is processed using any number of well-known techniques to remove or reduce native oxide.
  • wafer 100 is then moved to a sputter chamber to deposit a Co—Ti layer 150 using a Co—Ti alloy target having a Ti content about 1 to 50 atomic percent (and preferably 1 to 10 percent) under an noble gas plasma environment, in this case, preferably argon.
  • a noble gas plasma environment in this case, preferably argon.
  • a first anneal is performed at about 500 to 650 degree centigrade preferably in situ as seen in FIG. 8 .
  • This can be achieved by integrating a heating apparatus to the sputter chamber, such as a hot plate or a lamp.
  • a heating apparatus such as a hot plate or a lamp.
  • an in-situ salicidation is performed during sputtering, in what can be considered a high temperature sputtering operation.
  • the first anneal could be performed in another chamber within the cluster tool in a similar manner (i.e., with a hot plate or heating lamp). Since the Si surface is free of oxide, and the ambient is free of N2, there is less tendency for Ti to migrate to the surface in this embodiment.
  • the cluster tool in this embodiment uses a noble gas such as argon for sputtering and purging any vacuum systems prior to critical operations such as a deposition operation.
  • a noble gas purifier is that it can be used to remove trace reactive gasses such as oxygen, moisture and N2 before sputtering operations. In other words, it cuts down significantly on the number of reactive products that can adversely affect the underlying Co, so that less Ti is actually needed for controlling oxygen and moisture contamination. For this reason, in this embodiment, a target comprising 1-10 atomic percent of Ti can be used preferably.
  • the resulting Co—Ti alloy layer 150 is sufficiently rich in Cobalt that it can react effectively to silicide later with the underlying silicon areas. Accordingly, the low percentage Ti alloy target provides enough Ti for forming a Ti—mediated cobalt silicide film yet does not consume too much cobalt by forming an Co—Ti intermetallic mixture. The Ti migrates during the various reactions, however, and in the end resulting silicide film, some residual Ti can be found in the cobalt silicide.
  • the initial layer 150 is not purely Cobalt, it tends to react less with any initial residual oxide that may be on the surface of wafer 100 , or later contaminants. This means that the overall process yield can be improved, because the operating environment and starting conditions do not need to be quite so strict or rigid.
  • the first anneal wafer 100 is removed from the cluster tool and subjected to selective etch (as before) to remove metals other than silicide.
  • the wafer is then rinsed, dried and subjected to a second anneal (as before) to convert the high resistance Co2Si and CoSi phase to low resistance CoSi2 phase as before, resulting in the structure shown in FIG. 9 .
  • This structure is otherwise identical in most respects to the resulting structure shown in FIG. 6 .
  • the advantage of this second embodiment is the simplicity of the number of steps, and their sequence, enhances the productivity of the cluster tool.
  • the cluster tool ( FIG. 16 ) can process wafer 100 for many steps such as native oxide removal, Co—Ti alloy sputtering and the first anneal without exposing to air.
  • the Co—Ti alloy layer 150 provides a Ti—mediated cobalt silicide layer 170 that has good thermal stability against agglomeration of the CoSi2 film under high temperature.
  • the Co—Ti alloy layer 150 also provides some process margin such that neither native oxide removal nor the chamber environments need to be perfect.
  • the above embodiment uses an in-situ salicidation approach (in the form of high temperature sputtering—in this case, sputtering with lamp heating) it also has great potential to reduce leakage current of very shallow source/dtain junctions required in next generation technologies.
  • FIGS. 10 to 13 A preferred method employed by a third embodiment of the invention is depicted collectively in FIGS. 10 to 13 .
  • like numerals for the second embodiment are intended to refer to like structures as previously discussed in the first embodiment above.
  • wafer 100 is subjected to any number of procedures to remove or reduce native oxide.
  • the wafer is moved to a sputter chamber to deposit a first layer 150 consisting of about 5 to 15 nm of a Co—Ti alloy, using a Co—Ti alloy target including about 20 to 80 atomic percent of Ti.
  • wafer 100 is then moved to a second sputter chamber (preferably in the same cluster tool) to deposit either a Co layer 151 , or a Co—Ti alloy layer 151 having a richer (higher percentage) Co content than the first Co—Ti layer 150 .
  • Both layers 150 , 151 are deposited under noble gas environment plasma.
  • the second deposition wafer 100 is heated to about 500 to 650 degree centigrade, that is, an in situ anneal is performed within the sputtering chamber.
  • the first Co—Ti alloy target is preferred to have a Ti content near or higher than 50 atomic percent so as to reduce an effective amount of Co available to form a silicide when heated in the second chamber.
  • the second sputtering operation supplies additional Co to the wafer surface allowing Co2Si or CoSi to be formed during the process since heat is also provided. In this way, the final thickness of layers 150 , 151 is easier to control.
  • wafer 100 is treated with a selective etch to remove metals other than silicide.
  • the wafer is then rinsed, dried and annealed for the second time as shown in FIG. 12 to convert the Co2Si and CoSi phase materials to the lower resistance CoSi2 phase.
  • the resulting layer 170 of FIG. 13 is substantially the same as that shown already in FIGS. 6 and 9 .
  • FIG. 16 An improved semiconductor processing system 1000 is depicted generally in FIG. 16 , which is based generally on a conventional cluster tool system such as the Endura® HP PVD System sold by Applied Materials.
  • system 1000 includes a set of loadlock chambers 1010 and 1020 for receiving/pre-processing incoming wafers and/or outputting finished wafers. For example, outgassing operations can typically be performed in such loadlock chambers.
  • a variety of processing chambers 1030 - 1080 , and wafer handling stations 1100 and 1200 are also part of the system.
  • system 1000 includes one or more modified sputter chambers 1040 , in which a Co—Ti target is used (in lieu of a conventional sputtering target) or a combination of separate stations using both a Co-based target and a Co—Ti alloy target are used for depositing separate Co and Co—Ti layers.
  • a Co—Ti target is used (in lieu of a conventional sputtering target) or a combination of separate stations using both a Co-based target and a Co—Ti alloy target are used for depositing separate Co and Co—Ti layers.
  • the Endura® system also already includes a precleaning station, where one or more of the aforementioned wafer cleaning operations can be performed.
  • one or more sputter chambers 1050 also include some form of heating assembly, so that heating operations, including in-situ anneals, can be performed directly on wafers 100 without having to remove the wafers from the cluster tool.
  • sputter chamber 1040 (with an alloy target) includes an integrated heating lamp, for example, a high temperature sputtering operation noted earlier can be conveniently performed for in-situ salicidation.
  • Wafer handling stations 1100 and 1200 ensure that the wafers move smoothly from station to station without breaking vacuum, and so as to avoid contamination.

Abstract

A cluster tool and a number of different processes for making a cobalt-silicide material are disclosed. Combinations of alloyed layers of Co—Ti—along with layers of Co—are arranged and heat treated so as to effectuate a silicide reaction. The resulting structures have extremely low resistance, and show little line width dependence, thus making them particularly attractive for use in semiconductor processing. A cluster tool is configured with appropriate sputter targets/heat assemblies to implement many of the needed operations for the silicide reactions, thus resulting in higher savings, productivity, etc.

Description

    FIELD OF THE INVENTION
  • This invention relates to a process and system for making a cobalt silicide material suitable for a semiconductor manufacturing process.
  • BACKGROUND OF THE INVENTION
  • Deep submicron (DSM) complementary metal oxide semiconductor (CMOS) circuits make extensive use of interconnects and contacts, and these latter features must be scaleable as well to ensure smooth migrations to smaller geometries. Connections to and between active CMOS FET devices are typically created with so-called “silicide” contacts, in which a portion of a source/drain region is converted during a thermal treatment into a metallic low resistance region. Solicitation reactions are well-known, and state of the art manufacturing processes in the 0.18 micron realm typically utilize some form of TiSi2 material as a gate and active region contact. However TiSi2 has several limitations, including linewidth-dependent sheet resistance, low thermal stability, and the fact that titanium can consume an unpredictable amount of silicon during the salicidation reaction. Such characteristics severely handicap the potential for TiSi2 in next generation technologies.
  • Cobalt silicide (CoSi2) has recently been advocated as a replacement for TiSi2.
  • One example of a prior art technique disclosing the making and use of CoSi2 is Goto et. al. “Optimization of Salicide Process for sub 0.1 um CMOS Devices” 1994 Symposium on VLSI Technology Digest of Technical Papers, page 119. Cobalt, however, is not without its limitations and problems as well. For instance, Cobalt is sensitive to oxygen and water. Even using very high purity inert gas for the heat treatment, the resulting cobalt salicide is often oxygen contaminated and a sheet resistance of the cobalt salicide thus increases. To prevent such oxidization of the cobalt layer, Goto discloses a cobalt salicide process using a Ti or TiN cap layer on top of the cobalt layer. Thus, a cobalt layer is deposited on a wafer having a top surface comprised of a mixture of exposed surfaces, including dielectric (typically sidewall and isolation) surfaces and silicon surfaces (typically gate and source/drain regions). A Ti or TiN cap layer is deposited on the cobalt layer without exposing the cobalt layer to air. The wafer is then subjected to a first anneal. During the first anneal cobalt reacts with silicon at the surface of the wafer where silicon contacts with cobalt After the first anneal the wafer is etched in a NH4OH, H2O2, H2O solution and then with a HCl, H2O2, H2O solution. This two-step wet process etches away any metals which are not silicided, that is, Co, Ti, TiN and mixtures thereof. The wafer is then subjected to a second annealing process. In this process conventional semiconductor process quality N2 can be used during the first annealing. After this first anneal the Ti or TiN cap prevents residual oxygen from reacting with Co; therefore the resistance of the produced cobalt salicide does not increase due to an oxygen contamination problem.
  • As mentioned earlier, to prevent oxidation during silicidation, Ti and TiN are most widely used for capping a Co layer in the Co salicide process. The two materials have different strengths and weaknesses in this regard. For instance, TiN is more stable and does not react much with the Co layer. Nonetheless, Ti is more favored at this time, in large part because Ti is more reactive toward oxygen, and therefore is a potentially a better cap for preventing oxidation of Co. A Ti cap is also known to produce a more thermally stable Co salicide film. This fact is disclosed in Sohn et al. “Effects of Ti-capping on formation and stability of Co silicide” Journal of The Electrochemical Society 147 (1) page 373-380, 2000.
  • The use of Ti capping on Co however results in a complicated silicidation reaction. As Sohn points out, during the first anneal, Si reacts with Co to form a CoSix layer, consisting of primarily CoSi and CoSi2; Ti diffuses into the Co layer as Co reacts with Si; the Co and Ti form a layer of intermetallic mixture and the Ti layer experiences some nitridation. All these reactions take place in the same time causing complex process control consequences. This phenomenon is illustrated generally in FIG. 14.
  • In addition, for a Ti capped Cobalt silicide process, the first anneal temperature typically needs to be higher than for a comparable TiN capped process. This is a result of the effect of Ti diffusion into the Co layer and the resulting mediation of the silicidation reaction by Ti. In other words, in a Ti-mediated cobalt silicidation process, the presence of Ti retards the Co—Si reaction so that higher anneal temperatures are needed to complete the total reaction. According to Sohn, Ti diffuses into the Si interface and silicide grain boundary, thus stabilizing the final CoSi2 film,. Not all deposited Co reacts to form silicide because some Co reacts with the Ti and is converted into a Co—Ti intermetallic mixture layer. Usually in conventional processes, a Ti cap of 1 to 2 times the thickness of Co is used. Using such a large amount of Ti in turn affects the amount of Co that can ultimately react with silicon. All of these effects are hard to predict and control, and this makes the task of process engineering with cobalt silicide quite complicated. For instance, the final thickness of Cobalt silicide needs to be precisely controlled for more advanced generation of process because of the scaling down of source and drain junction depth.
  • Thus, although the conventional Co salicide process generally meets the requirement of advanced process of less than 0.1 um feature size, there is need to further improve the Co salicide process, and to ensure that it will be useable even below such feature size. There is a substantial need in the industry to have an extremely small feature size/line width Co silicide process that achieves such scaled down thicknesses yet has good thermal stability to withstand anneal temperature near 800 to 900 degrees centigrade without agglomeration. Furthermore, there is a need to be able to control the process with a better process margin, especially as pertains to the thickness and the sheet resistance of the Co silicide film. Finally, there is an additional pressing need for a basic process flow and process tool for forming Co salicide that achieves a higher productivity in conventional semiconductor manufacturing.
  • SUMMARY OF THE INVENTION
  • A primary object of the present invention therefore is to provide a solution to many of the aforementioned problems associated with the manufacturing of cobalt silicide.
  • A related object is to provide a high performance, easily manufacturable contact material suitable for a variety of semiconductor applications, including in self-aligned silicide (SALICIDE) applications;
  • Another object of the present invention is to provide an improved integrated deposition system that is capable of depositing and treating various semiconductor layers, including high performance silicides such as cobalt silicide;
  • Yet another object of the present invention is to provide cost effective, reliable Co silicide processes suitable for mass implementation of next generation IC technologies in conventional semiconductor fabrication facilities.
  • A first aspect of the invention therefore concerns a method of forming silicide materials on a silicon based substrate in which a combination of Co an Co—Ti is used. This includes generally the steps of: depositing a first metal layer on the silicon based substrate, the first metal layer including Cobalt (Co); and depositing a second metal layer on at least selected portions of the first metal layer, the second metal layer including an alloy of Cobalt and a refractory metal; and performing a first heat treatment so as to convert at least part of the first metal layer and the silicon based substrate into a first silicide composition having one Or more cobalt silicide phases, the one or more cobalt silicide phases being characterized by a first resistitivity; and performing a second heat treatment so as to convert the first composition, including the one or more cobalt silicide phases, into a second silicide composition containing primarily a lower resistivity cobalt silicide phase, the lower resistivity cobalt silicide phase having a resistivity substantially less than the first resistivity.
  • In a preferred approach for this aspect of the invention, the alloy is a composition including 20 to 80 percent atomic Titanium. In addition, a further step of removing any non-silicides after step (c) is also performed in most instances. Further in a preferred approach, an additional step of: cleaning the silicon substrate so as to substantially remove any non-native oxides is done prior to step (a). In addition, the alloy is preferably a ternary composition of Cobalt, Titanium, and one additional refractory metal and/or carbon.
  • Further in a preferred approach of this aspect of the invention, steps (a) through (c) are performed in a single semiconductor wafer processing cluster tool, and without exposing a wafer to ambient between such steps. This further increases reliability, productivity and throughput.
  • Another aspect of the invention concerns forming Co based silicide materials on a silicon based substrate within a cluster tool, and comprising the steps of: depositing a first metal layer on at least selected portions of the silicon based substrate within a first processing chamber of a semiconductor process cluster tool, the first metal layer including an alloy of Cobalt and a refractory metal (preferably Ti). The alloy includes a percentage of refractory metal in the range of 1 to approximately 10 percent. A first heat treatment is performed within the semiconductor process duster tool so as to convert at least part of the first metal layer and the silicon based substrate into a first silicide composition having one or more cobalt silicide phases, the one or more cobalt silicide phases being characterized by a first resistitivity. After this, a purge treatment within the semiconductor process cluster tool is performed using a noble gas so as to remove contaminants and reactive gasses at least prior to steps (a) and/or (b). Then, a second heat treatment converts the first composition, including the one or more cobalt silicide phases, into a second silicide composition containing primarily a lower resistivity cobalt silicide phase, the lower resistivity cobalt silicide phase having a resistivity substantially less than the first resistivity.
  • In a preferred approach, the first heat treatment is performed as an in-situ anneal while the first metal layer is being deposited. Because a small amount of titanium is used in the target the resulting silicide contains trace amounts of the same.
  • A related aspect of the invention pertains to a method of forming silicide materials in which both sputtering and heat processing operations are performed, to effectuate a type of high temperature sputtering of an alloy layer containing an alloy of Cobalt (Co) and a second refractory metal onto a silicon based substrate. The Co is present in the alloy layer in an amount sufficient for forming a low resistivity salicide contact with the silicon based substrate. While the sputtering is taking place, the silicon based substrate is heated in-situ (by a heating lamp) at a temperature and time sufficient to cause at least partial salicidation of the silicon based substrate and the alloy layer. The final salicidation is achieved during a subsequent heating step, which is at a higher temperature, and which can also be performed in-situ at the same processing station of a cluster chamber.
  • Yet another aspect of the invention is directed to a method of forming silicide materials on a silicon based substrate using two different layers of cobalt. This process generally include the following steps: (a) depositing a first metal layer on the silicon based substrate, the first metal layer including including an alloy of Cobalt and a refractory metal; and (b) depositing a second metal layer on the silicon based substrate, the second metal layer including a concentration of Cobalt exceeding that of the first metal layer; and (c) performing a first heat treatment substantially contemporaneously with step (b) so as to convert at least part of the first metal layer, the second metal layer and the silicon based substrate into a first silicide composition having one or more cobalt silicide phases, the one or more cobalt silicide phases being characterized by a first resistitivity; and (d) performing a second heat treatment so as to convert the first composition, including the one or more cobalt silicide phases, into a second silicide composition containing primarily a lower resistivity cobalt silicide phase, the lower resistivity cobalt silicide phase having a resistivity substantially less than the first resistivity.
  • In a preferred approach, the alloy includes about 20 to 80 atomic percent of Ti, and the second metal layer includes a second alloy of Cobalt and a refractory metal. As before, steps (a), (b) and (c) preferably occur within a single semiconductor wafer processing cluster tool.
  • Yet another aspect of the invention concerns a method of operating a cluster tool to effectuate the aforementioned Co silicide processes and reactions. One representative example uses the following steps: (a) cleaning the silicon based wafer to remove any native oxides and/or contaminants; and (b) out-gassing the silicon based wafer. At this point, the silicon based wafer is substantially water-mark free. Thereafter in step (c) a first metal layer is sputtered on the silicon based wafer using an alloy target comprising cobalt (Co) and at least one refractory metal. Then a step (d) annealing the silicon based wafer in a first anneal treatment to cause the cobalt to react with silicon located on the silicon based wafer is performed. To enhance reliabiltity and productivity, steps (b) through (e) are performed in a single semiconductor wafer processing cluster tool.
  • Since many cluster tools do not include wet etching, such steps are performed on the silicon based wafer at a processing station separate from the single semiconductor wafer processing cluster tool to remove metals other than silicides. Furthermore, the outgassing step can also occur in a loadlock chamber of the single semiconductor wafer processing cluster tool.
  • Still another aspect of the invention is directed to a cluster tool for performing semiconductor processing operations on a wafer. The cluster tool is adapted to have: (a) a load lock chamber for receiving the wafer; and (b) a sputter chamber equipped with a cobalt alloy target for sputtering a target material on the wafer; and (c) a heat annealing apparatus for heating the wafer at a rate and temperature sufficient to cause a silicide reaction between the sputtered target material and the wafer.
  • The load lock chamber is preferably used for outgassing of the wafer. The sputter chamber and the heat annealing apparatus are preferably integrated in a single processing station to effectuate an in-situ; high temperature sputtering operation. A second sputter chamber is also equipped with a second target including cobalt for sputtering a second target material on the wafer. Furthermore, a cleaning station is adapted for performing a cleaning operation on the wafer prior to any sputter operation. Finally, in another variation, a target for the sputter chamber is adjustable in situ so that two different target materials can be deposited on the wafer without changing locations.
  • Other aspects of the present invention are directed to structures, compositions and semiconductor devices that are formed as a result of the aforementioned Co silicide reactions and processes, and using the cluster tools as described.
  • These and other aspects of the invention are now described in detail with reference to the attached drawings and other supporting materials provided herein.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 to 6 are cross sectional views generally illustrating an overall manufacturing method for making a layer of cobalt silicide, and a semiconductor device employing the same in accordance with a first embodiment of the present invention;
  • FIGS. 7 to 9 are cross sectional views generally illustrating an overall manufacturing method for making a layer of cobalt silicide, and a semiconductor device employing the same in accordance with a second embodiment of the present invention;
  • FIGS. 10 to 13 are cross sectional views generally illustrating an overall manufacturing method for making a layer of cobalt silicide, and a semiconductor device employing the same in accordance with a third embodiment of the present invention;
  • FIG. 14 depicts a cross section of a prior art scheme for forming cobalt silicide, including intermediate reactions results generated by an anneal step;
  • FIG. 15 depicts some of the intermediate reactions results generated by a cobalt silicide process of the present invention during an anneal step;
  • FIG. 16 generally illustrates a preferred embodiment of a deposition/reaction system configured in accordance with the teachings of the present invention for depositing and forming silicide materials.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The following detailed description is meant to be illustrative only of particular embodiments of the invention. Other embodiments of the invention and variations of those disclosed will be obvious to those skilled in the art in view of the following description.
  • First Embodiment
  • A preferred method employed by a first embodiment of the invention is depicted collectively in FIGS. 1 to 6. In FIG. 1, a cross-section of a semiconductor wafer 100 shows a conventional semiconductor device 105 formed in a lightly doped (n or p type) substrate 101, and which device typically has a doped (n or p type) polysilicon based gate electrode 110, a gate insulating film 115, dielectric (SiN) sidewall spacers 120, 121, shallow doped (n or p type) source/ drain regions 130, 131 and deep doped (also n or p) source/ drain regions 135, 136. Device 100 typically is also bordered by one or more isolation regions 138, consisting of various insulating films such as SiO2. The materials and manufacturing techniques used to create such starting structures are well-known in the art, so in the interests of brevity and clarity they are not reproduced here. While the present invention is preferably used with a polysilicon based gate electrode 110, and silicon-based source/ drain regions 135, 136, it will be apparent to those skilled in the art that the present teachings can be used with any suitable base material that can be controllably reacted with cobalt.
  • The exposed silicon surface portions (i.e., gate electrode 110, source/drain regions 135, 136) typically comprise both n type and p type doped regions for both polysilicon and substrate areas across wafer 100. The silicon substrate areas 135.136 and polysilicon gate 110 are generally doped by P, As, B and Ge ion implanted impurities, and are usually covered with a thin native oxide (not shown) as noted earlier. This native oxide must be removed prior to the silicidation process to ensure proper contact formation.
  • To do this, wafer 100 is processed using any number of conventional techniques known in the art for removing or reducing native oxide on a silicon surface. In a preferred method, wafer 100 is processed with a HF dip preferably using deoxygenated water. Isopropyl alcohol drying of the wafer prevents water marks. HF dip and Isopropyl alcohol drying can be performed in a batch process as well as a single wafer process. Another approach is to use a HF vapor treatment to remove the native oxide. Another approach is to physically sputter wafer 100 to remove any native oxide. These latter two approaches are easily integrated in a cluster tool system, so that the oxide-removal treatment can be performed in one chamber and wafer 100 is then transferred to another chamber for metal sputter without being exposed to air in between steps.
  • In some applications an out-gassing step may also be included. Thus, an overall typical process for native oxide reduction includes the following sequence of steps: a HF dip, IPA drying and an out-gassing step. While out-gassing is usually performed in a reaction chamber, in some applications it can be performed in a load lock location as well
  • In any event, the cross section in FIG. 1 depicts wafer 100 after such native oxide reduction steps. Next, as shown in FIG. 2, wafer 100 is placed in a first sputter deposition chamber where a Cobalt (Co) layer 140 of about 10 nm is deposited over the entire wafer using a substantially pure cobalt target in a noble gas plasma environment. In a preferred approach, the first sputter deposition chamber is part of a cluster tool described in more detail with reference to FIG. 16 below.
  • As seen in FIG. 3, the wafer is then moved to a second chamber to deposit a Co—Ti alloy cap layer 150 of about 15 nm using a Co—Ti target also in a noble gas plasma environment. The Co—Ti target is adapted to have a Ti content from about 20 to 80 atomic percent, preferably about 50 atomic percent. Of course, in some reactors it may be possible to change targets without removing wafer 100 from the first reaction chamber so that both the Co and Co—Ti cap layer are formed in the same chamber.
  • While Ti is used in this preferred embodiment, it will be apparent to those skilled in the art that other elements could be used depending on the desired film qualities, compatibility with subsequent deposition materials, etc., and provided such elements can provide the same degree of protection from oxygen. For example, any number of refractor metals may be suitable for a particular application. Refractory metals such as Ta, W, Mo, Zr, Hf, Nb are also known to mediate cobalt silicidation to form high quality cobalt silicide films just as Ti does. In this regard, it should be noted that the term “refractory metal” is not intended to limit the invention to these metals, and those skilled in the art will appreciate that other metals (positioned near these refractory metals on a periodic table) are also entirely suitable for the present invention.
  • After the Co—Ti capping, the wafer is transferred to an anneal chamber. This anneal chamber can be integrated to the same cluster tool that includes the aforementioned first and second reaction chambers, or it can be located elsewhere because of the protection afforded by Co—Ti cap layer 150. In those cases where the anneal chamber is not integrated to the same cluster tool, cap layer 150 is preferably formed as an amorphous layer. This can be achieved using conventional mechanisms by controlling the temperature of wafer 100 while depositing cap layer 150. As a further refinement, a ternary target with a small addition of a second refractory metal or a light element such as carbon can also be used to improve the quality of cap layer 150.
  • As shown in FIG. 4, a first anneal is performed for a relatively short period of time (about 60 seconds) and at about 500 to 650 degree centigrade in a nitrogen or an argon environment, using a conventional heat lamp apparatus. The actual times and temperatures will vary, of course, from heater to heater and for any particular combination of materials/thicknesses can be easily determined and optimized using routine skill. This first anneal operation causes a number of reactions, both within Co—Ti layer 150, Co layer 140, and at interfaces with the underlying silicon layers. For instance, Co layer 140 and silicon materials in the underlying silicon areas (gate electrode 110 and Source/Drain regions 135, 136) react to form certain compounds within layer 140, as well as at an interface 160 therebetween, including CoSi and Co2Si which represent high resistivity phase silicides.
  • In some environments and in certain process windows it is conceivable that Titanium from Co—Ti layer 150 may be abstracted by nitrogen to the surface, where it can react to form a Ti/TiN layer (not shown). Since CoTi silicidation is a competing reaction against such abstraction, however, this additional type of layer is not expected to be a significant factor in embodiments of the present invention.
  • Wafer 100 is then selectively etched as illustrated in FIG. 5 to remove metals and mixtures other than the silicides formed in the prior step. Again, the various chemicals and procedures required for this step are well-known in the art, and the present invention is by no means limited in this respect.
  • As seen in FIG. 6, wafer 100 is then subjected to a second anneal at about 750 to 900 degrees centigrade (in the same manner as before) and with a time sufficient to complete the silicidation process, that is, to transform the high resistance Co2Si and/or CoSi phase materials to a lower resistance CoSi2 phase material. As before, the optimal actual times and temperatures will vary, of course, depending on the specific materials, thicknesses, etc. of the deposited layers. This completes the formation of the cobalt silicide layer 170. Thereafter, wafer 100 may undergo any number of additional well-known processing steps (not shown) to provide interlayer dielectrics, contacts, interconnects, etc., to device 105, and to complete fabrication of such device.
  • A cross sectional view of the result of the first anneal treatment is shown in FIG. 15, which can be contrasted with the result of the prior art at a similar stage shown in FIG. 14. As seen in FIG. 5, the intermediate reaction products of the present invention are similar, but the present invention instead advanteageously initially deposits what is an end-result (Co—Ti) of the prior art process. This means that the present process is more predictable, because for a given amount of Co, a process engineer can better determine how much intermediate product (CoSi and Co2Si) will be formed.
  • While the Co—Ti alloy is less reactive to N2 than Ti, it is nonetheless still sufficiently reactive to oxygen and moisture to prevent any contamination problems. Thus, it performs well enough to prevent any performance issues with the tesulting cobalt silicide layer.
  • Moreover, like the Ti cap used in the prior art, the Co—Ti layer 150 of the present invention can act as a source for some Ti diffusion into the underlying Co layer 140. The presence of Ti mediates the silicidation reaction, which raises the temperature required to convert to the lower resistance CoSi2 phase, but it nonetheless enhances the thermal stability of the resulting cobalt silicide layer 170. At the same time, the Co—Ti cap layer 150 is less reactive than a pure Ti cap on Co; this means that there is less interaction between the cap layer and underlying Co films to adversely affect the amount of Co that is available for the silicidation reaction. This fact, in turn, means that process control is improved because the final thickness of the resulting silicide film is more easily controlled.
  • Furthermore, the resulting thickness can be very thin as compared to a prior art process, because less Ti has to be involved in the overall process. This also increases productivity, reduces cycle time, etc. In the present approach, only about 80 to 100 Angstroms of Cobalt are required to react with 350 Angstroms of silicon, resulting in an extremely dense combined silicide layer of approximately 330 Angstroms after final silicidation.
  • Second Embodiment
  • A preferred method employed by a second embodiment of the invention is depicted collectively in FIGS. 7 to 9. Unless otherwise noted, like numerals for the second embodiment are intended to refer to like structures as previously discussed in the first embodiment above.
  • As before with the first embodiment, a wafer 100 having dielectric surface and silicon surface is prepared. As before, wafer 100 is processed using any number of well-known techniques to remove or reduce native oxide.
  • As shown in FIG. 7, wafer 100 is then moved to a sputter chamber to deposit a Co—Ti layer 150 using a Co—Ti alloy target having a Ti content about 1 to 50 atomic percent (and preferably 1 to 10 percent) under an noble gas plasma environment, in this case, preferably argon.
  • A first anneal is performed at about 500 to 650 degree centigrade preferably in situ as seen in FIG. 8. This can be achieved by integrating a heating apparatus to the sputter chamber, such as a hot plate or a lamp. Thus, in this approach, an in-situ salicidation is performed during sputtering, in what can be considered a high temperature sputtering operation.
  • Alternatively, the first anneal could be performed in another chamber within the cluster tool in a similar manner (i.e., with a hot plate or heating lamp). Since the Si surface is free of oxide, and the ambient is free of N2, there is less tendency for Ti to migrate to the surface in this embodiment.
  • Unlike the first embodiment, no additional first layer of Co is deposited, because it is not necessary to do so. By carefully controlling the amount of Ti in the Co—Ti alloy target, it is possible to deposit a mixture that: (1) has sufficient Cobalt to react effectively with the underlying silicon; (2) and yet also has sufficient Ti to prevent contamination to the Cobalt from oxygen and other reactants.
  • To minimize this second effect, the cluster tool in this embodiment uses a noble gas such as argon for sputtering and purging any vacuum systems prior to critical operations such as a deposition operation. The point of using a noble gas purifier is that it can be used to remove trace reactive gasses such as oxygen, moisture and N2 before sputtering operations. In other words, it cuts down significantly on the number of reactive products that can adversely affect the underlying Co, so that less Ti is actually needed for controlling oxygen and moisture contamination. For this reason, in this embodiment, a target comprising 1-10 atomic percent of Ti can be used preferably.
  • At the same time, the resulting Co—Ti alloy layer 150 is sufficiently rich in Cobalt that it can react effectively to silicide later with the underlying silicon areas. Accordingly, the low percentage Ti alloy target provides enough Ti for forming a Ti—mediated cobalt silicide film yet does not consume too much cobalt by forming an Co—Ti intermetallic mixture. The Ti migrates during the various reactions, however, and in the end resulting silicide film, some residual Ti can be found in the cobalt silicide.
  • Finally, because the initial layer 150 is not purely Cobalt, it tends to react less with any initial residual oxide that may be on the surface of wafer 100, or later contaminants. This means that the overall process yield can be improved, because the operating environment and starting conditions do not need to be quite so strict or rigid.
  • After the first anneal wafer 100 is removed from the cluster tool and subjected to selective etch (as before) to remove metals other than silicide. The wafer is then rinsed, dried and subjected to a second anneal (as before) to convert the high resistance Co2Si and CoSi phase to low resistance CoSi2 phase as before, resulting in the structure shown in FIG. 9. This structure is otherwise identical in most respects to the resulting structure shown in FIG. 6.
  • The advantage of this second embodiment is the simplicity of the number of steps, and their sequence, enhances the productivity of the cluster tool. The cluster tool (FIG. 16) can process wafer 100 for many steps such as native oxide removal, Co—Ti alloy sputtering and the first anneal without exposing to air. The Co—Ti alloy layer 150 provides a Ti—mediated cobalt silicide layer 170 that has good thermal stability against agglomeration of the CoSi2 film under high temperature. In addition, the Co—Ti alloy layer 150 also provides some process margin such that neither native oxide removal nor the chamber environments need to be perfect.
  • Because the above embodiment uses an in-situ salicidation approach (in the form of high temperature sputtering—in this case, sputtering with lamp heating) it also has great potential to reduce leakage current of very shallow source/dtain junctions required in next generation technologies.
  • Third Embodiment
  • A preferred method employed by a third embodiment of the invention is depicted collectively in FIGS. 10 to 13. Unless otherwise noted, like numerals for the second embodiment are intended to refer to like structures as previously discussed in the first embodiment above.
  • As above, wafer 100 is subjected to any number of procedures to remove or reduce native oxide. Next, as shown in FIG. 10, the wafer is moved to a sputter chamber to deposit a first layer 150 consisting of about 5 to 15 nm of a Co—Ti alloy, using a Co—Ti alloy target including about 20 to 80 atomic percent of Ti.
  • Next, in FIG. 11, wafer 100 is then moved to a second sputter chamber (preferably in the same cluster tool) to deposit either a Co layer 151, or a Co—Ti alloy layer 151 having a richer (higher percentage) Co content than the first Co—Ti layer 150. Both layers 150, 151 are deposited under noble gas environment plasma. In the second deposition wafer 100 is heated to about 500 to 650 degree centigrade, that is, an in situ anneal is performed within the sputtering chamber. The first Co—Ti alloy target is preferred to have a Ti content near or higher than 50 atomic percent so as to reduce an effective amount of Co available to form a silicide when heated in the second chamber. The second sputtering operation supplies additional Co to the wafer surface allowing Co2Si or CoSi to be formed during the process since heat is also provided. In this way, the final thickness of layers 150, 151 is easier to control.
  • As before, wafer 100 is treated with a selective etch to remove metals other than silicide. The wafer is then rinsed, dried and annealed for the second time as shown in FIG. 12 to convert the Co2Si and CoSi phase materials to the lower resistance CoSi2 phase. The resulting layer 170 of FIG. 13 is substantially the same as that shown already in FIGS. 6 and 9.
  • Cluster Tool Embodiment
  • An improved semiconductor processing system 1000 is depicted generally in FIG. 16, which is based generally on a conventional cluster tool system such as the Endura® HP PVD System sold by Applied Materials. As seen generally in this figure, system 1000 includes a set of loadlock chambers 1010 and 1020 for receiving/pre-processing incoming wafers and/or outputting finished wafers. For example, outgassing operations can typically be performed in such loadlock chambers. A variety of processing chambers 1030-1080, and wafer handling stations 1100 and 1200 are also part of the system. To accommodate the processes of the present invention, system 1000 includes one or more modified sputter chambers 1040, in which a Co—Ti target is used (in lieu of a conventional sputtering target) or a combination of separate stations using both a Co-based target and a Co—Ti alloy target are used for depositing separate Co and Co—Ti layers.
  • The Endura® system also already includes a precleaning station, where one or more of the aforementioned wafer cleaning operations can be performed. In addition, one or more sputter chambers 1050 (or 1060 or 1070) also include some form of heating assembly, so that heating operations, including in-situ anneals, can be performed directly on wafers 100 without having to remove the wafers from the cluster tool. When sputter chamber 1040 (with an alloy target) includes an integrated heating lamp, for example, a high temperature sputtering operation noted earlier can be conveniently performed for in-situ salicidation. Wafer handling stations 1100 and 1200 ensure that the wafers move smoothly from station to station without breaking vacuum, and so as to avoid contamination.
  • While this invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. It will be clearly understood by those skilled in the art that foregoing description is merely by way of example and is not a limitation on the scope of the invention, which may be utilized in many types of integrated circuits made with conventional processing technologies. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. Such modifications and combinations, of course, may use other features that are already known in lieu of or in addition to what is disclosed herein. It is therefore intended that the appended claims encompass any such modifications or embodiments. While such claims have been formulated based on the particular embodiments described herein, it should be apparent the scope of the disclosure herein also applies to any novel and non-obvious feature (or combination thereof disclosed explicitly or implicitly to one of skill in the art, regardless of whether such relates to the claims as provided below, and whether or not it solves and/or mitigates all of the same technical problems described above. Finally, the applicants further reserve the right to pursue new and/or additional claims directed to any such novel and non-obvious features during the prosecution of the present application (and/or any related applications).

Claims (22)

1-23. (canceled)
24. A cluster tool for performing semiconductor processing operations on a wafer, the cluster tool comprising:
(a) a load lock chamber for receiving the wafer; and
(b) a sputter chamber coupled to the load lock chamber so that the wafer can be transported without breaking vacuum, said sputter chamber being equipped with a cobalt alloy target for sputtering a target material on the wafer;
said sputter chamber being further configured such that it can be purged of oxygen and nitrogen prior to a sputtering operation;
(c) a heat annealing apparatus for heating the wafer at a rate and temperature sufficient to cause a silicide reaction between the sputtered target material and the wafer;
wherein the heat annealing apparatus is coupled to the sputter chamber so that the wafer is not exposed to a contaminant containing ambient between steps (b) and (c).
25. The cluster tool of claim 24, wherein said load lock chamber is used for outgassing of the wafer.
26. The cluster tool of claim 20, wherein said sputter chamber and said heat annealing apparatus are integrated in a single processing station.
27. The cluster tool of claim 20, further including a second sputter chamber equipped with a second target including cobalt for sputtering a second target material on the wafer.
28. The cluster tool of claim 20, further including a cleaning station for performing a cleaning operation on the wafer prior to any sputter operation.
29. The cluster tool of claim 20, wherein a target for said sputter chamber is adjustable in situ so that two different target materials can be deposited on the wafer without changing locations.
30-32. (canceled)
33. A cluster tool for performing semiconductor processing operations on a wafer, the cluster tool comprising:
(a) a cleaning chamber adapted to remove oxide from the wafer;
(b) a first sputter chamber equipped with a cobalt target for sputtering a first target material on the wafer;
(c) a second sputter chamber equipped with a cobalt and refractory metal alloy target for sputtering a second target material on the wafer;
(d) a wafer handler for transporting the wafer so that it is not exposed to air at least between the first sputter chamber and second sputter chamber;
(e) a heat annealing apparatus integrated within the cluster tool for heating the wafer at a rate and temperature sufficient to cause a silicide reaction on the wafer;
wherein the heat annealing apparatus is adapted within the cluster tool so that the wafer is not exposed to a contaminant containing ambient between steps (d) and (e).
34. The cluster tool of claim 33, wherein the cleaning chamber uses a sputtering operation.
35. The cluster tool of claim 33, wherein the first target material includes between about 80-100 Angstroms of Cobalt.
36. The cluster tool of claim 33, wherein the refractory metal includes one or more of: Ti, Ta, W, Mo, Zr, Hf and/or Nb.
37. The cluster tool of claim 33 wherein the heat annealing apparatus is integrated as part of said second sputter chamber.
38. The cluster tool of claim 33 wherein the heat annealing apparatus is located within a separate anneal chamber.
39. The cluster tool of claim 33, wherein a ternary target is used in said second sputter chamber to form an additional layer on said second target material.
40. The cluster tool of claim 33, wherein a ternary target is used in said second sputter chamber to form an additional layer on said second target material.
41. A cluster tool for performing semiconductor processing operations on a wafer, the cluster tool comprising:
(a) a cleaning chamber adapted to remove oxide from the wafer;
(b) a first sputter chamber equipped with a first metal target including cobalt and a refractory metal and configured to sputter a first target material on the wafer;
(c) a second sputter chamber equipped with a second metal target and configured to sputter a second target material on said first target material, which second target material has a higher concentration of cobalt than a layer formed on the wafer by said first target material;
wherein the second sputter chamber includes an integrated heater to facilitate formation of Co2Si and/or CoSi during sputtering;
(d) a wafer handler for transporting the wafer so that it is not exposed to air at least between the first sputter chamber and second sputter chamber.
42. The cluster tool of claim 41, wherein said first target material is used to form a layer of about 5 to 15 nm on the wafer.
43. The cluster tool of claim 41, wherein said second metal target includes only Cobalt.
44. The cluster tool of claim 41, wherein said first metal target includes a concentration of said refractory metal in excess of 50 atomic percent.
45. The cluster tool of claim 41, further including a heat annealing apparatus integrated within the cluster tool for heating the wafer at a rate and temperature sufficient to cause a silicide reaction on the wafer.
46. The cluster tool of claim 45 wherein the heat annealing apparatus is adapted within the cluster tool so that the wafer is not exposed to a contaminant containing ambient.
US11/224,863 2002-06-10 2005-09-12 Wafer processing apparatus & methods for depositing cobalt silicide Abandoned US20060014388A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/224,863 US20060014388A1 (en) 2002-06-10 2005-09-12 Wafer processing apparatus & methods for depositing cobalt silicide

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/166,307 US6743721B2 (en) 2002-06-10 2002-06-10 Method and system for making cobalt silicide
US10/640,779 US6943110B1 (en) 2002-06-10 2003-08-13 Wafer processing apparatus and methods for depositing cobalt silicide
US11/224,863 US20060014388A1 (en) 2002-06-10 2005-09-12 Wafer processing apparatus & methods for depositing cobalt silicide

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/640,779 Division US6943110B1 (en) 2002-06-10 2003-08-13 Wafer processing apparatus and methods for depositing cobalt silicide

Publications (1)

Publication Number Publication Date
US20060014388A1 true US20060014388A1 (en) 2006-01-19

Family

ID=29710637

Family Applications (6)

Application Number Title Priority Date Filing Date
US10/166,307 Expired - Fee Related US6743721B2 (en) 2002-06-10 2002-06-10 Method and system for making cobalt silicide
US10/640,779 Expired - Fee Related US6943110B1 (en) 2002-06-10 2003-08-13 Wafer processing apparatus and methods for depositing cobalt silicide
US10/641,232 Expired - Fee Related US6878627B1 (en) 2002-06-10 2003-08-13 Semiconductor device with cobalt silicide contacts and method of making the same
US11/102,492 Abandoned US20050176248A1 (en) 2002-06-10 2005-04-08 Semiconductor device with cobalt silicide contacts
US11/102,225 Abandoned US20050179139A1 (en) 2002-06-10 2005-04-08 Semiconductor device with cobalt silicide contacts
US11/224,863 Abandoned US20060014388A1 (en) 2002-06-10 2005-09-12 Wafer processing apparatus & methods for depositing cobalt silicide

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US10/166,307 Expired - Fee Related US6743721B2 (en) 2002-06-10 2002-06-10 Method and system for making cobalt silicide
US10/640,779 Expired - Fee Related US6943110B1 (en) 2002-06-10 2003-08-13 Wafer processing apparatus and methods for depositing cobalt silicide
US10/641,232 Expired - Fee Related US6878627B1 (en) 2002-06-10 2003-08-13 Semiconductor device with cobalt silicide contacts and method of making the same
US11/102,492 Abandoned US20050176248A1 (en) 2002-06-10 2005-04-08 Semiconductor device with cobalt silicide contacts
US11/102,225 Abandoned US20050179139A1 (en) 2002-06-10 2005-04-08 Semiconductor device with cobalt silicide contacts

Country Status (3)

Country Link
US (6) US6743721B2 (en)
CN (1) CN1260786C (en)
TW (2) TW200620422A (en)

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060240667A1 (en) * 2005-04-25 2006-10-26 Nec Electronics Corporation Method for manufacturing semiconductor device
US20090078580A1 (en) * 2005-12-02 2009-03-26 Ulvac, Inc. Method for Forming Cu Film
US8670017B2 (en) 2010-03-04 2014-03-11 Intouch Technologies, Inc. Remote presence system including a cart that supports a robot face and an overhead camera
US8836751B2 (en) 2011-11-08 2014-09-16 Intouch Technologies, Inc. Tele-presence system with a user interface that displays different communication links
US8849680B2 (en) 2009-01-29 2014-09-30 Intouch Technologies, Inc. Documentation through a remote presence robot
US8849679B2 (en) 2006-06-15 2014-09-30 Intouch Technologies, Inc. Remote controlled robot system that provides medical images
US8897920B2 (en) 2009-04-17 2014-11-25 Intouch Technologies, Inc. Tele-presence robot system with software modularity, projector and laser pointer
US8902278B2 (en) 2012-04-11 2014-12-02 Intouch Technologies, Inc. Systems and methods for visualizing and managing telepresence devices in healthcare networks
US8965579B2 (en) 2011-01-28 2015-02-24 Intouch Technologies Interfacing with a mobile telepresence robot
US8983174B2 (en) 2004-07-13 2015-03-17 Intouch Technologies, Inc. Mobile robot with a head-based movement mapping scheme
US8996165B2 (en) 2008-10-21 2015-03-31 Intouch Technologies, Inc. Telepresence robot with a camera boom
US9098611B2 (en) 2012-11-26 2015-08-04 Intouch Technologies, Inc. Enhanced video interaction for a user interface of a telepresence network
US9138891B2 (en) 2008-11-25 2015-09-22 Intouch Technologies, Inc. Server connectivity control for tele-presence robot
US9160783B2 (en) 2007-05-09 2015-10-13 Intouch Technologies, Inc. Robot system that operates through a network firewall
US9174342B2 (en) 2012-05-22 2015-11-03 Intouch Technologies, Inc. Social behavior rules for a medical telepresence robot
US9193065B2 (en) 2008-07-10 2015-11-24 Intouch Technologies, Inc. Docking system for a tele-presence robot
US9198728B2 (en) 2005-09-30 2015-12-01 Intouch Technologies, Inc. Multi-camera mobile teleconferencing platform
US9251313B2 (en) 2012-04-11 2016-02-02 Intouch Technologies, Inc. Systems and methods for visualizing and managing telepresence devices in healthcare networks
US9264664B2 (en) 2010-12-03 2016-02-16 Intouch Technologies, Inc. Systems and methods for dynamic bandwidth allocation
US9296107B2 (en) 2003-12-09 2016-03-29 Intouch Technologies, Inc. Protocol for a remotely controlled videoconferencing robot
US9323250B2 (en) 2011-01-28 2016-04-26 Intouch Technologies, Inc. Time-dependent navigation of telepresence robots
US9361021B2 (en) 2012-05-22 2016-06-07 Irobot Corporation Graphical user interfaces including touchpad driving interfaces for telemedicine devices
US9381654B2 (en) 2008-11-25 2016-07-05 Intouch Technologies, Inc. Server connectivity control for tele-presence robot
US9429934B2 (en) 2008-09-18 2016-08-30 Intouch Technologies, Inc. Mobile videoconferencing robot system with network adaptive driving
US9602765B2 (en) 2009-08-26 2017-03-21 Intouch Technologies, Inc. Portable remote presence robot
US9616576B2 (en) 2008-04-17 2017-04-11 Intouch Technologies, Inc. Mobile tele-presence system with a microphone system
US9842192B2 (en) 2008-07-11 2017-12-12 Intouch Technologies, Inc. Tele-presence robot system with multi-cast features
US9849593B2 (en) 2002-07-25 2017-12-26 Intouch Technologies, Inc. Medical tele-robotic system with a master remote station with an arbitrator
US9974612B2 (en) 2011-05-19 2018-05-22 Intouch Technologies, Inc. Enhanced diagnostics for a telepresence robot
US10343283B2 (en) 2010-05-24 2019-07-09 Intouch Technologies, Inc. Telepresence robot system that can be accessed by a cellular phone
US10471588B2 (en) 2008-04-14 2019-11-12 Intouch Technologies, Inc. Robotic based health care system
US10769739B2 (en) 2011-04-25 2020-09-08 Intouch Technologies, Inc. Systems and methods for management of information among medical providers and facilities
US10808882B2 (en) 2010-05-26 2020-10-20 Intouch Technologies, Inc. Tele-robotic system with a robot face placed on a chair
US10875182B2 (en) 2008-03-20 2020-12-29 Teladoc Health, Inc. Remote presence system mounted to operating room hardware
US11154981B2 (en) 2010-02-04 2021-10-26 Teladoc Health, Inc. Robot user interface for telepresence robot system
US11389064B2 (en) 2018-04-27 2022-07-19 Teladoc Health, Inc. Telehealth cart that supports a removable tablet with seamless audio/video switching
US11399153B2 (en) 2009-08-26 2022-07-26 Teladoc Health, Inc. Portable telepresence apparatus
US11636944B2 (en) 2017-08-25 2023-04-25 Teladoc Health, Inc. Connectivity infrastructure for a telehealth platform
US11742094B2 (en) 2017-07-25 2023-08-29 Teladoc Health, Inc. Modular telehealth cart with thermal imaging and touch screen user interface
US11862302B2 (en) 2017-04-24 2024-01-02 Teladoc Health, Inc. Automated transcription and documentation of tele-health encounters

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440851B1 (en) * 1999-10-12 2002-08-27 International Business Machines Corporation Method and structure for controlling the interface roughness of cobalt disilicide
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
KR100555515B1 (en) * 2003-08-27 2006-03-03 삼성전자주식회사 Semiconductor device including a capping layer made of cobalt and fabricating method thereof
US7129169B2 (en) * 2004-05-12 2006-10-31 International Business Machines Corporation Method for controlling voiding and bridging in silicide formation
US20050251936A1 (en) * 2004-05-14 2005-11-17 International Business Machines Corporation Apparatus and method for cleaning electronic articles
KR100653689B1 (en) * 2004-06-09 2006-12-04 삼성전자주식회사 salicide process using bi-metal layer and method of fabricating a semiconductor device using the same
KR100683852B1 (en) * 2004-07-02 2007-02-15 삼성전자주식회사 Mask rom devices of semiconductor devices and methods of forming the same
US7448395B2 (en) * 2004-07-19 2008-11-11 Texas Instruments Incorporated Process method to facilitate silicidation
DE102004047767B3 (en) * 2004-09-30 2006-08-10 Infineon Technologies Ag Method of siliconizing silicon boundary surface e.g. for semiconductor wafer manufacture, involves positioning semiconductor wafer on wafer chuck with wafer thermally decoupled from heated chuck
US20070026690A1 (en) * 2004-11-05 2007-02-01 Yoo Woo S Selective frequency UV heating of films
US20080132045A1 (en) * 2004-11-05 2008-06-05 Woo Sik Yoo Laser-based photo-enhanced treatment of dielectric, semiconductor and conductive films
US20060099827A1 (en) * 2004-11-05 2006-05-11 Yoo Woo S Photo-enhanced UV treatment of dielectric films
CN100334686C (en) * 2004-12-09 2007-08-29 上海交通大学 Method for increasing CoSi2 film heat stability
JP4974880B2 (en) * 2005-01-27 2012-07-11 スパンション エルエルシー Semiconductor device and manufacturing method thereof
US7892905B2 (en) * 2005-08-02 2011-02-22 Globalfoundries Singapore Pte. Ltd. Formation of strained Si channel and Si1-xGex source/drain structures using laser annealing
DE102006004396B3 (en) * 2006-01-31 2007-03-08 Infineon Technologies Ag Removing deposition layer from low-density refractory metal during semiconductor device manufacture, using high-concentration ozonized sulfuric acid
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7485572B2 (en) * 2006-09-25 2009-02-03 International Business Machines Corporation Method for improved formation of cobalt silicide contacts in semiconductor devices
US7622386B2 (en) * 2006-12-06 2009-11-24 International Business Machines Corporation Method for improved formation of nickel silicide contacts in semiconductor devices
CN101206998B (en) * 2006-12-22 2010-10-06 上海宏力半导体制造有限公司 Method for monitoring low temperature rapid hot technics
US7730516B2 (en) 2007-02-27 2010-06-01 Sony Corporation TV-centric system
US8367548B2 (en) 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
US20080233703A1 (en) * 2007-03-21 2008-09-25 Atmel Corporation Polysilicon conductivity improvement in a salicide process technology
CN101740334B (en) * 2008-11-13 2012-10-03 中芯国际集成电路制造(北京)有限公司 Photoetching pretreating method and photoetching method
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) * 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
EP3293775A1 (en) * 2009-09-07 2018-03-14 EL-Seed Corporation Semiconductor light emitting element
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
JP2018078212A (en) * 2016-11-10 2018-05-17 三重富士通セミコンダクター株式会社 Method for manufacturing semiconductor device
RU2698540C1 (en) * 2018-10-17 2019-08-28 Федеральное государственное бюджетное образовательное учреждение высшего образования "Кабардино-Балкарский государственный университет им. Х.М. Бербекова" (КБГУ) Method of contact-barrier metallization production
US11152371B2 (en) * 2019-08-13 2021-10-19 Micron Technology, Inc. Apparatus comprising monocrystalline semiconductor materials and monocrystalline metal silicide materials, and related methods, electronic devices, and electronic systems
CN112222782A (en) * 2020-10-12 2021-01-15 永胜机械工业(昆山)有限公司 Titanium and titanium alloy seal head processing technology
CN112701036B (en) * 2021-03-23 2021-06-08 晶芯成(北京)科技有限公司 Method for manufacturing semiconductor element

Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4359490A (en) * 1981-07-13 1982-11-16 Fairchild Camera & Instrument Corp. Method for LPCVD co-deposition of metal and silicon to form metal silicide
US4885134A (en) * 1988-08-22 1989-12-05 Eastman Kodak Company Sputtering target and method of preparing the same
US4920073A (en) * 1989-05-11 1990-04-24 Texas Instruments, Incorporated Selective silicidation process using a titanium nitride protective layer
US5047367A (en) * 1990-06-08 1991-09-10 Intel Corporation Process for formation of a self aligned titanium nitride/cobalt silicide bilayer
US5282648A (en) * 1992-06-01 1994-02-01 Simula Inc. Inflatable body and head restraint system
US5302552A (en) * 1991-02-26 1994-04-12 U.S. Philips Corporation Method of manufacturing a semiconductor device whereby a self-aligned cobalt or nickel silicide is formed
US5344793A (en) * 1993-03-05 1994-09-06 Siemens Aktiengesellschaft Formation of silicided junctions in deep sub-micron MOSFETs by defect enhanced CoSi2 formation
US5356837A (en) * 1993-10-29 1994-10-18 International Business Machines Corporation Method of making epitaxial cobalt silicide using a thin metal underlayer
US5451545A (en) * 1992-12-23 1995-09-19 Advanced Micro Devices, Inc. Process for forming stable local interconnect/active area silicide structure VLSI applications
US5536684A (en) * 1994-06-30 1996-07-16 Intel Corporation Process for formation of epitaxial cobalt silicide and shallow junction of silicon
US5587851A (en) * 1991-12-24 1996-12-24 Sharp Kabushiki Kaisha Magnetic head driving device with prerecording energization
US5728279A (en) * 1993-12-20 1998-03-17 Leybold Materials Gmbh Cobalt base alloy target for a magnetron cathode sputtering system
US5736461A (en) * 1992-03-02 1998-04-07 Digital Equipment Corporation Self-aligned cobalt silicide on MOS integrated circuits
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5780362A (en) * 1996-06-04 1998-07-14 Wang; Qingfeng CoSi2 salicide method
US5824588A (en) * 1996-06-27 1998-10-20 Winbond Electronics Corp. Double spacer salicide MOS process and device
US5849634A (en) * 1994-04-15 1998-12-15 Sharp Kk Method of forming silicide film on silicon with oxygen concentration below 1018 /cm3
US5904564A (en) * 1996-12-27 1999-05-18 Hyundai Electronics Industries Co., Ltd. Method for fabricating MOSFET having cobalt silicide film
US5970370A (en) * 1998-12-08 1999-10-19 Advanced Micro Devices Manufacturing capping layer for the fabrication of cobalt salicide structures
US5989988A (en) * 1997-11-17 1999-11-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6022457A (en) * 1997-12-24 2000-02-08 United Microelectronics Corp. Method of manufacturing cobalt silicide layer
US6022795A (en) * 1998-05-07 2000-02-08 United Microelectronics Corp. Salicide formation process
US6025274A (en) * 1999-01-11 2000-02-15 United Microelectronics Corp. Method of fabricating salicide
US6083817A (en) * 1999-06-02 2000-07-04 Advanced Micro Devices, Inc. Cobalt silicidation using tungsten nitride capping layer
US6100191A (en) * 1998-04-14 2000-08-08 United Microelectronics Corp. Method for forming self-aligned silicide layers on sub-quarter micron VLSI circuits
US6110821A (en) * 1998-01-27 2000-08-29 Applied Materials, Inc. Method for forming titanium silicide in situ
US6190516B1 (en) * 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6251780B1 (en) * 1999-06-16 2001-06-26 Hyundai Electronics Industries Co., Ltd. Method for fabricating thin film at high temperature
US6323130B1 (en) * 2000-03-06 2001-11-27 International Business Machines Corporation Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging
US6376373B1 (en) * 1999-03-18 2002-04-23 Matsushita Electronics Corporation Method of manufacturing a semiconductor device
US6413859B1 (en) * 2000-03-06 2002-07-02 International Business Machines Corporation Method and structure for retarding high temperature agglomeration of silicides using alloys
US6440851B1 (en) * 1999-10-12 2002-08-27 International Business Machines Corporation Method and structure for controlling the interface roughness of cobalt disilicide
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US20020151170A1 (en) * 1996-06-04 2002-10-17 Karen Maex Method of forming polycrystalline CoSi2 salicide and products obtained thereof
US6740585B2 (en) * 2001-07-25 2004-05-25 Applied Materials, Inc. Barrier formation using novel sputter deposition method with PVD, CVD, or ALD

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4685134A (en) * 1985-07-19 1987-08-04 Rca Corporation Multichannel computer generated sound synthesis system
US5047387A (en) * 1988-01-19 1991-09-10 The United States Of America As Represented By The Secretary Of The Navy Method for the selecting superconducting powders
EP0535314A1 (en) 1991-08-30 1993-04-07 Mitsubishi Materials Corporation Platinum-cobalt alloy sputtering target and method for manufacturing same
US5413957A (en) * 1994-01-24 1995-05-09 Goldstar Electron Co., Ltd. Method for fabricating MOS transistor having source/drain region of shallow junction and silicide film
US5587651A (en) * 1994-11-21 1996-12-24 General Electric Company Alternating current sensor based on parallel-plate geometry and having a conductor for providing separate self-powering
EP0724287A3 (en) * 1995-01-30 1999-04-07 Nec Corporation Method for fabricating semiconductor device having titanium silicide film
US6096638A (en) * 1995-10-28 2000-08-01 Nec Corporation Method for forming a refractory metal silicide layer
US5744395A (en) * 1996-10-16 1998-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance, self-aligned, titanium silicide structures, using a single rapid thermal anneal procedure
US5731239A (en) * 1997-01-22 1998-03-24 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
US5902129A (en) * 1997-04-07 1999-05-11 Lsi Logic Corporation Process for forming improved cobalt silicide layer on integrated circuit structure using two capping layers
US5874342A (en) * 1997-07-09 1999-02-23 Lsi Logic Corporation Process for forming MOS device in integrated circuit structure using cobalt silicide contacts as implantation media
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module

Patent Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4359490A (en) * 1981-07-13 1982-11-16 Fairchild Camera & Instrument Corp. Method for LPCVD co-deposition of metal and silicon to form metal silicide
US4885134A (en) * 1988-08-22 1989-12-05 Eastman Kodak Company Sputtering target and method of preparing the same
US4920073A (en) * 1989-05-11 1990-04-24 Texas Instruments, Incorporated Selective silicidation process using a titanium nitride protective layer
US5047367A (en) * 1990-06-08 1991-09-10 Intel Corporation Process for formation of a self aligned titanium nitride/cobalt silicide bilayer
US5302552A (en) * 1991-02-26 1994-04-12 U.S. Philips Corporation Method of manufacturing a semiconductor device whereby a self-aligned cobalt or nickel silicide is formed
US5587851A (en) * 1991-12-24 1996-12-24 Sharp Kabushiki Kaisha Magnetic head driving device with prerecording energization
US5736461A (en) * 1992-03-02 1998-04-07 Digital Equipment Corporation Self-aligned cobalt silicide on MOS integrated circuits
US5282648A (en) * 1992-06-01 1994-02-01 Simula Inc. Inflatable body and head restraint system
US5451545A (en) * 1992-12-23 1995-09-19 Advanced Micro Devices, Inc. Process for forming stable local interconnect/active area silicide structure VLSI applications
US5344793A (en) * 1993-03-05 1994-09-06 Siemens Aktiengesellschaft Formation of silicided junctions in deep sub-micron MOSFETs by defect enhanced CoSi2 formation
US5356837A (en) * 1993-10-29 1994-10-18 International Business Machines Corporation Method of making epitaxial cobalt silicide using a thin metal underlayer
US5728279A (en) * 1993-12-20 1998-03-17 Leybold Materials Gmbh Cobalt base alloy target for a magnetron cathode sputtering system
US5849634A (en) * 1994-04-15 1998-12-15 Sharp Kk Method of forming silicide film on silicon with oxygen concentration below 1018 /cm3
US5536684A (en) * 1994-06-30 1996-07-16 Intel Corporation Process for formation of epitaxial cobalt silicide and shallow junction of silicon
US5780362A (en) * 1996-06-04 1998-07-14 Wang; Qingfeng CoSi2 salicide method
US20020151170A1 (en) * 1996-06-04 2002-10-17 Karen Maex Method of forming polycrystalline CoSi2 salicide and products obtained thereof
US5824588A (en) * 1996-06-27 1998-10-20 Winbond Electronics Corp. Double spacer salicide MOS process and device
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5904564A (en) * 1996-12-27 1999-05-18 Hyundai Electronics Industries Co., Ltd. Method for fabricating MOSFET having cobalt silicide film
US5989988A (en) * 1997-11-17 1999-11-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6022457A (en) * 1997-12-24 2000-02-08 United Microelectronics Corp. Method of manufacturing cobalt silicide layer
US6110821A (en) * 1998-01-27 2000-08-29 Applied Materials, Inc. Method for forming titanium silicide in situ
US6100191A (en) * 1998-04-14 2000-08-08 United Microelectronics Corp. Method for forming self-aligned silicide layers on sub-quarter micron VLSI circuits
US6022795A (en) * 1998-05-07 2000-02-08 United Microelectronics Corp. Salicide formation process
US5970370A (en) * 1998-12-08 1999-10-19 Advanced Micro Devices Manufacturing capping layer for the fabrication of cobalt salicide structures
US6025274A (en) * 1999-01-11 2000-02-15 United Microelectronics Corp. Method of fabricating salicide
US6376373B1 (en) * 1999-03-18 2002-04-23 Matsushita Electronics Corporation Method of manufacturing a semiconductor device
US6083817A (en) * 1999-06-02 2000-07-04 Advanced Micro Devices, Inc. Cobalt silicidation using tungsten nitride capping layer
US6251780B1 (en) * 1999-06-16 2001-06-26 Hyundai Electronics Industries Co., Ltd. Method for fabricating thin film at high temperature
US6190516B1 (en) * 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6440851B1 (en) * 1999-10-12 2002-08-27 International Business Machines Corporation Method and structure for controlling the interface roughness of cobalt disilicide
US6323130B1 (en) * 2000-03-06 2001-11-27 International Business Machines Corporation Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging
US6413859B1 (en) * 2000-03-06 2002-07-02 International Business Machines Corporation Method and structure for retarding high temperature agglomeration of silicides using alloys
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US6740585B2 (en) * 2001-07-25 2004-05-25 Applied Materials, Inc. Barrier formation using novel sputter deposition method with PVD, CVD, or ALD

Cited By (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9849593B2 (en) 2002-07-25 2017-12-26 Intouch Technologies, Inc. Medical tele-robotic system with a master remote station with an arbitrator
US10315312B2 (en) 2002-07-25 2019-06-11 Intouch Technologies, Inc. Medical tele-robotic system with a master remote station with an arbitrator
US9375843B2 (en) 2003-12-09 2016-06-28 Intouch Technologies, Inc. Protocol for a remotely controlled videoconferencing robot
US9956690B2 (en) 2003-12-09 2018-05-01 Intouch Technologies, Inc. Protocol for a remotely controlled videoconferencing robot
US10882190B2 (en) 2003-12-09 2021-01-05 Teladoc Health, Inc. Protocol for a remotely controlled videoconferencing robot
US9296107B2 (en) 2003-12-09 2016-03-29 Intouch Technologies, Inc. Protocol for a remotely controlled videoconferencing robot
US8983174B2 (en) 2004-07-13 2015-03-17 Intouch Technologies, Inc. Mobile robot with a head-based movement mapping scheme
US9766624B2 (en) 2004-07-13 2017-09-19 Intouch Technologies, Inc. Mobile robot with a head-based movement mapping scheme
US10241507B2 (en) 2004-07-13 2019-03-26 Intouch Technologies, Inc. Mobile robot with a head-based movement mapping scheme
US20060240667A1 (en) * 2005-04-25 2006-10-26 Nec Electronics Corporation Method for manufacturing semiconductor device
US7585771B2 (en) * 2005-04-25 2009-09-08 Nec Electronics Corporation Method of manufacturing semiconductor device
US10259119B2 (en) 2005-09-30 2019-04-16 Intouch Technologies, Inc. Multi-camera mobile teleconferencing platform
US9198728B2 (en) 2005-09-30 2015-12-01 Intouch Technologies, Inc. Multi-camera mobile teleconferencing platform
US20090078580A1 (en) * 2005-12-02 2009-03-26 Ulvac, Inc. Method for Forming Cu Film
US8849679B2 (en) 2006-06-15 2014-09-30 Intouch Technologies, Inc. Remote controlled robot system that provides medical images
US10682763B2 (en) 2007-05-09 2020-06-16 Intouch Technologies, Inc. Robot system that operates through a network firewall
US9160783B2 (en) 2007-05-09 2015-10-13 Intouch Technologies, Inc. Robot system that operates through a network firewall
US10875182B2 (en) 2008-03-20 2020-12-29 Teladoc Health, Inc. Remote presence system mounted to operating room hardware
US11787060B2 (en) 2008-03-20 2023-10-17 Teladoc Health, Inc. Remote presence system mounted to operating room hardware
US10471588B2 (en) 2008-04-14 2019-11-12 Intouch Technologies, Inc. Robotic based health care system
US11472021B2 (en) 2008-04-14 2022-10-18 Teladoc Health, Inc. Robotic based health care system
US9616576B2 (en) 2008-04-17 2017-04-11 Intouch Technologies, Inc. Mobile tele-presence system with a microphone system
US9193065B2 (en) 2008-07-10 2015-11-24 Intouch Technologies, Inc. Docking system for a tele-presence robot
US10493631B2 (en) 2008-07-10 2019-12-03 Intouch Technologies, Inc. Docking system for a tele-presence robot
US10878960B2 (en) 2008-07-11 2020-12-29 Teladoc Health, Inc. Tele-presence robot system with multi-cast features
US9842192B2 (en) 2008-07-11 2017-12-12 Intouch Technologies, Inc. Tele-presence robot system with multi-cast features
US9429934B2 (en) 2008-09-18 2016-08-30 Intouch Technologies, Inc. Mobile videoconferencing robot system with network adaptive driving
US8996165B2 (en) 2008-10-21 2015-03-31 Intouch Technologies, Inc. Telepresence robot with a camera boom
US10875183B2 (en) 2008-11-25 2020-12-29 Teladoc Health, Inc. Server connectivity control for tele-presence robot
US9138891B2 (en) 2008-11-25 2015-09-22 Intouch Technologies, Inc. Server connectivity control for tele-presence robot
US10059000B2 (en) 2008-11-25 2018-08-28 Intouch Technologies, Inc. Server connectivity control for a tele-presence robot
US9381654B2 (en) 2008-11-25 2016-07-05 Intouch Technologies, Inc. Server connectivity control for tele-presence robot
US8849680B2 (en) 2009-01-29 2014-09-30 Intouch Technologies, Inc. Documentation through a remote presence robot
US8897920B2 (en) 2009-04-17 2014-11-25 Intouch Technologies, Inc. Tele-presence robot system with software modularity, projector and laser pointer
US10969766B2 (en) 2009-04-17 2021-04-06 Teladoc Health, Inc. Tele-presence robot system with software modularity, projector and laser pointer
US11399153B2 (en) 2009-08-26 2022-07-26 Teladoc Health, Inc. Portable telepresence apparatus
US10911715B2 (en) 2009-08-26 2021-02-02 Teladoc Health, Inc. Portable remote presence robot
US9602765B2 (en) 2009-08-26 2017-03-21 Intouch Technologies, Inc. Portable remote presence robot
US10404939B2 (en) 2009-08-26 2019-09-03 Intouch Technologies, Inc. Portable remote presence robot
US11154981B2 (en) 2010-02-04 2021-10-26 Teladoc Health, Inc. Robot user interface for telepresence robot system
US8670017B2 (en) 2010-03-04 2014-03-11 Intouch Technologies, Inc. Remote presence system including a cart that supports a robot face and an overhead camera
US9089972B2 (en) 2010-03-04 2015-07-28 Intouch Technologies, Inc. Remote presence system including a cart that supports a robot face and an overhead camera
US11798683B2 (en) 2010-03-04 2023-10-24 Teladoc Health, Inc. Remote presence system including a cart that supports a robot face and an overhead camera
US10887545B2 (en) 2010-03-04 2021-01-05 Teladoc Health, Inc. Remote presence system including a cart that supports a robot face and an overhead camera
US10343283B2 (en) 2010-05-24 2019-07-09 Intouch Technologies, Inc. Telepresence robot system that can be accessed by a cellular phone
US11389962B2 (en) 2010-05-24 2022-07-19 Teladoc Health, Inc. Telepresence robot system that can be accessed by a cellular phone
US10808882B2 (en) 2010-05-26 2020-10-20 Intouch Technologies, Inc. Tele-robotic system with a robot face placed on a chair
US9264664B2 (en) 2010-12-03 2016-02-16 Intouch Technologies, Inc. Systems and methods for dynamic bandwidth allocation
US10218748B2 (en) 2010-12-03 2019-02-26 Intouch Technologies, Inc. Systems and methods for dynamic bandwidth allocation
US11289192B2 (en) 2011-01-28 2022-03-29 Intouch Technologies, Inc. Interfacing with a mobile telepresence robot
US10399223B2 (en) 2011-01-28 2019-09-03 Intouch Technologies, Inc. Interfacing with a mobile telepresence robot
US11468983B2 (en) 2011-01-28 2022-10-11 Teladoc Health, Inc. Time-dependent navigation of telepresence robots
US9323250B2 (en) 2011-01-28 2016-04-26 Intouch Technologies, Inc. Time-dependent navigation of telepresence robots
US10591921B2 (en) 2011-01-28 2020-03-17 Intouch Technologies, Inc. Time-dependent navigation of telepresence robots
US9469030B2 (en) 2011-01-28 2016-10-18 Intouch Technologies Interfacing with a mobile telepresence robot
US9785149B2 (en) 2011-01-28 2017-10-10 Intouch Technologies, Inc. Time-dependent navigation of telepresence robots
US8965579B2 (en) 2011-01-28 2015-02-24 Intouch Technologies Interfacing with a mobile telepresence robot
US10769739B2 (en) 2011-04-25 2020-09-08 Intouch Technologies, Inc. Systems and methods for management of information among medical providers and facilities
US9974612B2 (en) 2011-05-19 2018-05-22 Intouch Technologies, Inc. Enhanced diagnostics for a telepresence robot
US10331323B2 (en) 2011-11-08 2019-06-25 Intouch Technologies, Inc. Tele-presence system with a user interface that displays different communication links
US8836751B2 (en) 2011-11-08 2014-09-16 Intouch Technologies, Inc. Tele-presence system with a user interface that displays different communication links
US9715337B2 (en) 2011-11-08 2017-07-25 Intouch Technologies, Inc. Tele-presence system with a user interface that displays different communication links
US11205510B2 (en) 2012-04-11 2021-12-21 Teladoc Health, Inc. Systems and methods for visualizing and managing telepresence devices in healthcare networks
US10762170B2 (en) 2012-04-11 2020-09-01 Intouch Technologies, Inc. Systems and methods for visualizing patient and telepresence device statistics in a healthcare network
US8902278B2 (en) 2012-04-11 2014-12-02 Intouch Technologies, Inc. Systems and methods for visualizing and managing telepresence devices in healthcare networks
US9251313B2 (en) 2012-04-11 2016-02-02 Intouch Technologies, Inc. Systems and methods for visualizing and managing telepresence devices in healthcare networks
US11515049B2 (en) 2012-05-22 2022-11-29 Teladoc Health, Inc. Graphical user interfaces including touchpad driving interfaces for telemedicine devices
US11453126B2 (en) 2012-05-22 2022-09-27 Teladoc Health, Inc. Clinical workflows utilizing autonomous and semi-autonomous telemedicine devices
US10658083B2 (en) 2012-05-22 2020-05-19 Intouch Technologies, Inc. Graphical user interfaces including touchpad driving interfaces for telemedicine devices
US10603792B2 (en) 2012-05-22 2020-03-31 Intouch Technologies, Inc. Clinical workflows utilizing autonomous and semiautonomous telemedicine devices
US9776327B2 (en) 2012-05-22 2017-10-03 Intouch Technologies, Inc. Social behavior rules for a medical telepresence robot
US10328576B2 (en) 2012-05-22 2019-06-25 Intouch Technologies, Inc. Social behavior rules for a medical telepresence robot
US9361021B2 (en) 2012-05-22 2016-06-07 Irobot Corporation Graphical user interfaces including touchpad driving interfaces for telemedicine devices
US11628571B2 (en) 2012-05-22 2023-04-18 Teladoc Health, Inc. Social behavior rules for a medical telepresence robot
US9174342B2 (en) 2012-05-22 2015-11-03 Intouch Technologies, Inc. Social behavior rules for a medical telepresence robot
US10780582B2 (en) 2012-05-22 2020-09-22 Intouch Technologies, Inc. Social behavior rules for a medical telepresence robot
US10892052B2 (en) 2012-05-22 2021-01-12 Intouch Technologies, Inc. Graphical user interfaces including touchpad driving interfaces for telemedicine devices
US10061896B2 (en) 2012-05-22 2018-08-28 Intouch Technologies, Inc. Graphical user interfaces including touchpad driving interfaces for telemedicine devices
US10924708B2 (en) 2012-11-26 2021-02-16 Teladoc Health, Inc. Enhanced video interaction for a user interface of a telepresence network
US10334205B2 (en) 2012-11-26 2019-06-25 Intouch Technologies, Inc. Enhanced video interaction for a user interface of a telepresence network
US9098611B2 (en) 2012-11-26 2015-08-04 Intouch Technologies, Inc. Enhanced video interaction for a user interface of a telepresence network
US11910128B2 (en) 2012-11-26 2024-02-20 Teladoc Health, Inc. Enhanced video interaction for a user interface of a telepresence network
US11862302B2 (en) 2017-04-24 2024-01-02 Teladoc Health, Inc. Automated transcription and documentation of tele-health encounters
US11742094B2 (en) 2017-07-25 2023-08-29 Teladoc Health, Inc. Modular telehealth cart with thermal imaging and touch screen user interface
US11636944B2 (en) 2017-08-25 2023-04-25 Teladoc Health, Inc. Connectivity infrastructure for a telehealth platform
US11389064B2 (en) 2018-04-27 2022-07-19 Teladoc Health, Inc. Telehealth cart that supports a removable tablet with seamless audio/video switching

Also Published As

Publication number Publication date
CN1260786C (en) 2006-06-21
US20030228745A1 (en) 2003-12-11
US20050179139A1 (en) 2005-08-18
TW200402777A (en) 2004-02-16
US20050176248A1 (en) 2005-08-11
US6878627B1 (en) 2005-04-12
US6743721B2 (en) 2004-06-01
TW200620422A (en) 2006-06-16
US6943110B1 (en) 2005-09-13
CN1471145A (en) 2004-01-28

Similar Documents

Publication Publication Date Title
US6743721B2 (en) Method and system for making cobalt silicide
JP4996781B2 (en) Method for forming an improved cobalt silicide layer on an integrated circuit structure using two capping layers
US5970370A (en) Manufacturing capping layer for the fabrication of cobalt salicide structures
US7691750B2 (en) Methods of forming films in semiconductor devices with solid state reactants
US6365516B1 (en) Advanced cobalt silicidation with in-situ hydrogen plasma clean
EP1898452A1 (en) Method for forming germandies and devices obtained thereof
US6100188A (en) Stable and low resistance metal/barrier/silicon stack structure and related process for manufacturing
US5679585A (en) Method for forming metal silicide on a semiconductor surface with minimal effect on pre-existing implants
US5953633A (en) Method for manufacturing self-aligned titanium salicide using two two-step rapid thermal annealing steps
US7485572B2 (en) Method for improved formation of cobalt silicide contacts in semiconductor devices
US6579783B2 (en) Method for high temperature metal deposition for reducing lateral silicidation
US6432805B1 (en) Co-deposition of nitrogen and metal for metal silicide formation
JP2005109504A (en) Semiconductor device having silicide thin film and manufacture method therefor
US7320938B2 (en) Method for reducing dendrite formation in nickel silicon salicide processes
JP2004140315A (en) Manufacturing method for semiconductor device using salicide process
US7419905B2 (en) Gate electrodes and the formation thereof
KR100198621B1 (en) Method for forming silicide film of semiconductor device
JP2003051459A (en) Method of forming silicide film for semiconductor element
US20070032073A1 (en) Method of substrate processing and apparatus for substrate processing
JPH08139056A (en) Method of manufacturing semiconductor device having ti silicide layer
US20050092598A1 (en) Sputtering process with temperature control for salicide application
JPH10335261A (en) Manufacture of semiconductor device
JP2005093909A5 (en)
KR100604916B1 (en) Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method
JPH06204172A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORPORATION, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LUR, WATER;WANG, KUANG-CHIH;LEE, DAVID;REEL/FRAME:017681/0058;SIGNING DATES FROM 20060410 TO 20060412

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION