US20060035025A1 - Activated species generator for rapid cycle deposition processes - Google Patents

Activated species generator for rapid cycle deposition processes Download PDF

Info

Publication number
US20060035025A1
US20060035025A1 US11/146,295 US14629505A US2006035025A1 US 20060035025 A1 US20060035025 A1 US 20060035025A1 US 14629505 A US14629505 A US 14629505A US 2006035025 A1 US2006035025 A1 US 2006035025A1
Authority
US
United States
Prior art keywords
plasma generator
precursor
generator
time period
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/146,295
Inventor
Donald Verplancken
Ashok Sinha
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/146,295 priority Critical patent/US20060035025A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SINHA, ASHOK K., VERPLANCKEN, DONALD J.
Publication of US20060035025A1 publication Critical patent/US20060035025A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Definitions

  • Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the present invention relate to a method of providing activated precursors gases to a rapid cycle deposition process.
  • Atomic layer deposition is based on the exchange of chemical molecules or atoms between alternating reactants to deposit monolayers of material on a substrate surface.
  • the monolayers maybe sequentially deposited one over the other to form a film composed of a plurality of individual layers to provide a desired film thickness.
  • the alternating reactant is introduced into a processing chamber having a substrate in which a film is to be deposited is disposed therein, separately from a different reactant.
  • a purge gas and pump system are used between pulses of alternately introduced reactants to prevent any overlap or co-reaction between the reactants other than on the substrate.
  • Each separate deposition step theoretically goes to saturation and self terminates, depositing at least a single molecular or atomic monolayer of material. Accordingly, the deposition is the outcome of a chemical or physical reaction between each of the alternating reactants and the substrate surface.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • a common approach to increasing gas reactivity is to decompose the gas, generating ions/radicals that are highly reactive, especially at lower temperatures.
  • This form of gas decomposition can be accomplished using various techniques, of which plasma technology is well known.
  • Plasma technology generates high energy electrons that partially decompose and/or ionize the reactant gases and can be powered using various sources, such as microwave and radio frequency (RF), for example.
  • RF radio frequency
  • cyclical deposition processes utilizing plasma generation to activate reactant gases suffer many drawbacks.
  • a major drawback is the ability to sustain a plasma of reactive gases within a processing chamber during the deposition process.
  • Cyclical deposition processes, such as ALD require rapid, repetitive pulses of reactants sometimes as fast as 300 milliseconds (msec) or less.
  • msec milliseconds
  • time does not allow for the repeated regeneration or re-ignition of a plasma between each step of the deposition process.
  • the present invention generally provides a method for delivering activated species to a cyclical deposition process.
  • the method includes delivering a gas to be activated into a plasma generator, activating the gas to create a volume of reactive species, delivering a fraction of the reactive species into a processing region to react within a substrate therein, and maintaining at least a portion of the gas remaining in the plasma generator in an activated state after delivering the fraction of the gas into the process region.
  • a method for depositing films on a substrate surface includes delivering a gas to be activated into a plasma generator and activating the gas to create a volume of one or more reactive species.
  • the method also includes sequentially pulsing a fraction of the one or more reactive species and a second reactive compound into a processing region to deposit a film on the substrate surface, while maintaining at least a portion of at least one of said first and second gases in an activated state between pulses.
  • the method includes delivering a first gas to be activated into a first plasma generator, activating at least a portion of the first gas to create a volume of one or more first reactive species, providing a second gas to be activated into a second plasma generator, and activating at least a portion of the second gas to create a volume of one or more second reactive species.
  • the method further includes sequentially pulsing the first activated gas and the second activated gas to a processing region to deposit a film on the substrate surface, while maintaining at least a portion of at least one of said first and second gases in an activated state between pulses.
  • the plasma generator may include a high density plasma (HDP) generator, a microwave generator, radio-frequency (RF) generator, an inductive-coupled plasma (ICP) generator, a capacitively coupled generator, or any combination thereof.
  • HDP high density plasma
  • RF radio-frequency
  • ICP inductive-coupled plasma
  • FIG. 1 shows a partial cross section view of an exemplary processing system having a plasma generator 200 according to one embodiment of the present invention in fluid communication with an exemplary processing chamber 100 .
  • FIG. 2 shows a partial schematic view of an exemplary plasma generator 200 utilizing an inductive coil plasma (ICP) technology.
  • ICP inductive coil plasma
  • FIG. 3 illustrates a process flow sequence for cyclically depositing a tantalum nitride (TaN) film using according to embodiments of a processing system described herein.
  • TaN tantalum nitride
  • the present invention provides a plasma generator having a close proximity to a processing chamber to provide one or more activated compounds for use in a radical-assisted, rapid cycle deposition process.
  • the term “compound” is intended to include one or more precursors, reductants, reactants, and catalysts, or a combination thereof.
  • the term “compound” is also intended to include a grouping of compounds, such as when two or more compounds are introduced in a processing system at the same time. For example, a grouping of compounds may include one or more catalysts and one or more precursors.
  • activated refers to any one or more ions, electrons and/or radicals generated by catalysis, ionization, decomposition, dissociation, thermal degradation, or any combination thereof.
  • Rapid cycle deposition refers to the repetitive pulsing/dosing of two or more compounds to deposit a conformal layer on a substrate surface whereby each pulse/dose of compound has a duration less than about 300 milliseconds.
  • the two or more compounds may be alternately or simultaneously pulsed into a reaction zone of a processing chamber having a substrate surface in which a film is to be deposited is disposed therein.
  • the compounds may be separated by a time delay/pause to allow each compound to adhere and/or react on the substrate surface. For example, a first compound or compound A is dosed/pulsed into the reaction zone followed by a first time delay/pause.
  • a second compound or compound B is dosed/pulsed into the reaction zone followed by a second time delay.
  • a ternary material such as titanium silicon nitride or tantalum silicon nitride for example
  • a third compound is dosed/pulsed into the reaction zone followed by a third time delay.
  • pulse or “dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into the processing chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • a continuous flow of a particular compound is also contemplated by the present invention as described herein and thus, is not outside the scope thereof.
  • substrate surface as used herein is intended to refer to any workpiece or surface upon which film processing is performed.
  • substrate surface may be used to describe any semiconductor substrate, such as a silicon wafer or other substrate on which integrated circuits and other electronic devices are formed, including flat panel displays.
  • substrate surface is also used to describe any material formed on a substrate, including conductive, semiconductive and insulative layers.
  • FIG. 1 shows a partial cross section view of an exemplary processing system having a plasma generator 200 according to one embodiment.
  • the plasma generator 200 is in fluid communication with a processing chamber 100 .
  • the plasma generator 200 at least partially dissociates compounds into atomic or ionic species and provides at least a portion, if not all, of these activated species/compounds to the processing chamber 100 to form a film on a substrate surface therein.
  • the plasma generator 200 is a continuous, steady-state system, having a fixed internal volume.
  • the plasma generator 200 is configured such that an amount of activated compound that exits the plasma generator 200 via a pulse to the processing chamber 100 is replenished with a corresponding amount of non-activated compound. Accordingly, the plasma generator 200 is able to operate at a steady-state with respect to gas volume and contains both activated and non-activated compounds at any given time.
  • the plasma generator 200 acts as a reservoir because a gas volume contained therein is substantially greater than a pulse volume of compound that is introduced into the processing chamber 100 during deposition.
  • the plasma generator 200 may contain up to 20 times the pulse volume required for deposition although any size or volume is envisioned.
  • the plasma generator 200 should be sized so that when a pulse of activated compound exits the plasma generator 200 , the energy of the compounds within the plasma generator 200 is not affected. As a result, at least a portion of the activated compound remaining in the plasma generator 200 is maintained in a plasma state. Additionally, the plasma generator 200 should be sized so that a desired number of activated species are available for delivery to a given deposition process.
  • the plasma generator 200 does not require a separate ignition step to generate or sustain the plasma therein between each pulse, and product throughput is substantially increased. Instead, activated compounds remaining in the plasma generator 200 are repeatedly available for pulsing into the processing chamber 100 according to process recipe requirements without extinguishing or diluting the plasma within the plasma generator 200 .
  • One exemplary plasma generator 200 is a remote plasma source disposed in close proximity to the processing chamber 100 .
  • the plasma generator 200 may be located adjacent, underneath, either directly on or adjacent the processing chamber 100 .
  • the plasma generator 200 is disposed directly on an upper/top surface of the chamber 100 , as shown in FIG. 1 .
  • the plasma generator 200 may be formed integrally with the processing chamber 100 , as opposed to extending externally outward from the processing chamber 100 as separate components.
  • An integrally formed plasma generator is shown and described in U.S. patent application Ser. No. 10/066,131, filed on Jan. 30, 2002, entitled “Method and Apparatus for Substrate Processing,” and published as US 20030141820, which is incorporated by reference herein.
  • the plasma generator 200 should be disposed a minimum distance from the processing chamber 100 .
  • Product throughput and the efficiency of the system are greatly improved by reducing the distance between the plasma generator 200 and the processing chamber 100 .
  • a separate time-consuming ignition step is eliminated from the deposition process.
  • Less energy is also required to generate and sustain the formation of reactive compounds.
  • the reactive compounds experience a minimum level of energy loss during delivery to the substrate surface, thereby increasing the reaction kinetics of the deposition and providing a reliable and repeatable process.
  • the plasma generator 200 may be, for example, a high density plasma (HDP) generator, a microwave generator, radio-frequency (RF) generator, an inductive-coupled plasma (ICP) generator, a capacitively coupled generator, or any combination thereof.
  • HDP high density plasma
  • RF radio-frequency
  • ICP inductive-coupled plasma
  • capacitively coupled generator or any combination thereof.
  • the plasma generator 200 will be described below in terms of an inductive coil plasma (ICP) generator with reference to FIG. 2 .
  • FIG. 2 shows a partial schematic view of an exemplary plasma generator 200 utilizing an ICP generator.
  • the plasma generator 200 includes at minimum, an inlet 205 , an outlet 210 , a circulation pump 215 , inductive coils 220 , and a hollow conduit 225 .
  • the inlet 205 is in fluid communication with one or more chemical sources 222 (three are shown for illustrative purposes).
  • the outlet 210 is in fluid communication with a gas delivery system disposed within the processing chamber 100 .
  • the hollow conduit 225 is a tubular member defining an activation or internal volume 250 therein.
  • the circulation pump 215 is disposed in fluid communication within the hollow conduit 225 , and may be any pump compatible with the process gases. It is believed that the activation volume 250 is directly proportional to the number of activated species generated within the plasma generator 200 . In other words, the larger the volume of the activation volume 250 , the greater the number of activated species contained therein.
  • the inductive coils 220 are disposed about the hollow conduit 225 and utilize RF energy to excite the gas within the hollow conduit 225 forming a plasma of reactive compounds therein.
  • the chemical sources 222 store/contain the compounds used for deposition.
  • the compounds may be stored in either solid, liquid, or gas phases.
  • the plasma generator 200 may utilize a vaporizer/bubbler 230 in fluid communication therewith to guarantee delivery of a gas to the inlet 205 .
  • the chemical sources 222 and the activation volume 250 may be pressure regulated so that a corresponding amount of compound that leaves the hollow conduit 225 is replenished from the chemical services 222 . This maintains a steady-state operation throughout the plasma generator 200 .
  • the processing chamber 100 includes a chamber body 114 , a lid assembly 120 for gas delivery, and a thermally controlled substrate support member 146 , as shown in FIG. 1 .
  • the thermally controlled substrate support member 146 includes a wafer support pedestal 148 connected to a support shaft 148 A. The thermally controlled substrate support member 146 may be moved vertically within the chamber body 114 so that a distance between the support pedestal 148 and the lid assembly 120 may be controlled.
  • the support pedestal 148 includes an embedded thermocouple 150 A that may be used to monitor the temperature thereof. For example, a signal from the thermocouple 150 A may be used in a feedback loop to control power applied to a heater element 152 A by a power source 152 .
  • the heater element 152 A may be a resistive heater element or other thermal transfer device disposed within or in contact with the pedestal 148 utilized to control the temperature thereof.
  • the support pedestal 148 may be heated using a heat transfer fluid (not shown).
  • the support pedestal 148 may be formed from any process-compatible material, including aluminum nitride and aluminum oxide (Al 2 O 3 or alumina) and may also be configured to hold a substrate thereon employing a vacuum, i.e., support pedestal 148 may be a vacuum chuck. Using a vacuum chuck, the support pedestal 148 may include a plurality of vacuum holes (not shown) that are placed in fluid communication with a vacuum source routed through the support shaft 148 A.
  • the chamber body 114 includes a liner assembly 154 formed from any suitable material such as aluminum, ceramic and the like.
  • the chamber body 114 and the liner assembly 154 define a chamber channel 158 there between.
  • a purge gas is introduced into the channel 158 to minimize unwanted deposition on the chamber walls and to control the rate of heat transfer between the chamber walls and the liner assembly 154 .
  • the chamber body 114 also includes a pumping channel 162 disposed along the sidewalls thereof.
  • the pumping channel 162 includes a plurality of apertures 162 A and is coupled to a pump system 118 via a conduit 117 .
  • a throttle valve 118 A is coupled between the pumping channel 162 and the pump system 118 .
  • the pumping channel 162 , the throttle valve 118 A, and the pump system 118 control the amount of gas flow from the processing chamber 100 .
  • the size, number, and position of the apertures 162 A in communication with the chamber 100 are configured to achieve uniform flow of gases exiting the lid assembly 120 over the support pedestal 148 having a substrate disposed thereon.
  • the lid assembly 120 includes a lid plate 120 A having a gas manifold 134 mounted thereon.
  • the lid plate 120 A provides a fluid tight seal with an upper fraction of the chamber body 114 when in a closed position.
  • the gas manifold 134 includes one or more valves 132 (two are shown, 132 A, 132 B).
  • the valves 132 may be type of valve capable of precisely and repeatedly delivering short pulses of compounds into the chamber 100 .
  • the on/off cycles or pulses of the valves 132 may be as fast as about 100 msec or less.
  • the on/off cycles of the valves are between 100 msec and 1 second.
  • Exemplary valves 132 capable of these rapid cycle times are electronically controlled (EC) valves commercially available from Fujikin of Japan as part number FR-21-6.35 UGF-APD. Other valves that operate at substantially the same speed and precision may also be used.
  • EC electronically controlled
  • the processing chamber 100 further includes a reaction zone 159 that is formed within the chamber body 114 when the lid assembly 120 is in a closed position.
  • the reaction zone 159 includes the volume within the processing chamber 100 that is in fluid communication with a wafer 133 disposed therein.
  • the reaction zone 159 therefore, includes the volume downstream of each valve 132 within the lid assembly 120 , and the volume between the support pedestal 148 and the lower surface of the lid plate 120 . More particularly, the reaction zone 159 includes the volume between the outlet of the valves 132 and an upper surface of the wafer 133 .
  • a controller 170 such as a programmable logic computer (PLC), regulates the operations of the various components of the processing chamber 100 .
  • the controller 170 typically includes a processor 172 in data communication with memory, such as random access memory 174 and a hard disk drive 176 .
  • the controller 170 is in communication with at least the pump system 118 , the power source 152 , and the valves 132 .
  • An exemplary controller 170 is described in more detail in the U.S. patent application Ser. No. 09/800,881, entitled “Valve Control System for ALD Chamber,” filed on Mar. 7, 2001, issued May 11, 2004, as U.S. Pat. No. 6,734,020, which is incorporated by reference herein.
  • the processing system described above may utilize executable software routines to initiate process recipes or sequences.
  • the software routines when executed, may be used to precisely control the activation of the electronic control valves 132 for the execution of process sequences according to the present invention.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • the processing chamber 100 described above is available from Applied Materials, Inc. located in Santa Clara, Calif. A more detailed description of the processing chamber 100 may be found in commonly assigned U.S. patent application Ser. No. 10/016,300, entitled “Lid Assembly for a Processing System to Facilitate Sequential Deposition Techniques,” filed on Dec. 12, 2001, issued Apr. 12, 2005, as U.S. Pat. No. 6,878,206, which is incorporated herein by reference.
  • the processing chamber 100 may be integrated into a processing platform, such as an ENDURA® platform also available from Applied Materials, Inc.
  • the plasma generator 200 and processing chamber 100 may be used to conformally deposit any type of dielectric or conductive film on a substrate surface.
  • Dielectric layers include, for example, silicon oxide films, silicon carbon films, and carbon doped silicon oxide films.
  • Conductive layers may include, for example, refractory metal films and refractory metal nitride films, in which the refractory metal is titanium, tantalum, or tungsten.
  • the conductive layers may also include ternary layers, such as titanium silicon nitride or tantalum silicon nitride films.
  • a processing sequence for forming a tantalum nitride (TaN) film using a rapid cycle deposition process will be described below.
  • FIG. 3 illustrates one process flow sequence 300 for depositing tantalum nitride (TaN) according to embodiments of the processing system described herein.
  • the TaN barrier layer may be formed by alternately introducing one or more tantalum-containing compounds, such as Ta(NMe 2 ) 5 (PDMAT) for example, and one or more nitrogen-containing compounds, such as ammonia for example, to the substrate surface.
  • tantalum-containing compounds such as Ta(NMe 2 ) 5 (PDMAT) for example
  • PDMAT Ta(NMe 2 ) 5
  • nitrogen-containing compounds such as ammonia
  • any tantalum-containing compound may be used, such as Ta(NEt 2 ) 5 (PDEAT), Ta(NEtMe) 5 (PEMAT), (Et 2 N) 3 Ta(NBu) (TBTDET), (MeEtN) 3 Ta(NBu) (TBTMET), (Me 2 N) 3 Ta(NBu) (TBTDMT), tantalum chloride (TaCI 5 ), tantalum bromide (TaBr 5 ), tantalum iodide (TaI 5 ), tantalum hydrides, such as (Cp) 2 TaH 3 or (CpMe) 2 TaH 3 and combinations thereof.
  • any nitrogen-containing compound may be used, such as hydrazine (N 2 H 4 ), monomethyl hydrazine (CH 3 N 2 H 3 ), dimethyl hydrazine (C 2 H 6 N 2 H 2 ), t-butyl hydrazine (C 4 H 9 N 2 H 3 ), phenyl hydrazine (C 6 H 5 N 2 H 3 ), 2,2′-azotertbutane ((CH 3 ) 6 C 2 N 2 ), ethylazide (C 2 H 5 N 3 ), among others.
  • hydrazine N 2 H 4
  • monomethyl hydrazine CH 3 N 2 H 3
  • dimethyl hydrazine C 2 H 6 N 2 H 2
  • t-butyl hydrazine C 4 H 9 N 2 H 3
  • phenyl hydrazine C 6 H 5 N 2 H 3
  • 2,2′-azotertbutane ((CH 3 ) 6 C 2 N 2 ), ethyla
  • a carrier/purge gas such as argon is introduced into the processing chamber 100 to stabilize the pressure and temperature therein, as shown in step 302 .
  • the chamber temperature is stabilized to between about 200° C. and about 300° C.
  • the pressure is stabilized to between about 1 Torr and about 5 Torr.
  • the purge gas such as helium (He), argon (Ar), nitrogen (N 2 ) and hydrogen (H 2 ), or combinations thereof, is then allowed to flow continuously during the deposition process such that only the purge gas flows between pulses of each compound.
  • the purge gas continuously flows between about 100 sccm and about 1,000 sccm, such as between about 100 sccm and about 400 sccm, through each valve 132 .
  • the purge gas may be shut off and pulsed between pulses of compounds to assist the removal of the compounds from the chamber.
  • step 303 at least a portion of the tantalum-containing source gas, PDMAT, is ignited into a plasma to form a volume of activated or excited species within the plasma generator 200 .
  • the PDMAT is activated using an RF power between about 200 watts and about 1,500 watts at a pressure at least slightly greater than the pressure within the process chamber.
  • the plasma generator 200 remains in an “on” mode, and maintains the gas therein in the plasma state over repeated cycles.
  • a fraction of the activated PDMAT is then pulsed to the reaction zone 159 at a rate between about 100 sccm and about 500 sccm, with a pulse time of about 0.3 seconds or less.
  • Each fraction of PDMAT is less than the volume of activated PDMAT contained within the plasma generator 200 , but is sufficient in volume to create at least a continuous monolayer on the substrate in the process chamber.
  • activated PDMAT is readily available without a separate ignition step to either initiate or sustain the plasma within the plasma generator 200 . By eliminating the extra ignition step, product throughput and product repeatability is substantially increased.
  • a pulse of ammonia is provided to the reaction zone 159 at a rate between about 200 sccm and about 600 sccm, with a pulse time of about 0.6 seconds or less.
  • at least a portion of the ammonia is also activated using a separate, but similar, activated species generator 200 to create a volume of excited species.
  • the ammonia is activated using an RF power between about 200 watts and about 1,500 watts at a pressure at least slightly greater than the pressure within the process chamber.
  • Steps 304 and 306 are then repeated until a desired thickness of the TaN film is formed on the substrate surface, as shown in step 308 . Thereafter, the process is stopped at step 310 when the desired thickness for the film is achieved.
  • a pause between pulses of PDMAT and ammonia is typically between about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less.
  • at least a portion of a pulse of PDMAT may still be in the reaction zone 159 when at least a portion of a pulse of ammonia enters, allowing the reactive compounds to co-react much like in a CVD technique. Reducing time between pulses at least provides higher throughput.
  • the time interval for each pulse of PDMAT and ammonia is variable and depends on the volume capacity of the process chamber as well as the vacuum system coupled thereto.
  • the process conditions are advantageously selected so that each pulse provides a sufficient amount of compound so that at least a monolayer of the activated compound is conformally adsorbed on the substrate surface. Thereafter, excess compounds remaining in the chamber may be removed by the purge gas stream in combination with the vacuum system.
  • the metal-containing compound and the nitrogen-containing compound were both ignited into a plasma of excited species. It should be understood that either the metal-containing compound or the nitrogen-containing compound or both could be ignited into a plasma to form a conformal, thin layer having desired physical and electrical properties. As is known in the art, plasma excited species are highly reactive at lower temperatures which increases product throughput, reduces cost of ownership and operation, and minimizes damage to the workpiece.

Abstract

A method for providing activated species for a cyclical deposition process is provided herein. In one aspect, the method includes delivering a gas to be activated into a plasma generator, activating the gas to create a volume of reactive species, delivering a fraction of the reactive species into a processing region to react within a substrate therein, and maintaining at least a portion of the gas remaining in the plasma generator in an activated state after delivering the fraction of the gas into the process region. The plasma generator may include a high density plasma (HDP) generator, a microwave generator, a radio-frequency (RF) generator, an inductive-coupled plasma (ICP) generator, a capacitively coupled generator, or combinations thereof.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 10/269,335, filed Oct. 11, 2002, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the present invention relate to a method of providing activated precursors gases to a rapid cycle deposition process.
  • 2. Description of the Related Art
  • Atomic layer deposition (ALD) is based on the exchange of chemical molecules or atoms between alternating reactants to deposit monolayers of material on a substrate surface. The monolayers maybe sequentially deposited one over the other to form a film composed of a plurality of individual layers to provide a desired film thickness. Typically, the alternating reactant is introduced into a processing chamber having a substrate in which a film is to be deposited is disposed therein, separately from a different reactant. A purge gas and pump system are used between pulses of alternately introduced reactants to prevent any overlap or co-reaction between the reactants other than on the substrate. Each separate deposition step theoretically goes to saturation and self terminates, depositing at least a single molecular or atomic monolayer of material. Accordingly, the deposition is the outcome of a chemical or physical reaction between each of the alternating reactants and the substrate surface.
  • Compared to bulk deposition processes, such as chemical vapor deposition (CVD) and physical vapor deposition (PVD) for example, ALD is a slow process. Slower rates of deposition are not helpful toward achieving competitive performance and productivity. Since ALD reactions follow the kinetics of molecular-surface interaction, one solution to increase the deposition rate is to increase the kinetics of the molecular-surface interactions. The kinetics of molecular-surface interactions depends on the individual reaction rate between reactants and the substrate surface on which the materials are deposited. Therefore, the kinetics of molecular-surface interactions can be increased by increasing the reactivity of the individual reactants.
  • A common approach to increasing gas reactivity is to decompose the gas, generating ions/radicals that are highly reactive, especially at lower temperatures. This form of gas decomposition can be accomplished using various techniques, of which plasma technology is well known. Plasma technology generates high energy electrons that partially decompose and/or ionize the reactant gases and can be powered using various sources, such as microwave and radio frequency (RF), for example.
  • However, cyclical deposition processes utilizing plasma generation to activate reactant gases suffer many drawbacks. A major drawback is the ability to sustain a plasma of reactive gases within a processing chamber during the deposition process. Cyclical deposition processes, such as ALD, require rapid, repetitive pulses of reactants sometimes as fast as 300 milliseconds (msec) or less. Often, when the reactive gases are pulsed into a processing chamber, the plasma in the chamber is depleted or extinguished and must be re-established prior to a subsequent cycle. In a quest to increase product throughput, time does not allow for the repeated regeneration or re-ignition of a plasma between each step of the deposition process.
  • There is a need, therefore, for a cyclical deposition process capable of repeatably and reliably delivering activated gases to a processing chamber.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a method for delivering activated species to a cyclical deposition process. In one aspect, the method includes delivering a gas to be activated into a plasma generator, activating the gas to create a volume of reactive species, delivering a fraction of the reactive species into a processing region to react within a substrate therein, and maintaining at least a portion of the gas remaining in the plasma generator in an activated state after delivering the fraction of the gas into the process region.
  • A method for depositing films on a substrate surface is also provided. In one aspect, the method includes delivering a gas to be activated into a plasma generator and activating the gas to create a volume of one or more reactive species. The method also includes sequentially pulsing a fraction of the one or more reactive species and a second reactive compound into a processing region to deposit a film on the substrate surface, while maintaining at least a portion of at least one of said first and second gases in an activated state between pulses. In another aspect, the method includes delivering a first gas to be activated into a first plasma generator, activating at least a portion of the first gas to create a volume of one or more first reactive species, providing a second gas to be activated into a second plasma generator, and activating at least a portion of the second gas to create a volume of one or more second reactive species. The method further includes sequentially pulsing the first activated gas and the second activated gas to a processing region to deposit a film on the substrate surface, while maintaining at least a portion of at least one of said first and second gases in an activated state between pulses.
  • In any of the embodiments above, the plasma generator may include a high density plasma (HDP) generator, a microwave generator, radio-frequency (RF) generator, an inductive-coupled plasma (ICP) generator, a capacitively coupled generator, or any combination thereof.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention, and other features contemplated and claimed herein, are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 shows a partial cross section view of an exemplary processing system having a plasma generator 200 according to one embodiment of the present invention in fluid communication with an exemplary processing chamber 100.
  • FIG. 2 shows a partial schematic view of an exemplary plasma generator 200 utilizing an inductive coil plasma (ICP) technology.
  • FIG. 3 illustrates a process flow sequence for cyclically depositing a tantalum nitride (TaN) film using according to embodiments of a processing system described herein.
  • DETAILED DESCRIPTION
  • The present invention provides a plasma generator having a close proximity to a processing chamber to provide one or more activated compounds for use in a radical-assisted, rapid cycle deposition process. The term “compound” is intended to include one or more precursors, reductants, reactants, and catalysts, or a combination thereof. The term “compound” is also intended to include a grouping of compounds, such as when two or more compounds are introduced in a processing system at the same time. For example, a grouping of compounds may include one or more catalysts and one or more precursors. The term “activated” as used herein refers to any one or more ions, electrons and/or radicals generated by catalysis, ionization, decomposition, dissociation, thermal degradation, or any combination thereof.
  • “Rapid cycle deposition” as used herein refers to the repetitive pulsing/dosing of two or more compounds to deposit a conformal layer on a substrate surface whereby each pulse/dose of compound has a duration less than about 300 milliseconds. The two or more compounds may be alternately or simultaneously pulsed into a reaction zone of a processing chamber having a substrate surface in which a film is to be deposited is disposed therein. When the two or more compounds are alternately pulsed, the compounds may be separated by a time delay/pause to allow each compound to adhere and/or react on the substrate surface. For example, a first compound or compound A is dosed/pulsed into the reaction zone followed by a first time delay/pause. Next, a second compound or compound B is dosed/pulsed into the reaction zone followed by a second time delay. When a ternary material is desired, such as titanium silicon nitride or tantalum silicon nitride for example, a third compound (compound C), is dosed/pulsed into the reaction zone followed by a third time delay. These sequential tandems of a pulse of reactive compound followed by a time delay may be repeated indefinitely until a desired film or film thickness is formed on the substrate surface.
  • The term “pulse” or “dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into the processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. However, a continuous flow of a particular compound is also contemplated by the present invention as described herein and thus, is not outside the scope thereof.
  • The term “substrate surface” as used herein is intended to refer to any workpiece or surface upon which film processing is performed. For example, the term “substrate surface” may be used to describe any semiconductor substrate, such as a silicon wafer or other substrate on which integrated circuits and other electronic devices are formed, including flat panel displays. The term “substrate surface” is also used to describe any material formed on a substrate, including conductive, semiconductive and insulative layers.
  • FIG. 1 shows a partial cross section view of an exemplary processing system having a plasma generator 200 according to one embodiment. As shown, the plasma generator 200 is in fluid communication with a processing chamber 100. The plasma generator 200 at least partially dissociates compounds into atomic or ionic species and provides at least a portion, if not all, of these activated species/compounds to the processing chamber 100 to form a film on a substrate surface therein.
  • The plasma generator 200 is a continuous, steady-state system, having a fixed internal volume. The plasma generator 200 is configured such that an amount of activated compound that exits the plasma generator 200 via a pulse to the processing chamber 100 is replenished with a corresponding amount of non-activated compound. Accordingly, the plasma generator 200 is able to operate at a steady-state with respect to gas volume and contains both activated and non-activated compounds at any given time.
  • The plasma generator 200 acts as a reservoir because a gas volume contained therein is substantially greater than a pulse volume of compound that is introduced into the processing chamber 100 during deposition. For example, the plasma generator 200 may contain up to 20 times the pulse volume required for deposition although any size or volume is envisioned. The plasma generator 200 should be sized so that when a pulse of activated compound exits the plasma generator 200, the energy of the compounds within the plasma generator 200 is not affected. As a result, at least a portion of the activated compound remaining in the plasma generator 200 is maintained in a plasma state. Additionally, the plasma generator 200 should be sized so that a desired number of activated species are available for delivery to a given deposition process. As a result, the plasma generator 200 does not require a separate ignition step to generate or sustain the plasma therein between each pulse, and product throughput is substantially increased. Instead, activated compounds remaining in the plasma generator 200 are repeatedly available for pulsing into the processing chamber 100 according to process recipe requirements without extinguishing or diluting the plasma within the plasma generator 200.
  • One exemplary plasma generator 200 is a remote plasma source disposed in close proximity to the processing chamber 100. The plasma generator 200 may be located adjacent, underneath, either directly on or adjacent the processing chamber 100. Preferably, the plasma generator 200 is disposed directly on an upper/top surface of the chamber 100, as shown in FIG. 1. However, the plasma generator 200 may be formed integrally with the processing chamber 100, as opposed to extending externally outward from the processing chamber 100 as separate components. An integrally formed plasma generator is shown and described in U.S. patent application Ser. No. 10/066,131, filed on Jan. 30, 2002, entitled “Method and Apparatus for Substrate Processing,” and published as US 20030141820, which is incorporated by reference herein.
  • The plasma generator 200 should be disposed a minimum distance from the processing chamber 100. Product throughput and the efficiency of the system are greatly improved by reducing the distance between the plasma generator 200 and the processing chamber 100. As such, a separate time-consuming ignition step is eliminated from the deposition process. Less energy is also required to generate and sustain the formation of reactive compounds. Most importantly, however, the reactive compounds experience a minimum level of energy loss during delivery to the substrate surface, thereby increasing the reaction kinetics of the deposition and providing a reliable and repeatable process.
  • The plasma generator 200 may be, for example, a high density plasma (HDP) generator, a microwave generator, radio-frequency (RF) generator, an inductive-coupled plasma (ICP) generator, a capacitively coupled generator, or any combination thereof. For ease and clarity of description, however, the plasma generator 200 will be described below in terms of an inductive coil plasma (ICP) generator with reference to FIG. 2.
  • FIG. 2 shows a partial schematic view of an exemplary plasma generator 200 utilizing an ICP generator. As shown, the plasma generator 200 includes at minimum, an inlet 205, an outlet 210, a circulation pump 215, inductive coils 220, and a hollow conduit 225. The inlet 205 is in fluid communication with one or more chemical sources 222 (three are shown for illustrative purposes). The outlet 210 is in fluid communication with a gas delivery system disposed within the processing chamber 100.
  • The hollow conduit 225 is a tubular member defining an activation or internal volume 250 therein. The circulation pump 215 is disposed in fluid communication within the hollow conduit 225, and may be any pump compatible with the process gases. It is believed that the activation volume 250 is directly proportional to the number of activated species generated within the plasma generator 200. In other words, the larger the volume of the activation volume 250, the greater the number of activated species contained therein. The inductive coils 220 are disposed about the hollow conduit 225 and utilize RF energy to excite the gas within the hollow conduit 225 forming a plasma of reactive compounds therein.
  • The chemical sources 222 store/contain the compounds used for deposition. The compounds may be stored in either solid, liquid, or gas phases. In the event that a gas/vapor phase is required, the plasma generator 200 may utilize a vaporizer/bubbler 230 in fluid communication therewith to guarantee delivery of a gas to the inlet 205. As mentioned above, the chemical sources 222 and the activation volume 250 may be pressure regulated so that a corresponding amount of compound that leaves the hollow conduit 225 is replenished from the chemical services 222. This maintains a steady-state operation throughout the plasma generator 200.
  • Considering the processing chamber 100 in further detail, the processing chamber 100 includes a chamber body 114, a lid assembly 120 for gas delivery, and a thermally controlled substrate support member 146, as shown in FIG. 1. The thermally controlled substrate support member 146 includes a wafer support pedestal 148 connected to a support shaft 148A. The thermally controlled substrate support member 146 may be moved vertically within the chamber body 114 so that a distance between the support pedestal 148 and the lid assembly 120 may be controlled.
  • The support pedestal 148 includes an embedded thermocouple 150A that may be used to monitor the temperature thereof. For example, a signal from the thermocouple 150A may be used in a feedback loop to control power applied to a heater element 152A by a power source 152. The heater element 152A may be a resistive heater element or other thermal transfer device disposed within or in contact with the pedestal 148 utilized to control the temperature thereof. Optionally, the support pedestal 148 may be heated using a heat transfer fluid (not shown).
  • The support pedestal 148 may be formed from any process-compatible material, including aluminum nitride and aluminum oxide (Al2O3 or alumina) and may also be configured to hold a substrate thereon employing a vacuum, i.e., support pedestal 148 may be a vacuum chuck. Using a vacuum chuck, the support pedestal 148 may include a plurality of vacuum holes (not shown) that are placed in fluid communication with a vacuum source routed through the support shaft 148A.
  • The chamber body 114 includes a liner assembly 154 formed from any suitable material such as aluminum, ceramic and the like. The chamber body 114 and the liner assembly 154 define a chamber channel 158 there between. A purge gas is introduced into the channel 158 to minimize unwanted deposition on the chamber walls and to control the rate of heat transfer between the chamber walls and the liner assembly 154.
  • The chamber body 114 also includes a pumping channel 162 disposed along the sidewalls thereof. The pumping channel 162 includes a plurality of apertures 162A and is coupled to a pump system 118 via a conduit 117. A throttle valve 118A is coupled between the pumping channel 162 and the pump system 118. The pumping channel 162, the throttle valve 118A, and the pump system 118 control the amount of gas flow from the processing chamber 100. The size, number, and position of the apertures 162A in communication with the chamber 100 are configured to achieve uniform flow of gases exiting the lid assembly 120 over the support pedestal 148 having a substrate disposed thereon.
  • The lid assembly 120 includes a lid plate 120A having a gas manifold 134 mounted thereon. The lid plate 120A provides a fluid tight seal with an upper fraction of the chamber body 114 when in a closed position. The gas manifold 134 includes one or more valves 132 (two are shown, 132A, 132B). The valves 132 may be type of valve capable of precisely and repeatedly delivering short pulses of compounds into the chamber 100. In some cases, the on/off cycles or pulses of the valves 132 may be as fast as about 100 msec or less. Typically, the on/off cycles of the valves are between 100 msec and 1 second. Exemplary valves 132 capable of these rapid cycle times are electronically controlled (EC) valves commercially available from Fujikin of Japan as part number FR-21-6.35 UGF-APD. Other valves that operate at substantially the same speed and precision may also be used.
  • The processing chamber 100 further includes a reaction zone 159 that is formed within the chamber body 114 when the lid assembly 120 is in a closed position. Generally, the reaction zone 159 includes the volume within the processing chamber 100 that is in fluid communication with a wafer 133 disposed therein. The reaction zone 159, therefore, includes the volume downstream of each valve 132 within the lid assembly 120, and the volume between the support pedestal 148 and the lower surface of the lid plate 120. More particularly, the reaction zone 159 includes the volume between the outlet of the valves 132 and an upper surface of the wafer 133.
  • A controller 170, such as a programmable logic computer (PLC), regulates the operations of the various components of the processing chamber 100. The controller 170 typically includes a processor 172 in data communication with memory, such as random access memory 174 and a hard disk drive 176. The controller 170 is in communication with at least the pump system 118, the power source 152, and the valves 132. An exemplary controller 170 is described in more detail in the U.S. patent application Ser. No. 09/800,881, entitled “Valve Control System for ALD Chamber,” filed on Mar. 7, 2001, issued May 11, 2004, as U.S. Pat. No. 6,734,020, which is incorporated by reference herein.
  • The processing system described above may utilize executable software routines to initiate process recipes or sequences. The software routines, when executed, may be used to precisely control the activation of the electronic control valves 132 for the execution of process sequences according to the present invention. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • The processing chamber 100 described above is available from Applied Materials, Inc. located in Santa Clara, Calif. A more detailed description of the processing chamber 100 may be found in commonly assigned U.S. patent application Ser. No. 10/016,300, entitled “Lid Assembly for a Processing System to Facilitate Sequential Deposition Techniques,” filed on Dec. 12, 2001, issued Apr. 12, 2005, as U.S. Pat. No. 6,878,206, which is incorporated herein by reference. The processing chamber 100 may be integrated into a processing platform, such as an ENDURA® platform also available from Applied Materials, Inc.
  • The plasma generator 200 and processing chamber 100 may be used to conformally deposit any type of dielectric or conductive film on a substrate surface. Dielectric layers include, for example, silicon oxide films, silicon carbon films, and carbon doped silicon oxide films. Conductive layers may include, for example, refractory metal films and refractory metal nitride films, in which the refractory metal is titanium, tantalum, or tungsten. The conductive layers may also include ternary layers, such as titanium silicon nitride or tantalum silicon nitride films. To further describe embodiments of the present invention, a processing sequence for forming a tantalum nitride (TaN) film using a rapid cycle deposition process will be described below.
  • FIG. 3 illustrates one process flow sequence 300 for depositing tantalum nitride (TaN) according to embodiments of the processing system described herein. The TaN barrier layer may be formed by alternately introducing one or more tantalum-containing compounds, such as Ta(NMe2)5 (PDMAT) for example, and one or more nitrogen-containing compounds, such as ammonia for example, to the substrate surface. However, any tantalum-containing compound may be used, such as Ta(NEt2)5 (PDEAT), Ta(NEtMe)5 (PEMAT), (Et2N)3Ta(NBu) (TBTDET), (MeEtN)3Ta(NBu) (TBTMET), (Me2N)3Ta(NBu) (TBTDMT), tantalum chloride (TaCI5), tantalum bromide (TaBr5), tantalum iodide (TaI5), tantalum hydrides, such as (Cp)2TaH3 or (CpMe)2TaH3 and combinations thereof. Likewise, any nitrogen-containing compound may be used, such as hydrazine (N2H4), monomethyl hydrazine (CH3N2H3), dimethyl hydrazine (C2H6N2H2), t-butyl hydrazine (C4H9N2H3), phenyl hydrazine (C6H5N2H3), 2,2′-azotertbutane ((CH3)6C2N2), ethylazide (C2H5N3), among others.
  • To initiate the cyclical deposition of the TaN layer, a carrier/purge gas such as argon is introduced into the processing chamber 100 to stabilize the pressure and temperature therein, as shown in step 302. Preferably, the chamber temperature is stabilized to between about 200° C. and about 300° C., and the pressure is stabilized to between about 1 Torr and about 5 Torr. The purge gas, such as helium (He), argon (Ar), nitrogen (N2) and hydrogen (H2), or combinations thereof, is then allowed to flow continuously during the deposition process such that only the purge gas flows between pulses of each compound. Typically, the purge gas continuously flows between about 100 sccm and about 1,000 sccm, such as between about 100 sccm and about 400 sccm, through each valve 132. Alternatively, once the pressure and temperature have been stabilized, the purge gas may be shut off and pulsed between pulses of compounds to assist the removal of the compounds from the chamber.
  • In step 303, at least a portion of the tantalum-containing source gas, PDMAT, is ignited into a plasma to form a volume of activated or excited species within the plasma generator 200. The PDMAT is activated using an RF power between about 200 watts and about 1,500 watts at a pressure at least slightly greater than the pressure within the process chamber. The plasma generator 200 remains in an “on” mode, and maintains the gas therein in the plasma state over repeated cycles.
  • In step 304, a fraction of the activated PDMAT is then pulsed to the reaction zone 159 at a rate between about 100 sccm and about 500 sccm, with a pulse time of about 0.3 seconds or less. Each fraction of PDMAT is less than the volume of activated PDMAT contained within the plasma generator 200, but is sufficient in volume to create at least a continuous monolayer on the substrate in the process chamber. As a result, activated PDMAT is readily available without a separate ignition step to either initiate or sustain the plasma within the plasma generator 200. By eliminating the extra ignition step, product throughput and product repeatability is substantially increased.
  • In step 306, a pulse of ammonia is provided to the reaction zone 159 at a rate between about 200 sccm and about 600 sccm, with a pulse time of about 0.6 seconds or less. In one aspect, at least a portion of the ammonia is also activated using a separate, but similar, activated species generator 200 to create a volume of excited species. In this aspect, the ammonia is activated using an RF power between about 200 watts and about 1,500 watts at a pressure at least slightly greater than the pressure within the process chamber.
  • Steps 304 and 306 are then repeated until a desired thickness of the TaN film is formed on the substrate surface, as shown in step 308. Thereafter, the process is stopped at step 310 when the desired thickness for the film is achieved.
  • Referring again to steps 304 and 306, a pause between pulses of PDMAT and ammonia is typically between about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. However, it may be desirable to operate without a pause between PDMAT and ammonia such that at least a portion of a pulse of PDMAT and at least a portion of a pulse of ammonia at least partially overlap within the processing chamber 100. In other words, at least a portion of a pulse of PDMAT may still be in the reaction zone 159 when at least a portion of a pulse of ammonia enters, allowing the reactive compounds to co-react much like in a CVD technique. Reducing time between pulses at least provides higher throughput.
  • Furthermore, the time interval for each pulse of PDMAT and ammonia is variable and depends on the volume capacity of the process chamber as well as the vacuum system coupled thereto. In general, the process conditions are advantageously selected so that each pulse provides a sufficient amount of compound so that at least a monolayer of the activated compound is conformally adsorbed on the substrate surface. Thereafter, excess compounds remaining in the chamber may be removed by the purge gas stream in combination with the vacuum system.
  • In the illustrated embodiment described above, the metal-containing compound and the nitrogen-containing compound were both ignited into a plasma of excited species. It should be understood that either the metal-containing compound or the nitrogen-containing compound or both could be ignited into a plasma to form a conformal, thin layer having desired physical and electrical properties. As is known in the art, plasma excited species are highly reactive at lower temperatures which increases product throughput, reduces cost of ownership and operation, and minimizes damage to the workpiece.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (35)

1. A method for forming a material with activated species during a cyclical deposition process, comprising:
activating a precursor gas to create reactive species within a plasma generator;
exposing a substrate to a metal precursor within a process region during a first time period;
exposing the substrate to a fraction of the reactive species to form a metal-containing compound during a second time period; and
maintaining at least a portion of the reactive species within the plasma generator in an activated state during the first and second time periods.
2. The method of claim 1, wherein the metal precursor comprises a metal selected from the group consisting of tungsten, tantalum and titanium.
3. The method of claim 2, wherein the metal precursor comprises a tantalum precursor selected from the group consisting of Ta(NMe2)5 (PDMAT), Ta(NEt2)5 (PDEAT), Ta(NEtMe)5 (PEMAT), (Et2N)3Ta(NBu) (TBTDET), (MeEtN)3Ta(NBu) (TBTMET), (Me2N)3Ta(NBu) (TBTDMT), derivatives thereof and combinations thereof.
4. The method of claim 2, wherein the metal-containing compound comprises a material selected from the group consisting of tungsten, tantalum, titanium, tungsten nitride, tantalum nitride, titanium nitride, titanium silicon nitride, tantalum silicon nitride, alloys thereof, derivatives thereof and combinations thereof.
5. The method of claim 1, wherein first time period lasts for about 1 second or less and the second time period lasts for about 1 second or less.
6. The method of claim 5, wherein first time period lasts for about 0.5 seconds or less and the second time period lasts for about 0.5 seconds or less.
7. The method of claim 6, wherein first time period lasts for about 0.1 seconds or less and the second time period lasts for about 0.1 seconds or less.
8. The method of claim 5, wherein the plasma generator comprises a high density plasma generator, a microwave generator, radio-frequency generator, an inductive-coupled plasma generator, a capacitively coupled generator, derivatives thereof and combinations thereof.
9. A method for forming a material with activated species during a cyclical deposition process, comprising:
activating a first precursor gas to create a volume of reactive species within a plasma generator;
exposing a substrate to a second precursor gas within a process region during a first time period; and
exposing the substrate to a fraction of the reactive species to form a product compound during a second time period.
10. The method of claim 9, wherein at least a portion of the reactive species is maintained within the plasma generator in an activated state during the first and second time periods.
11. The method of claim 9, wherein the second precursor gas comprises a metal.
12. The method of claim 11, wherein the metal is selected from the group consisting of tungsten, tantalum and titanium.
13. The method of claim 12, wherein the product compound comprises a material selected from the group consisting of tungsten, tantalum, titanium, tungsten nitride, tantalum nitride, titanium nitride, titanium silicon nitride, tantalum silicon nitride, alloys thereof, derivatives thereof and combinations thereof.
14. The method of claim 9, wherein first time period lasts for about 1 second or less and the second time period lasts for about 1 second or less.
15. The method of claim 14, wherein first time period lasts for about 0.5 seconds or less and the second time period lasts for about 0.5 seconds or less.
16. The method of claim 15, wherein first time period lasts for about 0.1 seconds or less and the second time period lasts for about 0.1 seconds or less.
17. The method of claim 14, wherein the plasma generator comprises a high density plasma generator, a microwave generator, radio-frequency generator, an inductive-coupled plasma generator, a capacitively coupled generator, derivatives thereof and combinations thereof.
18. A method for forming a material with activated species during a cyclical deposition process, comprising:
activating a precursor gas to create reactive species within a plasma generator;
exposing a substrate to a tantalum precursor within a process region during a first time period; and
exposing the substrate to a fraction of the reactive species to form a tantalum-containing layer during a second time period.
19. The method of claim 18, wherein the tantalum precursor comprises a compound selected from the group consisting of Ta(NMe2)5 (PDMAT), Ta(NEt2)5 (PDEAT), Ta(NEtMe)5 (PEMAT), (Et2N)3Ta(NBu) (TBTDET), (MeEtN)3Ta(NBu) (TBTMET), (Me2N)3Ta(NBu) (TBTDMT), derivatives thereof and combinations thereof.
20. The method of claim 18, wherein the precursor gas comprises a nitrogen-containing compound.
21. A method for forming a material with activated species during a cyclical deposition process, comprising:
positioning a substrate within a process region;
activating a precursor gas to create a volume of ionic species within a plasma generator;
exposing the substrate to a precursor compound to form a precursor layer thereon;
purging the process region with a purge gas;
flowing a fraction of the ionic species from the plasma generator to the process region;
exposing the precursor layer to the fraction of the ionic species to form a product compound thereon; and
purging the process region with the purge gas.
22. The method of claim 21, wherein at least a portion of the ionic species is maintained within the plasma generator in an activated state during the exposing and purging steps.
23. A method for forming a material with activated species during a cyclical deposition process, comprising:
positioning a substrate within a process region;
activating a precursor gas to create a volume of ionic species within a plasma generator;
flowing a fraction of the ionic species from the plasma generator to the process region; and
forming a product compound by repeating a deposition cycle comprising exposing the substrate sequentially to a precursor compound, a purge gas, the fraction of the ionic species and the purge gas.
24. The method of claim 23, wherein at least a portion of the ionic species is maintained within the plasma generator in an activated state during the deposition cycle.
25. An apparatus for generating and delivering activated species during a cyclical deposition process, comprising:
a process chamber containing a process region between a substrate support pedestal and a lid assembly;
a plasma generator positioned to fluidly communicate with the process region, wherein the plasma generator comprises a high density plasma generator, a microwave generator, radio-frequency generator, an inductive-coupled plasma generator, a capacitively coupled generator, derivatives thereof and combinations thereof;
a volume of ionic species within the plasma generator; and
a fraction of the ionic species within the process region.
26. The apparatus of claim 25, further comprising a gas manifold attached to the lid assembly, wherein the gas manifold contains at least one valve capable of providing gas pulses of about 1 second or less.
27. The apparatus of claim 26, wherein the at least one valve is capable of providing gas pulses of about 0.5 seconds or less.
28. The apparatus of claim 27, wherein the at least one valve is capable of providing gas pulses of about 0.1 seconds or less.
29. The apparatus of claim 26, wherein the plasma generator is extending externally from the process chamber.
30. The apparatus of claim 26, wherein the plasma generator is formed integrally within the process chamber.
31. The apparatus of claim 26, further comprising at least one precursor source in fluid communication to the gas manifold.
32. The apparatus of claim 31, wherein a vaporizer is positioned between the at least one precursor source and the gas manifold.
33. The apparatus of claim 32, wherein the at least one precursor source contains a tantalum precursor selected from the group consisting of Ta(NMe2)5 (PDMAT), Ta(NEt2)5 (PDEAT), Ta(NEtMe)5 (PEMAT), (Et2N)3Ta(NBu) (TBTDET), (MeEtN)3Ta(NBu) (TBTMET), (Me2N)3Ta(NBu) (TBTDMT), derivatives thereof and combinations thereof.
34. The apparatus of claim 31, wherein the process chamber comprises a ceramic liner assembly.
35. The apparatus of claim 26, wherein a vaporizer is positioned intermediate a first precursor source containing a tantalum precursor and a first valve and the plasma generator is intermediate a second precursor source containing ammonia and a second valve.
US11/146,295 2002-10-11 2005-06-06 Activated species generator for rapid cycle deposition processes Abandoned US20060035025A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/146,295 US20060035025A1 (en) 2002-10-11 2005-06-06 Activated species generator for rapid cycle deposition processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/269,335 US6905737B2 (en) 2002-10-11 2002-10-11 Method of delivering activated species for rapid cyclical deposition
US11/146,295 US20060035025A1 (en) 2002-10-11 2005-06-06 Activated species generator for rapid cycle deposition processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/269,335 Continuation US6905737B2 (en) 2002-10-11 2002-10-11 Method of delivering activated species for rapid cyclical deposition

Publications (1)

Publication Number Publication Date
US20060035025A1 true US20060035025A1 (en) 2006-02-16

Family

ID=32068756

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/269,335 Expired - Lifetime US6905737B2 (en) 2002-10-11 2002-10-11 Method of delivering activated species for rapid cyclical deposition
US11/146,295 Abandoned US20060035025A1 (en) 2002-10-11 2005-06-06 Activated species generator for rapid cycle deposition processes

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/269,335 Expired - Lifetime US6905737B2 (en) 2002-10-11 2002-10-11 Method of delivering activated species for rapid cyclical deposition

Country Status (1)

Country Link
US (2) US6905737B2 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050173068A1 (en) * 2001-10-26 2005-08-11 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20060019494A1 (en) * 2002-03-04 2006-01-26 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070151514A1 (en) * 2002-11-14 2007-07-05 Ling Chen Apparatus and method for hybrid chemical processing
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259110A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Plasma, uv and ion/neutral assisted ald or cvd in a batch tool
US20070283886A1 (en) * 2001-09-26 2007-12-13 Hua Chung Apparatus for integration of barrier layer and seed layer
US20080044569A1 (en) * 2004-05-12 2008-02-21 Myo Nyi O Methods for atomic layer deposition of hafnium-containing high-k dielectric materials
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080280438A1 (en) * 2000-06-28 2008-11-13 Ken Kaung Lai Methods for depositing tungsten layers employing atomic layer deposition techniques
US20090081868A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090078916A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087585A1 (en) * 2007-09-28 2009-04-02 Wei Ti Lee Deposition processes for titanium nitride barrier and aluminum
US20090156004A1 (en) * 2000-06-28 2009-06-18 Moris Kori Method for forming tungsten materials during vapor deposition processes
US20100062614A1 (en) * 2008-09-08 2010-03-11 Ma Paul F In-situ chamber treatment and deposition process
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US10098716B2 (en) 2011-10-14 2018-10-16 Ivoclar Vivadent Ag Lithium silicate glass ceramic and glass with hexavalent metal oxide

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20050066902A1 (en) * 2003-09-26 2005-03-31 Tokyo Electron Limited Method and apparatus for plasma processing
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US7985688B2 (en) * 2005-12-16 2011-07-26 Lam Research Corporation Notch stop pulsing process for plasma processing system
US20070237895A1 (en) * 2006-03-30 2007-10-11 Tokyo Electron Limited Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US7351664B2 (en) * 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7969096B2 (en) 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
US7867560B2 (en) * 2007-03-28 2011-01-11 Tokyo Electron Limited Method for performing a vapor deposition process
FR2930561B1 (en) * 2008-04-28 2011-01-14 Altatech Semiconductor DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE.
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8911559B2 (en) * 2008-09-22 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method to pre-heat and stabilize etching chamber condition and improve mean time between cleaning
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5490585B2 (en) * 2009-05-29 2014-05-14 株式会社日立国際電気 Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic deposit where the first and second starting species are present at the same time
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
WO2020146047A1 (en) * 2019-01-08 2020-07-16 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7321730B2 (en) * 2019-03-14 2023-08-07 キオクシア株式会社 Semiconductor device manufacturing method
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
CN113906159A (en) * 2019-06-06 2022-01-07 应用材料公司 Baffle implementation for improved bottom purge flow uniformity
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020001974A1 (en) * 2000-06-30 2002-01-03 Lim Chan Method for manufacturing zirconium oxide film for use in semiconductor device
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020015790A1 (en) * 1999-10-07 2002-02-07 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020025979A1 (en) * 1993-05-13 2002-02-28 Kunz Lawrence L. Therapeutic inhibitor of vascular smooth muscle cells
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20020034123A1 (en) * 2000-09-11 2002-03-21 Martin Fuenfgeld Method and device for range measurement
US20020042165A1 (en) * 2000-04-14 2002-04-11 Matti Putkonen Process for producing oxide thin films
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20020047151A1 (en) * 2000-10-19 2002-04-25 Kim Yeong-Kwan Semiconductor device having thin film formed by atomic layer deposition and method for fabricating the same
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020054769A1 (en) * 2000-10-18 2002-05-09 Satoru Nakamura Image forming apparatus adjusting concentration of gray with improved reference and test patterns
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030072913A1 (en) * 2001-10-12 2003-04-17 Kuang-Chun Chou Substrate strip with sides having flanges and recesses
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
DE3721637A1 (en) * 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6305613B1 (en) * 1995-01-13 2001-10-23 Jude O. Igwemezie Rail fastening devices
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) * 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
FI104383B (en) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100287174B1 (en) 1998-03-17 2001-04-16 윤종용 Method for synthesis of thin film of multi-element oxide and nitride
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
FI118342B (en) * 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118343B (en) * 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
FI20000099A0 (en) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
JP2001225332A (en) * 2000-02-16 2001-08-21 Hitachi Ltd Mold and method of manufacturing the same
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
FI117980B (en) 2000-04-14 2007-05-15 Asm Int A method of constructing a thin film on a substrate
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
TW548239B (en) * 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
KR100436941B1 (en) * 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR100434487B1 (en) * 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US20020025979A1 (en) * 1993-05-13 2002-02-28 Kunz Lawrence L. Therapeutic inhibitor of vascular smooth muscle cells
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US20020041931A1 (en) * 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020031618A1 (en) * 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6379748B1 (en) * 1998-01-23 2002-04-30 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010002280A1 (en) * 1999-03-11 2001-05-31 Ofer Sneh Radical-assisted sequential CVD
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20030089308A1 (en) * 1999-09-08 2003-05-15 Ivo Raaijmakers Apparatus and method for growth of a thin film
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20020015790A1 (en) * 1999-10-07 2002-02-07 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20020042165A1 (en) * 2000-04-14 2002-04-11 Matti Putkonen Process for producing oxide thin films
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020001974A1 (en) * 2000-06-30 2002-01-03 Lim Chan Method for manufacturing zirconium oxide film for use in semiconductor device
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020034123A1 (en) * 2000-09-11 2002-03-21 Martin Fuenfgeld Method and device for range measurement
US20020054769A1 (en) * 2000-10-18 2002-05-09 Satoru Nakamura Image forming apparatus adjusting concentration of gray with improved reference and test patterns
US20020047151A1 (en) * 2000-10-19 2002-04-25 Kim Yeong-Kwan Semiconductor device having thin film formed by atomic layer deposition and method for fabricating the same
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030072913A1 (en) * 2001-10-12 2003-04-17 Kuang-Chun Chou Substrate strip with sides having flanges and recesses
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US20100093170A1 (en) * 2000-06-28 2010-04-15 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US20080280438A1 (en) * 2000-06-28 2008-11-13 Ken Kaung Lai Methods for depositing tungsten layers employing atomic layer deposition techniques
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20090156004A1 (en) * 2000-06-28 2009-06-18 Moris Kori Method for forming tungsten materials during vapor deposition processes
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US20070283886A1 (en) * 2001-09-26 2007-12-13 Hua Chung Apparatus for integration of barrier layer and seed layer
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20100247767A1 (en) * 2001-10-26 2010-09-30 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20050173068A1 (en) * 2001-10-26 2005-08-11 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20110070730A1 (en) * 2002-03-04 2011-03-24 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20060019494A1 (en) * 2002-03-04 2006-01-26 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20070151514A1 (en) * 2002-11-14 2007-07-05 Ling Chen Apparatus and method for hybrid chemical processing
US20080044569A1 (en) * 2004-05-12 2008-02-21 Myo Nyi O Methods for atomic layer deposition of hafnium-containing high-k dielectric materials
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128863A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128862A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259110A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Plasma, uv and ion/neutral assisted ald or cvd in a batch tool
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20090081868A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090078916A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087585A1 (en) * 2007-09-28 2009-04-02 Wei Ti Lee Deposition processes for titanium nitride barrier and aluminum
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062614A1 (en) * 2008-09-08 2010-03-11 Ma Paul F In-situ chamber treatment and deposition process
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US10098716B2 (en) 2011-10-14 2018-10-16 Ivoclar Vivadent Ag Lithium silicate glass ceramic and glass with hexavalent metal oxide

Also Published As

Publication number Publication date
US20040071897A1 (en) 2004-04-15
US6905737B2 (en) 2005-06-14

Similar Documents

Publication Publication Date Title
US6905737B2 (en) Method of delivering activated species for rapid cyclical deposition
US6838125B2 (en) Method of film deposition using activated precursor gases
US7153542B2 (en) Assembly line processing method
US6875271B2 (en) Simultaneous cyclical deposition in different processing regions
US9873942B2 (en) Methods of vapor deposition with multiple vapor sources
KR101351657B1 (en) Method for forming thin film, computer readable medium and system for thin film vapor deposition
US7341959B2 (en) Plasma enhanced atomic layer deposition system and method
US8974868B2 (en) Post deposition plasma cleaning system and method
US7314835B2 (en) Plasma enhanced atomic layer deposition system and method
US8486845B2 (en) Plasma enhanced atomic layer deposition system and method
KR101151192B1 (en) Apparatus and method for hybrid chemical processing
US7740704B2 (en) High rate atomic layer deposition apparatus and method of using
US20040058293A1 (en) Assembly line processing system
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
EP2304774B1 (en) Apparatuses for atomic layer deposition
US7682946B2 (en) Apparatus and process for plasma-enhanced atomic layer deposition
TWI433956B (en) Plasma-enhanced ald of tantalum nitride films
US20040026371A1 (en) Two-compartment chamber for sequential processing method
US20060210723A1 (en) Plasma enhanced atomic layer deposition system and method
US20060210713A1 (en) Plasma enhanced atomic layer deposition system and method
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
US20060046412A1 (en) Method and system for sequential processing in a two-compartment chamber
US6858085B1 (en) Two-compartment chamber for sequential processing
WO2014130527A1 (en) Atomic layer deposition of metal alloy films

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:VERPLANCKEN, DONALD J.;SINHA, ASHOK K.;REEL/FRAME:017091/0179;SIGNING DATES FROM 20020925 TO 20021010

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION