US20060043484A1 - Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions - Google Patents

Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions Download PDF

Info

Publication number
US20060043484A1
US20060043484A1 US10/989,639 US98963904A US2006043484A1 US 20060043484 A1 US20060043484 A1 US 20060043484A1 US 98963904 A US98963904 A US 98963904A US 2006043484 A1 US2006043484 A1 US 2006043484A1
Authority
US
United States
Prior art keywords
silicon
silicide
film
metal
cosi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/989,639
Inventor
Cyril Cabral
Kevin Chan
Guy Cohen
Christian Lavoie
Ronnen Roy
Paul Solomon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US10/989,639 priority Critical patent/US20060043484A1/en
Publication of US20060043484A1 publication Critical patent/US20060043484A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Definitions

  • the present invention generally relates to bulk MOSFETS and silicon-on-insulator (SOI) MOSFETs and specifically, a self-aligned silicide (salicide) process for thin film SOI MOSFETs having low resistivity contacts and a self-aligned silicide (salicide) process for shallow junctions.
  • SOI silicon-on-insulator
  • the conventional salicide process has been limited to bulk or thick SOI films (e.g., for purposes of the invention, a “bulk” or “thick” SOI film is thicker than 100 nm). Reduction of a SOI film thickness to an estimated 10 nm precludes the use of conventional salicide. That is, if the amount of silicon consumed by the formation of the silicide alloy becomes a large portion of the initial SOI film thickness, then the contact area will decrease, leading to an increase in the contact resistance. Further, even if a conventional salicide was used with thin films, there is no guarantee of low parasitic resistance because an ultra-thin silicon film may be completely consumed during the silicide formation. Further, the conventional salicide process can form a metal-rich silicide which is characterized by higher resistance, if there is not enough silicon to complete the reaction that forms the low resistivity silicide phase.
  • the silicide layer is made extremely thin (e.g., less than 30 nm) to avoid consuming the thin SOI film, then the silicide layer loses its efficiency in reducing the series resistance. For example, if the silicide is in the thick regime, then a reduction of the silicide thickness would roughly yield a proportional increase in the series resistance. This linear behavior would hold down to about 20 nm (depending on the silicide metal). A thinner silicide film may exhibit nucleation problems and some of the phases may not form. All of this would lead to a very steep increase in the contact resistance.
  • the series parasitic resistance must be minimized in order to facilitate the fabrication of high performance thin film SOI MOSFETs.
  • the conventional salicide process is not applicable to the production of ultra-thin SOI MOSFETs, and therefore a new salicide process is required to overcome the problems of the conventional method.
  • shallow junctions provide many benefits when used with the fabrication of submicron MOSFET. For example, they allow for a large punch-through voltage. That is, the lateral spread of the source and drain depletion regions below the surface layer makes a MOSFET more prone to punch-through. Therefore, shallow source and drains effectively suppress subsurface punch-through path.
  • shallow junctions provide better short-channel behavior, and in particular less VT roll-off (e.g., see S. Wolf, Silicon Processing for the VLSI Era, Volume 3—The Submicron MOSFET, Lattice Press, 1995).
  • shallow junctions allow for steeper junction (dopant) profiles.
  • low energy implants are used to form shallow junctions.
  • the lateral projection range decreases with the implant energy, and therefore more abrupt junctions can be obtained.
  • a self-aligned (salicide) process is used in the fabrication of the MOSFET device.
  • the top surface of the source and drain regions are silicided, by converting some of the superficial Si into silicide phases such as CoSi 2 , or TiSi 2 .
  • the conventional salicide process typically consist of the following main steps.
  • blanket deposition of a metal such as Co, Ti or Ni is performed.
  • a metal such as Co, Ti or Ni
  • the typical required film thickness for Co is about 8 nm.
  • the Co deposition is followed by a TiN cap deposition of about 20 nm thick, to prevent oxidation during anneals.
  • a first rapid thermal anneal is performed to form the CoSi, C49 TiSi 2 , or NiSi phase.
  • RTA rapid thermal anneal
  • a 525C anneal would react the deposited Co with the underlay Si, converting some of the Si into CoSi.
  • the unreacted Co is etched selectively, leaving the CoSi regions intact.
  • a second RTA is performed to form the CoSi 2 or C54 TiSi 2 low resistive phase.
  • the CoSi is annealed at about 750 C, to form the CoSi 2 phase. It is noted that the formation of the CoSi 2 phase requires additional consumption of Si from the source and drain regions.
  • the NiSi 2 phase has a higher resistivity than NiSi. Thus, a second RTA is not applied in the case of Nickel.
  • the silicide forms a junction with the source or drain silicon.
  • the location of the Silicide/Si junction plays an important role as will be explained below.
  • FIG. 7 ( a ) shows a band diagram of a silicide/n-type Si junction.
  • the Schottky barrier is not desirable, since it leads to a rectifying contact.
  • the Schottky barrier height of CoSi 2 on n-type silicon is about 0.64 eV. If the silicon doping is made very high (e.g., such as 5 ⁇ 10 19 cm ⁇ 3 ), the potential barrier narrows, and electrons can easily tunnel through the thin barrier into the semiconductor (e.g., as shown in FIG. 7 ( b )).
  • the contact is then referred to as a “tunneling contact”, which performs as an ohmic contact.
  • the silicide/Si junction form at the peak concentration of the dopants in the source 801 and drain 802 . It is also imperative that the silicide/Si junction would not be deeper than the source/drain junction depth, or otherwise a leakage path forms.
  • the silicon film thickness that is consumed in the formation of CoSi 2 alloy is 3.64 times thicker than the initial as deposited Co film.
  • a Co film of 8 nm thick would yield a CoSi 2 film of about 28 nm, and would consume about 29 nm of silicon.
  • the source and drain junction depth (X j ) is projected to be 20-40 nm for devices with a 100 nm gate length (e.g., see the International Technology Roadmap for Semiconductors, 1999 Edition, Semiconductor Industry Association, Executive Summary).
  • the silicide film thickness, X sil may even exceed the source/drain junction depth. Since the silicide film cannot be made thinner, due to the resistance constraint, the conventional salicide process must be modified to accommodate the shallow junction technology requirement.
  • an object of the present invention is to provide a new salicide process applicable to the production of ultra-thin SOI and bulk MOSFETs (e.g., having a SOI thickness substantially within a range of about 3 nm to about 100 nm), and to MOSFETS with shallow source-drain junctions.
  • a further object of the invention is to stay within the thermal budget allowed for the production of conventional MOSFETs using the conventional salicide process.
  • the thermal budget consists of both the temperature and the time length at which the wafer was held at a given temperature.
  • RTA rapid thermal annealing
  • the wafer is annealed by rapid thermal annealing (RTA) to form the silicide alloy.
  • RTA rapid thermal annealing
  • the wafer is annealed at about 750° C. for 60 seconds.
  • a further object is to form a silicide over a shallow source-drain region in a controlled form, and in which the silicide is contained within the source-drain junction.
  • a method for fabricating a silicide for a semiconductor device includes depositing a metal or an alloy thereof on a silicon substrate, reacting the metal or the alloy to form a first silicide phase, etching any unreacted metal, depositing a silicon cap layer over the first silicide phase, reacting the silicon cap layer to form a second silicide phase, for the semiconductor device, and etching any unreacted silicon.
  • a method for fabricating a silicide for a semiconductor device includes depositing a metal or an alloy thereof on a bulk silicon substrate, reacting the metal or the alloy to form a first silicide phase, etching any unreacted metal, depositing a silicon cap layer over the first silicide phase, reacting the silicon cap layer to form a second silicide phase, for the semiconductor device, and etching any unreacted silicon.
  • the invention is advantageously used with bulk silicon substrates.
  • a method for fabricating a silicide for a semiconductor device includes depositing a buried oxide layer on a substrate, applying a silicon layer to the buried oxide layer, and depositing a metal on portions of the silicon layer, thereby to form the silicide for the semiconductor device.
  • SOI silicon-on-insulator
  • a silicide processing method for a thin silicon region includes depositing a metal formed in a silicon film, reacting the metal at a first temperature with the silicon film to form a first alloy, selectively etching the unreacted layer of the metal, depositing a Si film on the first alloy, reacting the Si film at a second temperature to form a second alloy, and selectively etching the unreacted layer of the Si film.
  • the structure formed by the inventive method includes a silicon substrate, and a raised source-drain structure, including a silicided portion formed with an amorphous silicon, formed on said substrate without selective epitaxy processing, said raised source-drain structure having a surface which is facet-free and has a crystallographic shape which is arbitrary.
  • a structure is advantageous over conventional structures formed, for example, by selective epitaxy in terms of conformality and coverage of the metal. Further, the structure is free of any rotational considerations with respect to patterning the wafer.
  • a thin-film of cobalt (Co) or a cobalt-siliocn mixture (Co 1-x Si x ) is deposited on a substrate and is reacted with silicon (Si) at a low temperature to form an alloy of Co 2 Si (e.g., having a metal-rich phase).
  • the Co which is not reacted is removed by selective etching.
  • This step is similar to the etching step in the conventional salicide processing, but in the conventional process, a higher temperature anneal is used so the etching is usually performed at the CoSi formation stage.
  • a non-crystalline film of Si or poly-Si is deposited and subsequently annealed to form the alloy (CoSi 2 ) followed by selective etching of the unreacted silicon.
  • the present invention extends the use of a salicide-like process to thin SOI films, which are expected to be used in future SOI MOSFETs.
  • Such thin-film SOI films will be advantageous in making the devices smaller, reducing the source/drain to substrate overlap capacitance, and eliminating the floating body voltage.
  • the invention provides a superior solution to the alternative method(s) which include a raised source/drain by epitaxy.
  • FIGS. 1-6 illustrate a self-aligned method for forming low resistivity contacts to thin film SOI MOSFETs, and more specifically:
  • FIG. 1 shows a conventional MOSFET device to be silicided
  • FIG. 2 shows a thin film of metal (e.g., Co) deposited on the device of FIG. 1 ;
  • metal e.g., Co
  • FIG. 3 shows the formation of an alloy including the cobalt after exposure to a low-temperature processing
  • FIG. 4 shows an amorphous Si film deposition on the alloy
  • FIG. 5 shows the device after an annealing step at high temperature
  • FIG. 6 shows the device after unreacted silicon has been removed by selective etching
  • FIG. 7 ( a ) illustrates a band diagram of a silicide/n-type Si junction that forms a Schottky barrier
  • FIG. 7 ( b ) illustrates a band diagram of a silicide/n ++ -type Si junction that forms an ohmic contact by tunneling
  • FIG. 8 illustrates requirements for obtaining a structure 800 in which good ohmic contact is made to the source 801 and drain 802 and that the silicon/Si junction is not deeper than the source/drain junction depth;
  • FIGS. 9A and 9B illustrate the novelty fo the inventive structure.
  • FIGS. 1-9B there is shown a preferred embodiment of the method of making of a self-aligned silicide which is applicable to the standard MOSFET structure, and also to non-conventional MOSFETs and structures according to the present invention.
  • Such structures include low resistivity contacts and/or shallow junctions.
  • a conventional MOSFET structure 100 is shown having a substrate 1 formed of silicon, a buried oxide layer 2 (e.g., silicon oxide layer), an SOI layer 3 which thickness noted by t si , a gate dielectric 6 A (e.g., SiO 2 ), sidewall spacers 6 B formed of nitride or oxide, a gate 7 (e.g. doped poly-Si, or metal), and a source 4 and a drain 5 made into the SOI film 3 , typically by an implant.
  • a substrate 1 formed of silicon
  • a buried oxide layer 2 e.g., silicon oxide layer
  • SOI layer 3 which thickness noted by t si
  • a gate dielectric 6 A e.g., SiO 2
  • sidewall spacers 6 B formed of nitride or oxide
  • a gate 7 e.g. doped poly-Si, or metal
  • source 4 and a drain 5 made into the SOI film 3 , typically by an implant.
  • the inventive method is directed to making a self-aligned silicide which is applicable to the standard MOSFET structure, and also to non-conventional MOSFETs and structures.
  • the present invention will be applied to the conventional MOSFET of FIG. 1 .
  • Metals, other than Co, that are used for silicides e.g., Ti, Ni, Pd, Pt and alloys thereof
  • silicides e.g., Ti, Ni, Pd, Pt and alloys thereof
  • a metal 20 (e.g., Co, Ni, Ti, Pd, Pt or alloys thereof) is deposited in a thickness within a range of about 7-8 nm.
  • a TiN cap or a W cap (not shown in the Figure) is deposited over the metal 20 to prevent oxidation during the anneal.
  • the metal 20 is reacted with silicon in the source 4 , drain 5 , and gate 7 regions at a low temperature T 1 . It is noted that if the temperature is too low, no reaction will take place. On the other hand, if the temperature is too high, then the monosilicide phase of CoSi will be formed.
  • the temperature window over which the metal-rich phase Co 2 Si is formed is narrow, it is difficult to achieve only this phase during the first anneal.
  • a mixture of 80% Co and 20% Si may be deposited (e.g., by co-sputtering or evaporation from a Si 0.2 Co 0.8 target).
  • the temperature window for the formation of the Co 2 Si out of the Si 0.2 Co 0.8 mixture is about 337° C. to about 487° C.
  • the use of a 80% Si and 20% Co to extend the temperature window is described in U.S. patent application Ser. No. 09/515,033, Cyril Cabral et.
  • the alloy Co 2 Si 30 is formed, as a result of applying the low temperature (e.g., T 1 ) in the anneal process to the structure of FIG. 2 .
  • T 1 low temperature
  • the thickness depends on the initial Co film thickness. One angstrom of Co yields 1.47 angstroms of Co 2 Si. The typical Co film thickness is about 7 nm. Using the conversion ratio stated above, a 10.3 nm thick film of Co 2 Si will be obtained after the first anneal.
  • An upper layer of the cobalt 20 (e.g., over the alloy Co 2 Si 30) is unreacted Co 20.
  • FIG. 3 demonstrates a case in which some Co is left unreacted on top of the silicide. As described above, this is not desirable in a manufacturing process. Yet, even if this does happen, the overall process of the invention will not be affected except that the silicide film will be thinner than targeted. As such, the robustness of the process is clearly demonstrated. It is noted that in most cases, there will be no unreacted Co.
  • the unreacted cobalt 20 has a thickness dependent on the anneal time/temperature, and is removed through selective etching. That is, a too short anneal may leave some of the Co unreacted. If the temperature is too low, then the Co will not react with the SOI film.
  • This step is similar to the etching step in the conventional salicide process with the exception that in the conventional salicide process the un-reacted Co is etched at the stage where CoSi is formed. It is noted that the deposition of the a-Si may be carried out over the CoSi phase. However, by forming the CoSi phase, much more of the Si in the SOI layer will be consumed.
  • An example of a selective etching solution is 10:1H 2 O 2 :H 2 SO 4 at 65° C.
  • an amorphous Si (a-Si) or a poly-Si film 40 is deposited over the alloy Co 2 Si 30.
  • the a-Si film thickness depend on the initial Co film thickness.
  • One unit of Co would require 0.91 units of Si to form Co 2 Si, 1.82 units of Si to form CoSi and 3.64 units of Si to form CoSi 2 .
  • the process starts with a 7 nm Co film which are then reacted with the SOI film to form 10.3 nm film of Co 2 Si. Assuming that all the Co will diffuse into the top deposited a-Si, then it requires the a-Si film to be about 19 nm thick.
  • the amorphous silicon or polysilicon film 40 is annealed at a high temperature T 2 , (e.g., T 2 >T 1 ).
  • T 2 a high temperature
  • the temperature window for the formation of CoSi is about 481° C. to about 625° C. (at about 625° C., CoSi 2 will start to form).
  • Typical annealing temperature (T 2 ) for the second anneal is about 750° C. These temperatures may vary slightly depending on the doping species and concentration that were implanted into the SOI film.
  • the amount of required Si in angstroms per angstroms of metal is described. Forming 1 angstrom of Co 2 Si will take 0.91 angstrom of Si, CoSi will take 1.82 angstroms of Si, and CoSi 2 will take 3.64 angstrom of Si. If the a-Si layer is deposited on top of the Co 2 Si film, then the Si consumption may be reduced by at least a half, since the Co 2 Si film would be reacting on both top and bottom interfaces. It is important to clean the top surface of the Co 2 Si and remove any native oxide before the a-Si film deposition. The existence of such an oxide at the interface may prevent the Co 2 Si to react with the deposited a-Si layer. The cleaning of the surface and the stripping of a native oxide may be achieved by Ar (argon) sputtering in the a-Si deposition chamber or by a short dip in a diluted HF acid.
  • Ar argon
  • a layer of CoSi 2 50 is formed under an unreacted layer/portion 40 A of the amorphous silicon or polysilicon film 40 .
  • the thickness of the layer of un-reacted amorphous silicon/polysilicon layer 40 A depends on the initial thickness of the top a-Si layer 40 . It is desirable that all of the Co 2 Si is transformed into CoSi 2 , without consuming the entire a-Si layer.
  • the unreacted layer/portion 40 A results from the supply of Si from the a-Si exceeding that which is needed to form CoSi 2 . In other words, the a-Si layer was too thick.
  • FIG. 6 illustrates the selective etching of the layer of un-reacted a-Si or poly-Si film 40 in a last phase.
  • the reacting of the metal (e.g., cobalt) in an annealing operation to initially form the alloy Co 2 Si 30 minimizes the silicon consumption of the SOI film 3 .
  • the deposit of the amorphous silicon or the polysilicon film 40 on top of the alloy Co 2 Si 30 further reduces consumption of the SOI film 3 by a factor of two since at least half of the Co contained he Co 2 Si 30 will diffuse into the top amorphous silicon/polysilicon film layer 40 at the high temperature anneal which forms the CoSi 2 .
  • the diffusivity of cobalt in polysilicon may be larger than in single crystal (mono-crystal) silicon. Due to this diffusivity difference between polysilicon and single crystal silicon, the high temperature anneal will consume more of the top polysilicon layer than that of the bottom single crystal SOI film.
  • the invention can be modified so that the first anneal is at an intermediate temperature, T 3 (e.g., T 3 is about 550° C.), where CoSi is formed (T 1 ⁇ T 3 ⁇ T 2 ).
  • T 3 is about 550° C.
  • CoSi is formed (T 1 ⁇ T 3 ⁇ T 2 ).
  • the anneal process at this temperature will consume more of the SOI film than a Co 2 Si formation. However, it may provide a larger temperature window for the anneal.
  • the temperature window for Co 2 Si is about 20° C. wide if pure Co is used. It may be widened to about 100° C. by using Co 0.8 Si 0.2 . The window may shift and vary depending on the SOI doping. This makes it difficult to obtain the Co 2 Si phase if the window is narrow. If pure Co rather than Co 0.8 Si 0.2 is used, then it is easier to form CoSi due to its large temperature window of about 150° C.
  • the etch selectivity of CoSi, with respect to Co is higher than that of Co 2 Si with respect to Co.
  • the advantages of this selectivity include better reliability and precision of the resulting product.
  • the unreacted Co must be etched away. Otherwise, the source/drain regions will be shortened to the gate.
  • the etchant should be selective to CoSi. That is, it should only remove the Co and leave the CoSi alloy intact.
  • the etching selectivity is typically higher if the alloy contains less Co and more Si. Thus, CoSi is expected to be more resistant to the etch of Co than Co 2 Si. The remainder of the steps in the process are the same.
  • the second embodiment of the present invention employs substantially the same process as described above.
  • SOI Silicon-On-Insulator
  • the process is also equally applicable to bulk MOSFETs, as discussed below.
  • the second embodiment uses substantially the same process.
  • the process steps are summarized below.
  • a blanket deposition of a metal such as Co, Ti or Ni is performed.
  • a metal such as Co, Ti or Ni
  • the typical required film thickness for Co is about 7-8 nm.
  • the Co deposition is followed by a TiN cap deposition of about 20 nm thick, that prevent oxidation during anneals.
  • a first rapid thermal anneal is performed to form the CoSi, C49 TiSi 2 , or NiSi phase.
  • RTA rapid thermal anneal
  • a 525C anneal would react the deposited Co with the underlay Si, converting some of the Si into CoSi.
  • the unreacted metal is selectively etched.
  • Co that was deposited over non-Si surfaces, such as the dielectric sidewall spacers of the device, cannot react with the Si during the anneal and therefore would not convert into CoSi.
  • the unreacted Co is etched selectively, leaving the CoSi regions intact.
  • a blanket deposition of a silicon film is performed.
  • the silicon may be sputtered or evaporated.
  • the typical required Si film thickness would be 15 nm or thicker to ensure that the top Si film would have sufficient silicon to form the di-silicide, CoSi 2 .
  • the top mono-silicide surface should be cleaned, so that the deposited silicon would be free to react with the underlay mono-silicide.
  • a second RTA is performed to form the CoSi 2 or C54 TiSi 2 low resistive phase.
  • the CoSi is annealed at about 750 C, to form the CoSi 2 phase. It is noted that the silicon consumption from the substrate is reduced due the supply of Si from the deposited Si cap layer. As mentioned earlier in the case of Nickel, the NiSi is lower resistivity phase, and thus a second RTA is not used.
  • TMAH tetramethylammonium hydroxide
  • the silicon consumption may be further reduced if a mixture of Co and Si is deposited in the first step above.
  • the process of using Co alloys was first disclosed in the above copending application Ser. No. 09/515,033.
  • Co is co-deposited with Si.
  • the use of such a mixture of Co 1-x Si x is limited to about x ⁇ 0.3, or otherwise bridging from source/drain to gate would occur.
  • the reduction in the Si consumption from the wafer is achieved due to the following reasons:
  • the temperature window in which the metal rich phase, Co 2 Si, is formed is broadened to about 100° C. This makes it possible to replace the first anneal (step 2) that forms the mono-silicide phase, CoSi, with an anneal that would form the metal rich phase, Co 2 Si.
  • the formation of the metal rich phase would consume only half of the Si that would have been consume by the mono-silicide phase.
  • the cap silicon layer may be deposited over the metal rich phase (after the etching of the unreacted Co—step 3).
  • the silicide formation is carried out with a second source of silicon on top of the silicide film almost from the very beginning. This reduces the consumption of Si from the substrate.
  • alternative conventional methodologies include thickening a SOI layer (by at least the amount that will be consumed by the silicide in source and drain regions by using selective epitaxial growth of silicon on these regions, fabricating different silicide thicknesses over the gate, source and drain regions by laser melting, and the deposit of a silicon alloy (Co 1-x Si x , where x ⁇ 0.2) to limit the amount of silicon consumed at source, drain, and gates during silicide formation.
  • Silicon can be added to the source and drain region selectively by selective epitaxy prior to the metal deposition. That is, the epitaxial growth of Si is performed by thickening a SOI layer in the source/drain regions through selective epitaxial growth of Si in these regions. This alternative has several disadvantages when compared to the process of the present invention.
  • the epitaxial growth must be selective, otherwise Si growth will occur on the sidewalls of the device. This condition can lead to shorting the gate to the source and the drain. To avoid this problem, the choice of the sidewall material to use is narrowed because only growth-resistant materials can be selected.
  • the growth temperature is an important parameter in determining the selectivity of the growth.
  • the Si epitaxial growth loses selectivity at low growth temperatures. “Low growth temperatures” depend on the growth technique, and the silicon source. The most selective source is SiCl 4 , but it also requires the highest deposition temperature (about 900° C. to 1200° C.). Silane (SiH 4 ) can be used for low temperature deposition (as low as about 650° C.), but it exhibits very little selectivity, if any. Therefore, a sufficiently high growth temperature (e.g., about 900° C.) is required to guarantee selectivity. The required high growth temperature may be in excess of the thermal budget incurred in the conventional salicide process.
  • a further problem with producing a raised source/drain by epitaxial growth of silicon is the process robustness. Silicon epitaxy is very sensitive to surface preparation and cleaning. Different surface treatments can lead to different defects in the film. Oxide residuals (e.g., even an atomic monolayer) can prevent epitaxial growth.
  • growth rate dependency on feature size Another problem with the epitaxial growth approach, known as “growth rate dependency on feature size”, can occur.
  • CVD chemical vapor deposition
  • the growth rate may be dependent on the topography, the dimensions of the growth area, and the ratio between the growth to non-growth areas. This may lead to a growth of different film thicknesses in devices that are embedded in different circuit layouts. This condition is an additional dimension that must be included in a manufacturing process, and hence requires additional costs.
  • the present invention does not require epitaxy, and is therefore not limited by the difficulties imposed by epitaxy.
  • Another alternative to the present invention may be the deposition of CoSi mixture. However, this method is problematic as well.
  • the deposition of a Co film that contains silicon reduces the silicon consumption from the wafer as some of the Si needed for the silicide formation is already contained in the Co film.
  • this technique is limited to a Co 1-x Si x mixture that has a small enough concentration of Si (x ⁇ 1) so that the deposited alloy on the oxide side-walls can be removed by selective etching. It can therefore reduce the consumption of Si from the substrate by only 10 to 15%.
  • the present invention can use this method to further decrease the Si consumption by depositing Co 1-x Si x instead of pure Co.
  • a second advantage of Co 1-x Si x is the larger temperature window which is available for the formation of the metal-rich phase.
  • the present invention can provide an optimum structure for meeting the shallow junction requirement with the requirements associated with the silicide/Si junction position.
  • the invention uses conventional fabrication techniques, and does not have a throughput problem. That is, a conventional approach to siliciding uses laser melting, which is a relatively new technique that allows the fabrication of different silicide thicknesses over the gate and over the source/drain region. This technique has not been applied in a manufacturing context and therefore its usage in practice is unknown. However, the throughput of the technique may be lower than that achieved with the other techniques such as raised source/drain, deposition of CoSi alloy, etc.
  • laser melting laser annealing is carried out per wafer (i.e., the wafers are processed sequentially) one at a time.
  • Raised source/drain epitaxy and the present invention are parallel techniques in the sense that the entire wafer lot may be processed together (e.g., a single deposition is carried out on all wafers).
  • thermal budget required by the invention is the same as in a conventional salicide process.
  • the present invention overcomes the above-mentioned and other problems of the conventional techniques and allows forming silicon-on-insulator (SOI) and bulk MOSFETs having ultra-thin silicon films and while preventing (or at least minimizing) the high source/drain series resistance and maintaining its efficiency.
  • SOI silicon-on-insulator
  • bulk MOSFETs having ultra-thin silicon films and while preventing (or at least minimizing) the high source/drain series resistance and maintaining its efficiency.
  • bulk or thick SOI films are unnecessary with the inventive method.
  • series parasitic resistance is minimized in order to facilitate the fabrication of high performance thin film SOI MOSFETs with the inventive method.
  • the second embodiment of the invention it is further possible to obtain good control of the silicide over shallow source and drain junctions in bulk crystalline silicon structures.
  • the device appears the same. It is not until one examines the substrate, that a difference is found (e.g., a bulk or a buried oxide substrate). Further, since the invention is essentially a superficial process, it does not matter what is buried with regard to the method of the invention, and the process is equally applicable to both bulk and SOI substrates. The invention works equally well regardless of what is buried below the initial (first) layer of silicon where the silicide reaction is formed.
  • FIGS. 9A and 9B it can be clearly seen that the inventive structure is clearly differentiated from a raised source-drain structure formed by selective epitaxy.
  • epitaxy is defined as “the growth on a crystalline substrate of a crystalline substance that mimics the orientation of the substrate”. Keeping this definition in mind, it can be understood that a crystal grown by epitaxy would always be aligned to the underlay substrate, regardless of the orientation of a window that defines a growth region.
  • FIGS. 9A and 9B respectively illustrate selective epitaxial growth for two different rectangular windows 901 A, 901 B exposing a substrate region 910 .
  • the windows 901 A, 901 B are openings in a mask on which no nucleation occurs.
  • the windows 901 A, 901 B are shown by a dashed line. To further simplify the discussion, only the (100) and (110) sets of planes are considered when looking at the crystal growth.
  • the left window 901 A defines a rectangle which is perfectly aligned along the 110 and 011 crystal orientation
  • the right window 901 B is aligned with the 010 and 100 orientations.
  • the substrate surface in both windows is aligned with the 001 orientation. It is well known that the growth rate is strongly dependent on the crystal orientation.
  • the (110) plane set is slow-growing compared to the fast-growing (100) planes. This is illustrated by the coordinate system shown on the top of FIGS. 9A and 9B .
  • a raised source-drain structure by epitaxy is limited to constraints imposed by the crystal orientation.
  • the shape and the orientation of the window that defines the growth area would not necessarily be replicated to the grown crystal, since it always follows the orientations defined by the underlay crystal substrate.
  • the salicide method of the present invention does not rely on epitaxial growth, and is therefore free of crystal orientation constraints.
  • the raised source-drain formed by the inventive process does not exhibit facets and is defined by the source and drain windows. Hence, the shape that is obtained is facet-free.
  • the method and structure of the present invention are not limited to a specific silicide-forming metal. Further, the invention, is not limited to one particular device as described above, but can also be used in devices with a non-planar source/drain region, such as a polysilicon side-wall source drain (e.g., see P. M. Solomon, H.-S. P. Wong, “Method for Making Single and Double Gate Field Effect Transistors with Sidewall Source Drain Contacts”, U.S. Pat. No. 5,773,331, Jun. 30, 1998, incorporated herein by reference; and T. Yoshimoto et al., “Silicided Silicon-Sidewall Source and Drain Structure for High Performance 75-nm gate length pMOSFETs,” 1995 Symposium on VLSI Technology , digest p. 11).
  • a polysilicon side-wall source drain e.g., see P. M. Solomon, H.-S. P. Wong, “Method for Making Single and Double Gate Field Effect Transistors with Sidewall Source

Abstract

A method (and resulting structure) for fabricating a silicide for a semiconductor device, includes depositing a metal or an alloy thereof on a silicon substrate, reacting the metal or the alloy to form a first silicide phase, etching any unreacted metal, depositing a silicon cap layer over the first silicide phase, reacting the silicon cap layer to form a second silicide phase, for the semiconductor device, and etching any unreacted silicon. The substrate can be either a silicon-on-insulator (SOI) substrate or a bulk silicon substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application is a continuation-in-part of U.S. patent application Ser. No. 09/569,306, to Chan et al., having IBM Docket No. YO999-408, filed on May 11, 2000, incorporated herein by reference.
  • U.S. GOVERNMENT RIGHTS IN THE INVENTION
  • The subject matter of the present Application was at least partially funded under the Grant No. N66001-97-1-8908 from the U.S. Defense Advanced Research Projects Agency (DARPA).
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to bulk MOSFETS and silicon-on-insulator (SOI) MOSFETs and specifically, a self-aligned silicide (salicide) process for thin film SOI MOSFETs having low resistivity contacts and a self-aligned silicide (salicide) process for shallow junctions.
  • 2. Description of the Related Art
  • Conventionally, a reduction of a short channel effect in a silicon-on-insulator (SOI) MOSFET has been addressed by using ultra-thin silicon films (e.g., having a thickness substantially within a range of about 50 nm to about 3 nm). However, using an ultra-thin SOI film can result in high source/drain series resistance. A portion of the high source/drain series resistance can be reduced by using a self-aligned silicide (salicide) contact (e.g., for a discussion of salicides, see Lisa T. Su et al., “Optimization of the series resistance in sub-0.2 μm SOI MOSFET's”, Electron Device Letters, 15(9), p. 363, September 1994).
  • The conventional salicide process has been limited to bulk or thick SOI films (e.g., for purposes of the invention, a “bulk” or “thick” SOI film is thicker than 100 nm). Reduction of a SOI film thickness to an estimated 10 nm precludes the use of conventional salicide. That is, if the amount of silicon consumed by the formation of the silicide alloy becomes a large portion of the initial SOI film thickness, then the contact area will decrease, leading to an increase in the contact resistance. Further, even if a conventional salicide was used with thin films, there is no guarantee of low parasitic resistance because an ultra-thin silicon film may be completely consumed during the silicide formation. Further, the conventional salicide process can form a metal-rich silicide which is characterized by higher resistance, if there is not enough silicon to complete the reaction that forms the low resistivity silicide phase.
  • In the case of a thin SOI film, the percentage of the SOI consumed by the silicide considerably affects the series resistance. It has been demonstrated that when 80% or more of the SOI layer is consumed, the series resistance begins to increase as a result of a reduction in the contact area (e.g., see Su et al., supra).
  • Alternatively, if the silicide layer is made extremely thin (e.g., less than 30 nm) to avoid consuming the thin SOI film, then the silicide layer loses its efficiency in reducing the series resistance. For example, if the silicide is in the thick regime, then a reduction of the silicide thickness would roughly yield a proportional increase in the series resistance. This linear behavior would hold down to about 20 nm (depending on the silicide metal). A thinner silicide film may exhibit nucleation problems and some of the phases may not form. All of this would lead to a very steep increase in the contact resistance.
  • The series parasitic resistance must be minimized in order to facilitate the fabrication of high performance thin film SOI MOSFETs. The conventional salicide process is not applicable to the production of ultra-thin SOI MOSFETs, and therefore a new salicide process is required to overcome the problems of the conventional method.
  • Further, the conventional method and structures are deficient in their silicide/SOI interface roughness.
  • Yet another problem of the conventional structures and methods is associated with shallow junctions used in both bulk and SOI MOSFET structures. That is, shallow junctions provide many benefits when used with the fabrication of submicron MOSFET. For example, they allow for a large punch-through voltage. That is, the lateral spread of the source and drain depletion regions below the surface layer makes a MOSFET more prone to punch-through. Therefore, shallow source and drains effectively suppress subsurface punch-through path.
  • Additionally, shallow junctions provide better short-channel behavior, and in particular less VT roll-off (e.g., see S. Wolf, Silicon Processing for the VLSI Era, Volume 3—The Submicron MOSFET, Lattice Press, 1995).
  • Additionally, such shallow junctions allow for steeper junction (dopant) profiles. For example, low energy implants are used to form shallow junctions. The lateral projection range decreases with the implant energy, and therefore more abrupt junctions can be obtained.
  • To reduce the series resistance to the source and drain, a self-aligned (salicide) process is used in the fabrication of the MOSFET device. The top surface of the source and drain regions are silicided, by converting some of the superficial Si into silicide phases such as CoSi2, or TiSi2. The conventional salicide process typically consist of the following main steps.
  • First, blanket deposition of a metal such as Co, Ti or Ni, is performed. For example, the typical required film thickness for Co is about 8 nm. The Co deposition is followed by a TiN cap deposition of about 20 nm thick, to prevent oxidation during anneals.
  • Then, a first rapid thermal anneal (RTA) is performed to form the CoSi, C49 TiSi2, or NiSi phase. For example, a 525C anneal would react the deposited Co with the underlay Si, converting some of the Si into CoSi.
  • Thereafter, selective etching of the unreacted metal is performed. For example, Co that was deposited over non-Si surfaces, such as the dielectric sidewall spacers of the device, cannot react with the Si during the anneal, and therefore would not convert into CoSi. The unreacted Co is etched selectively, leaving the CoSi regions intact.
  • Finally, a second RTA is performed to form the CoSi2 or C54 TiSi2 low resistive phase. For example, the CoSi is annealed at about 750 C, to form the CoSi2 phase. It is noted that the formation of the CoSi2 phase requires additional consumption of Si from the source and drain regions. The NiSi2 phase has a higher resistivity than NiSi. Thus, a second RTA is not applied in the case of Nickel.
  • The silicide forms a junction with the source or drain silicon. The location of the Silicide/Si junction plays an important role as will be explained below.
  • FIG. 7(a) shows a band diagram of a silicide/n-type Si junction. At the junction, there is a potential barrier referred to as the Schottky barrier. The Schottky barrier is not desirable, since it leads to a rectifying contact. For example, the Schottky barrier height of CoSi2 on n-type silicon is about 0.64 eV. If the silicon doping is made very high (e.g., such as 5×1019 cm−3), the potential barrier narrows, and electrons can easily tunnel through the thin barrier into the semiconductor (e.g., as shown in FIG. 7(b)). The contact is then referred to as a “tunneling contact”, which performs as an ohmic contact.
  • Referring to the structure 800 in FIG. 8, to make a good ohmic contact to the source 801 and drain 802, it is therefore essential that the silicide/Si junction form at the peak concentration of the dopants in the source 801 and drain 802. It is also imperative that the silicide/Si junction would not be deeper than the source/drain junction depth, or otherwise a leakage path forms. These requirements are summarized in FIG. 8.
  • Combining the shallow junction requirement with the requirements associated with the silicide/Si junction position is not trivial. As explained above, the salicide process converts some of the source and drain silicon into silicide.
  • For example, the silicon film thickness that is consumed in the formation of CoSi2 alloy is 3.64 times thicker than the initial as deposited Co film. A Co film of 8 nm thick would yield a CoSi2 film of about 28 nm, and would consume about 29 nm of silicon. On the other hand, the source and drain junction depth (Xj) is projected to be 20-40 nm for devices with a 100 nm gate length (e.g., see the International Technology Roadmap for Semiconductors, 1999 Edition, Semiconductor Industry Association, Executive Summary).
  • As may be seen by this example, if the junction is made very shallow as required by the semiconductor road map, the silicide film thickness, Xsil, and therefore the silicide/Si junction position, may even exceed the source/drain junction depth. Since the silicide film cannot be made thinner, due to the resistance constraint, the conventional salicide process must be modified to accommodate the shallow junction technology requirement.
  • SUMMARY OF THE INVENTION
  • In view of the foregoing and other problems, drawbacks and disadvantages of the conventional methods and structures, an object of the present invention is to provide a new salicide process applicable to the production of ultra-thin SOI and bulk MOSFETs (e.g., having a SOI thickness substantially within a range of about 3 nm to about 100 nm), and to MOSFETS with shallow source-drain junctions.
  • It is a further object to provide a new salicide process in which less of the thin SOI film is consumed, produces a thicker SOI film in, a source/drain region, and is a self-aligned process.
  • Additionally, a further object of the invention is to stay within the thermal budget allowed for the production of conventional MOSFETs using the conventional salicide process. The thermal budget consists of both the temperature and the time length at which the wafer was held at a given temperature. Typically, to minimize the thermal budget the wafer is annealed by rapid thermal annealing (RTA) to form the silicide alloy. For example, to form the CoSi2 phase from the CoSi phase the wafer is annealed at about 750° C. for 60 seconds.
  • A further object is to form a silicide over a shallow source-drain region in a controlled form, and in which the silicide is contained within the source-drain junction.
  • In a first aspect of the invention, a method for fabricating a silicide for a semiconductor device, includes depositing a metal or an alloy thereof on a silicon substrate, reacting the metal or the alloy to form a first silicide phase, etching any unreacted metal, depositing a silicon cap layer over the first silicide phase, reacting the silicon cap layer to form a second silicide phase, for the semiconductor device, and etching any unreacted silicon.
  • With the invention, either SOI substrates or bulk silicon substrates can be advantageously employed.
  • In a second aspect of the invention, a method for fabricating a silicide for a semiconductor device, includes depositing a metal or an alloy thereof on a bulk silicon substrate, reacting the metal or the alloy to form a first silicide phase, etching any unreacted metal, depositing a silicon cap layer over the first silicide phase, reacting the silicon cap layer to form a second silicide phase, for the semiconductor device, and etching any unreacted silicon. Thus, the invention is advantageously used with bulk silicon substrates.
  • In a third aspect of the invention, a method for fabricating a silicide for a semiconductor device, includes depositing a buried oxide layer on a substrate, applying a silicon layer to the buried oxide layer, and depositing a metal on portions of the silicon layer, thereby to form the silicide for the semiconductor device. Thus, the invention is advantageously used with silicon-on-insulator (SOI) substrates.
  • In a fourth aspect of the present invention, a silicide processing method for a thin silicon region, includes depositing a metal formed in a silicon film, reacting the metal at a first temperature with the silicon film to form a first alloy, selectively etching the unreacted layer of the metal, depositing a Si film on the first alloy, reacting the Si film at a second temperature to form a second alloy, and selectively etching the unreacted layer of the Si film.
  • In yet another aspect of the invention, the structure formed by the inventive method includes a silicon substrate, and a raised source-drain structure, including a silicided portion formed with an amorphous silicon, formed on said substrate without selective epitaxy processing, said raised source-drain structure having a surface which is facet-free and has a crystallographic shape which is arbitrary. Such a structure is advantageous over conventional structures formed, for example, by selective epitaxy in terms of conformality and coverage of the metal. Further, the structure is free of any rotational considerations with respect to patterning the wafer.
  • In the method of the present invention, preferably a thin-film of cobalt (Co) or a cobalt-siliocn mixture (Co1-xSix) is deposited on a substrate and is reacted with silicon (Si) at a low temperature to form an alloy of Co2Si (e.g., having a metal-rich phase). The Co which is not reacted is removed by selective etching. This step is similar to the etching step in the conventional salicide processing, but in the conventional process, a higher temperature anneal is used so the etching is usually performed at the CoSi formation stage. After the etching step, a non-crystalline film of Si or poly-Si is deposited and subsequently annealed to form the alloy (CoSi2) followed by selective etching of the unreacted silicon.
  • In this manner, a reaction of Co to initially form Co2Si, minimizes the silicon consumption of the thin SOI film. The consumption of the thin SOI film is additionally reduced by the deposition of a silicon or poly-silicon film on the Co2Si.
  • The present invention extends the use of a salicide-like process to thin SOI films, which are expected to be used in future SOI MOSFETs. Such thin-film SOI films will be advantageous in making the devices smaller, reducing the source/drain to substrate overlap capacitance, and eliminating the floating body voltage.
  • Further, the invention provides a superior solution to the alternative method(s) which include a raised source/drain by epitaxy.
  • Further, with the invention, it is further possible to obtain good control in forming a silicide over the source and drain in bulk crystalline silicon structures as well as thin film SOI structures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, aspects and advantages will be better understood from the following detailed description of a preferred embodiment of the invention with reference to the drawings, in which:
  • FIGS. 1-6 illustrate a self-aligned method for forming low resistivity contacts to thin film SOI MOSFETs, and more specifically:
  • FIG. 1 shows a conventional MOSFET device to be silicided;
  • FIG. 2 shows a thin film of metal (e.g., Co) deposited on the device of FIG. 1;
  • FIG. 3 shows the formation of an alloy including the cobalt after exposure to a low-temperature processing;
  • FIG. 4 shows an amorphous Si film deposition on the alloy;
  • FIG. 5 shows the device after an annealing step at high temperature; and
  • FIG. 6 shows the device after unreacted silicon has been removed by selective etching;
  • FIG. 7(a) illustrates a band diagram of a silicide/n-type Si junction that forms a Schottky barrier;
  • FIG. 7(b) illustrates a band diagram of a silicide/n++-type Si junction that forms an ohmic contact by tunneling;
  • FIG. 8 illustrates requirements for obtaining a structure 800 in which good ohmic contact is made to the source 801 and drain 802 and that the silicon/Si junction is not deeper than the source/drain junction depth; and
  • FIGS. 9A and 9B illustrate the novelty fo the inventive structure.
  • DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT OF THE INVENTION
  • Referring now to the drawings, and more particularly to FIGS. 1-9B, there is shown a preferred embodiment of the method of making of a self-aligned silicide which is applicable to the standard MOSFET structure, and also to non-conventional MOSFETs and structures according to the present invention. Such structures include low resistivity contacts and/or shallow junctions.
  • Referring now to FIG. 1, a conventional MOSFET structure 100 is shown having a substrate 1 formed of silicon, a buried oxide layer 2 (e.g., silicon oxide layer), an SOI layer 3 which thickness noted by tsi, a gate dielectric 6A (e.g., SiO2), sidewall spacers 6B formed of nitride or oxide, a gate 7 (e.g. doped poly-Si, or metal), and a source 4 and a drain 5 made into the SOI film 3, typically by an implant.
  • The inventive method is directed to making a self-aligned silicide which is applicable to the standard MOSFET structure, and also to non-conventional MOSFETs and structures. For ease of discussion, the present invention will be applied to the conventional MOSFET of FIG. 1.
  • However, although the process flow is demonstrated using a conventional MOSFET structure, it is applicable to a wide variety of structures. Metals, other than Co, that are used for silicides (e.g., Ti, Ni, Pd, Pt and alloys thereof) can be used with the present invention.
  • Referring to FIG. 2, a metal 20 (e.g., Co, Ni, Ti, Pd, Pt or alloys thereof) is deposited in a thickness within a range of about 7-8 nm. A TiN cap or a W cap (not shown in the Figure) is deposited over the metal 20 to prevent oxidation during the anneal. The metal 20 is reacted with silicon in the source 4, drain 5, and gate 7 regions at a low temperature T1. It is noted that if the temperature is too low, no reaction will take place. On the other hand, if the temperature is too high, then the monosilicide phase of CoSi will be formed. Since the temperature window over which the metal-rich phase Co2Si is formed is narrow, it is difficult to achieve only this phase during the first anneal. To extend the temperature window, a mixture of 80% Co and 20% Si may be deposited (e.g., by co-sputtering or evaporation from a Si0.2Co0.8 target). The temperature window for the formation of the Co2Si out of the Si0.2Co0.8 mixture is about 337° C. to about 487° C. The use of a 80% Si and 20% Co to extend the temperature window is described in U.S. patent application Ser. No. 09/515,033, Cyril Cabral et. al, “METHOD FOR SELF-ALIGNED FORMATION OF SILICIDE CONTACTS USING METAL SILICON ALLOYS FOR LIMITED SILICON CONSUMPTION AND FOR REDUCTION OF BRIDGING”, filed on Mar. 6, 2000, having IBM Docket No. YOR900-0044, incorporated herein by reference.
  • As shown in FIG. 3, the alloy Co2Si 30 is formed, as a result of applying the low temperature (e.g., T1) in the anneal process to the structure of FIG. 2. (The thickness depends on the initial Co film thickness. One angstrom of Co yields 1.47 angstroms of Co2Si. The typical Co film thickness is about 7 nm. Using the conversion ratio stated above, a 10.3 nm thick film of Co2Si will be obtained after the first anneal.) An upper layer of the cobalt 20 (e.g., over the alloy Co2Si 30) is unreacted Co 20.
  • That is, in the standard process, all of the Co which is deposited over a Si surface will react with the silicon surface and will form a silicide. On the other hand, the Co that was deposited over dielectric surfaces such as the oxide or nitride sidewalls cannot react with the Si surface and will remain as unreacted Co.
  • FIG. 3 demonstrates a case in which some Co is left unreacted on top of the silicide. As described above, this is not desirable in a manufacturing process. Yet, even if this does happen, the overall process of the invention will not be affected except that the silicide film will be thinner than targeted. As such, the robustness of the process is clearly demonstrated. It is noted that in most cases, there will be no unreacted Co.
  • For example, the unreacted cobalt 20 has a thickness dependent on the anneal time/temperature, and is removed through selective etching. That is, a too short anneal may leave some of the Co unreacted. If the temperature is too low, then the Co will not react with the SOI film. This step is similar to the etching step in the conventional salicide process with the exception that in the conventional salicide process the un-reacted Co is etched at the stage where CoSi is formed. It is noted that the deposition of the a-Si may be carried out over the CoSi phase. However, by forming the CoSi phase, much more of the Si in the SOI layer will be consumed. An example of a selective etching solution is 10:1H2O2:H2SO4 at 65° C.
  • Next, referring to FIG. 4, an amorphous Si (a-Si) or a poly-Si film 40 is deposited over the alloy Co2Si 30. The a-Si film thickness depend on the initial Co film thickness. One unit of Co would require 0.91 units of Si to form Co2Si, 1.82 units of Si to form CoSi and 3.64 units of Si to form CoSi2. For example, suppose the process starts with a 7 nm Co film which are then reacted with the SOI film to form 10.3 nm film of Co2Si. Assuming that all the Co will diffuse into the top deposited a-Si, then it requires the a-Si film to be about 19 nm thick. A more realistic assumption is that more than half but not all the Co will diffuse into the top film, so that a thinner film is actually needed. The amorphous silicon or polysilicon film 40 is annealed at a high temperature T2, (e.g., T2>T1). The temperature window for the formation of CoSi is about 481° C. to about 625° C. (at about 625° C., CoSi2 will start to form). Typical annealing temperature (T2) for the second anneal is about 750° C. These temperatures may vary slightly depending on the doping species and concentration that were implanted into the SOI film.
  • Hereinbelow, the amount of required Si in angstroms per angstroms of metal is described. Forming 1 angstrom of Co2Si will take 0.91 angstrom of Si, CoSi will take 1.82 angstroms of Si, and CoSi2 will take 3.64 angstrom of Si. If the a-Si layer is deposited on top of the Co2Si film, then the Si consumption may be reduced by at least a half, since the Co2Si film would be reacting on both top and bottom interfaces. It is important to clean the top surface of the Co2Si and remove any native oxide before the a-Si film deposition. The existence of such an oxide at the interface may prevent the Co2Si to react with the deposited a-Si layer. The cleaning of the surface and the stripping of a native oxide may be achieved by Ar (argon) sputtering in the a-Si deposition chamber or by a short dip in a diluted HF acid.
  • As shown in FIG. 5, as a result of the annealing operation at a high temperature T2, a layer of CoSi2 50 is formed under an unreacted layer/portion 40A of the amorphous silicon or polysilicon film 40. The thickness of the layer of un-reacted amorphous silicon/polysilicon layer 40A depends on the initial thickness of the top a-Si layer 40. It is desirable that all of the Co2Si is transformed into CoSi2, without consuming the entire a-Si layer. The unreacted layer/portion 40A results from the supply of Si from the a-Si exceeding that which is needed to form CoSi2. In other words, the a-Si layer was too thick.
  • FIG. 6 illustrates the selective etching of the layer of un-reacted a-Si or poly-Si film 40 in a last phase.
  • Thus, with the invention, the reacting of the metal (e.g., cobalt) in an annealing operation to initially form the alloy Co2Si 30 minimizes the silicon consumption of the SOI film 3.
  • Additionally, the deposit of the amorphous silicon or the polysilicon film 40 on top of the alloy Co2Si 30 further reduces consumption of the SOI film 3 by a factor of two since at least half of the Co contained he Co2Si 30 will diffuse into the top amorphous silicon/polysilicon film layer 40 at the high temperature anneal which forms the CoSi2.
  • The diffusivity of cobalt in polysilicon may be larger than in single crystal (mono-crystal) silicon. Due to this diffusivity difference between polysilicon and single crystal silicon, the high temperature anneal will consume more of the top polysilicon layer than that of the bottom single crystal SOI film.
  • In an alternative embodiment, the invention can be modified so that the first anneal is at an intermediate temperature, T3 (e.g., T3 is about 550° C.), where CoSi is formed (T1<T3<T2). The anneal process at this temperature will consume more of the SOI film than a Co2Si formation. However, it may provide a larger temperature window for the anneal.
  • The larger the temperature window, the easier it is to form a given silicide phase without the risk of obtaining a mix phase. The temperature window for Co2Si is about 20° C. wide if pure Co is used. It may be widened to about 100° C. by using Co0.8Si0.2. The window may shift and vary depending on the SOI doping. This makes it difficult to obtain the Co2Si phase if the window is narrow. If pure Co rather than Co0.8Si0.2 is used, then it is easier to form CoSi due to its large temperature window of about 150° C.
  • Also, the etch selectivity of CoSi, with respect to Co, is higher than that of Co2Si with respect to Co. The advantages of this selectivity include better reliability and precision of the resulting product. After the Co is reacted to form CoSi, the unreacted Co must be etched away. Otherwise, the source/drain regions will be shortened to the gate. The etchant should be selective to CoSi. That is, it should only remove the Co and leave the CoSi alloy intact. The etching selectivity is typically higher if the alloy contains less Co and more Si. Thus, CoSi is expected to be more resistant to the etch of Co than Co2Si. The remainder of the steps in the process are the same.
  • Second Embodiment
  • The second embodiment of the present invention employs substantially the same process as described above. However, while the process described above has been applied to Silicon-On-Insulator (SOI) MOSFETs, the process is also equally applicable to bulk MOSFETs, as discussed below.
  • Thus, the second embodiment uses substantially the same process. For the reader's greater clarity, the process steps are summarized below.
  • First, a blanket deposition of a metal such as Co, Ti or Ni, is performed. For example, the typical required film thickness for Co is about 7-8 nm. The Co deposition is followed by a TiN cap deposition of about 20 nm thick, that prevent oxidation during anneals.
  • Then, a first rapid thermal anneal (RTA) is performed to form the CoSi, C49 TiSi2, or NiSi phase. For example, a 525C anneal would react the deposited Co with the underlay Si, converting some of the Si into CoSi.
  • Then, the unreacted metal is selectively etched. For example, Co that was deposited over non-Si surfaces, such as the dielectric sidewall spacers of the device, cannot react with the Si during the anneal and therefore would not convert into CoSi. The unreacted Co is etched selectively, leaving the CoSi regions intact.
  • Then, a blanket deposition of a silicon film is performed. For example, the silicon may be sputtered or evaporated. Following the example outlined above, the typical required Si film thickness would be 15 nm or thicker to ensure that the top Si film would have sufficient silicon to form the di-silicide, CoSi2. The top mono-silicide surface should be cleaned, so that the deposited silicon would be free to react with the underlay mono-silicide.
  • Thereafter, a second RTA is performed to form the CoSi2 or C54 TiSi2 low resistive phase. For example, the CoSi is annealed at about 750 C, to form the CoSi2 phase. It is noted that the silicon consumption from the substrate is reduced due the supply of Si from the deposited Si cap layer. As mentioned earlier in the case of Nickel, the NiSi is lower resistivity phase, and thus a second RTA is not used.
  • Further, the unreacted silicon is selectively etched. For example, tetramethylammonium hydroxide (TMAH) may be used to etch the silicon and stop on the CoSi2 film.
  • The silicon consumption may be further reduced if a mixture of Co and Si is deposited in the first step above. The process of using Co alloys was first disclosed in the above copending application Ser. No. 09/515,033. Thus, instead of a pure Co deposition (step 1), Co is co-deposited with Si. The use of such a mixture of Co1-xSix, is limited to about x<0.3, or otherwise bridging from source/drain to gate would occur. The reduction in the Si consumption from the wafer is achieved due to the following reasons:
  • First, the temperature window in which the metal rich phase, Co2Si, is formed is broadened to about 100° C. This makes it possible to replace the first anneal (step 2) that forms the mono-silicide phase, CoSi, with an anneal that would form the metal rich phase, Co2Si. The formation of the metal rich phase would consume only half of the Si that would have been consume by the mono-silicide phase. Now, the cap silicon layer may be deposited over the metal rich phase (after the etching of the unreacted Co—step 3). Thus, the silicide formation is carried out with a second source of silicon on top of the silicide film almost from the very beginning. This reduces the consumption of Si from the substrate.
  • Secondly, some of the silicon which is required to form the silicide phase is already contained in the deposited mixture, and thus the substrate consumption is reduced.
  • Additionally, there are other alternative approaches to the invention discussed above including raised source/drain by selective epitaxial growth of Si, and deposition of CoSi mixture.
  • That is, alternative conventional methodologies (e.g., which are less desirable when contrasted to the embodiments of the invention described above), include thickening a SOI layer (by at least the amount that will be consumed by the silicide in source and drain regions by using selective epitaxial growth of silicon on these regions, fabricating different silicide thicknesses over the gate, source and drain regions by laser melting, and the deposit of a silicon alloy (Co1-xSix, where x<0.2) to limit the amount of silicon consumed at source, drain, and gates during silicide formation.
  • Raised Source/Drain by Epitaxial Growth of Si:
  • Silicon can be added to the source and drain region selectively by selective epitaxy prior to the metal deposition. That is, the epitaxial growth of Si is performed by thickening a SOI layer in the source/drain regions through selective epitaxial growth of Si in these regions. This alternative has several disadvantages when compared to the process of the present invention.
  • First, the epitaxial growth must be selective, otherwise Si growth will occur on the sidewalls of the device. This condition can lead to shorting the gate to the source and the drain. To avoid this problem, the choice of the sidewall material to use is narrowed because only growth-resistant materials can be selected.
  • Further, the growth temperature is an important parameter in determining the selectivity of the growth. The Si epitaxial growth loses selectivity at low growth temperatures. “Low growth temperatures” depend on the growth technique, and the silicon source. The most selective source is SiCl4, but it also requires the highest deposition temperature (about 900° C. to 1200° C.). Silane (SiH4) can be used for low temperature deposition (as low as about 650° C.), but it exhibits very little selectivity, if any. Therefore, a sufficiently high growth temperature (e.g., about 900° C.) is required to guarantee selectivity. The required high growth temperature may be in excess of the thermal budget incurred in the conventional salicide process.
  • A further problem with producing a raised source/drain by epitaxial growth of silicon is the process robustness. Silicon epitaxy is very sensitive to surface preparation and cleaning. Different surface treatments can lead to different defects in the film. Oxide residuals (e.g., even an atomic monolayer) can prevent epitaxial growth.
  • Another problem with the epitaxial growth approach, known as “growth rate dependency on feature size”, can occur. In a chemical vapor deposition (CVD)-type epitaxy, the growth rate may be dependent on the topography, the dimensions of the growth area, and the ratio between the growth to non-growth areas. This may lead to a growth of different film thicknesses in devices that are embedded in different circuit layouts. This condition is an additional dimension that must be included in a manufacturing process, and hence requires additional costs. The present invention does not require epitaxy, and is therefore not limited by the difficulties imposed by epitaxy.
  • Deposition of Co1-xSix Alloy
  • Another alternative to the present invention may be the deposition of CoSi mixture. However, this method is problematic as well.
  • That is, the deposition of a Co film that contains silicon reduces the silicon consumption from the wafer as some of the Si needed for the silicide formation is already contained in the Co film. However, this technique is limited to a Co1-xSix mixture that has a small enough concentration of Si (x<<1) so that the deposited alloy on the oxide side-walls can be removed by selective etching. It can therefore reduce the consumption of Si from the substrate by only 10 to 15%.
  • The present invention can use this method to further decrease the Si consumption by depositing Co1-xSix instead of pure Co. A second advantage of Co1-xSix is the larger temperature window which is available for the formation of the metal-rich phase.
  • Thus, the present invention can provide an optimum structure for meeting the shallow junction requirement with the requirements associated with the silicide/Si junction position.
  • The invention uses conventional fabrication techniques, and does not have a throughput problem. That is, a conventional approach to siliciding uses laser melting, which is a relatively new technique that allows the fabrication of different silicide thicknesses over the gate and over the source/drain region. This technique has not been applied in a manufacturing context and therefore its usage in practice is unknown. However, the throughput of the technique may be lower than that achieved with the other techniques such as raised source/drain, deposition of CoSi alloy, etc. In laser melting, laser annealing is carried out per wafer (i.e., the wafers are processed sequentially) one at a time. Raised source/drain epitaxy and the present invention are parallel techniques in the sense that the entire wafer lot may be processed together (e.g., a single deposition is carried out on all wafers).
  • Additionally, it is noted that the thermal budget required by the invention is the same as in a conventional salicide process.
  • Thus, the present invention overcomes the above-mentioned and other problems of the conventional techniques and allows forming silicon-on-insulator (SOI) and bulk MOSFETs having ultra-thin silicon films and while preventing (or at least minimizing) the high source/drain series resistance and maintaining its efficiency. Thus, bulk or thick SOI films are unnecessary with the inventive method. Further, series parasitic resistance is minimized in order to facilitate the fabrication of high performance thin film SOI MOSFETs with the inventive method.
  • Further, with, for example, the second embodiment of the invention, it is further possible to obtain good control of the silicide over shallow source and drain junctions in bulk crystalline silicon structures.
  • It is noted that, with both embodiments, looking at the structure from the top down, the device (structure) appears the same. It is not until one examines the substrate, that a difference is found (e.g., a bulk or a buried oxide substrate). Further, since the invention is essentially a superficial process, it does not matter what is buried with regard to the method of the invention, and the process is equally applicable to both bulk and SOI substrates. The invention works equally well regardless of what is buried below the initial (first) layer of silicon where the silicide reaction is formed.
  • Turning to FIGS. 9A and 9B, it can be clearly seen that the inventive structure is clearly differentiated from a raised source-drain structure formed by selective epitaxy.
  • That is, for purposes of the present invention, “epitaxy” is defined as “the growth on a crystalline substrate of a crystalline substance that mimics the orientation of the substrate”. Keeping this definition in mind, it can be understood that a crystal grown by epitaxy would always be aligned to the underlay substrate, regardless of the orientation of a window that defines a growth region.
  • FIGS. 9A and 9B respectively illustrate selective epitaxial growth for two different rectangular windows 901A, 901B exposing a substrate region 910. The windows 901A, 901B are openings in a mask on which no nucleation occurs. The windows 901A, 901B are shown by a dashed line. To further simplify the discussion, only the (100) and (110) sets of planes are considered when looking at the crystal growth.
  • In FIG. 9A, the left window 901A defines a rectangle which is perfectly aligned along the 110 and 011 crystal orientation, whereas in FIG. 9B the right window 901B is aligned with the 010 and 100 orientations. The substrate surface in both windows is aligned with the 001 orientation. It is well known that the growth rate is strongly dependent on the crystal orientation. For the following discussion, it is assumed that the (110) plane set is slow-growing compared to the fast-growing (100) planes. This is illustrated by the coordinate system shown on the top of FIGS. 9A and 9B.
  • Since the growth rate is dependent on the crystal orientation, the grown crystal would exhibit facets. These faces develop into the slow-growing planes. FIG. 9B shows the grown crystal at two different growth times. At tgrowth=t1, the grown crystal shape is still close to the original window that defines the growth area. However, as the growth proceeds (tgrowth=t2), the slow growing (110) planes become dominant, and the grown crystal no longer follows the shape of the underlay window. As growth would proceed, the shape of the crystal would converge into a pyramid having a base which is oriented along the 110 and 011 orientations (as that of the left window 901B). As illustrated by FIG. 9A, the grown crystal would maintain the window shape only if the window is aligned to the slow-growing planes.
  • Therefore, a raised source-drain structure by epitaxy is limited to constraints imposed by the crystal orientation. The shape and the orientation of the window that defines the growth area would not necessarily be replicated to the grown crystal, since it always follows the orientations defined by the underlay crystal substrate.
  • However, the salicide method of the present invention does not rely on epitaxial growth, and is therefore free of crystal orientation constraints. Thus, in the invention, it does not matter what the crystallographic directions are because the invention does not rely on such directions to form the inventive structure.
  • Moreover, the raised source-drain formed by the inventive process does not exhibit facets and is defined by the source and drain windows. Hence, the shape that is obtained is facet-free.
  • While the invention has been described in terms of preferred embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims.
  • The method and structure of the present invention are not limited to a specific silicide-forming metal. Further, the invention, is not limited to one particular device as described above, but can also be used in devices with a non-planar source/drain region, such as a polysilicon side-wall source drain (e.g., see P. M. Solomon, H.-S. P. Wong, “Method for Making Single and Double Gate Field Effect Transistors with Sidewall Source Drain Contacts”, U.S. Pat. No. 5,773,331, Jun. 30, 1998, incorporated herein by reference; and T. Yoshimoto et al., “Silicided Silicon-Sidewall Source and Drain Structure for High Performance 75-nm gate length pMOSFETs,” 1995 Symposium on VLSI Technology, digest p. 11).

Claims (10)

1-13. (canceled)
14. A semiconductor device, comprising:
a silicon substrate;
a raised source-drain structure, including a silicided portion formed with an amorphous silicon, formed on said substrate without selective epitaxy processing,
said raised source-drain structure having a surface which is facet-free and has a crystallographic shape which is arbitrary.
15. The device of claim 14, wherein said substrate comprises a bulk silicon substrate.
16. The device of claim 14, wherein said substrate comprises a silicon-on-insulator (SOI) substrate.
17. The device of claim 14, wherein said silicided portion includes a metal comprising one of Co, Ti and Ni.
18. The device of claim 17, wherein said metal includes cobalt having a film thickness in a range of approximately 7 nm to approximately 8 nm.
19. The device of claim 18, wherein a W cap is formed on said metal for preventing oxidation during a subsequent anneal processing.
20. The device of claim 18, wherein a TiN cap is formed on said metal for preventing oxidation during a subsequent anneal processing.
21. The device of claim 14, wherein said raised source-drain structure is free of crystal orientation constraints.
22. The device of claim 14, wherein said raised source-drain structure is non-aligned with a crystallographic direction of said substrate.
US10/989,639 2000-05-11 2004-11-17 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions Abandoned US20060043484A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/989,639 US20060043484A1 (en) 2000-05-11 2004-11-17 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/569,306 US20020031909A1 (en) 2000-05-11 2000-05-11 Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
US09/902,483 US6987050B2 (en) 2000-05-11 2001-07-11 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions
US10/989,639 US20060043484A1 (en) 2000-05-11 2004-11-17 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/902,483 Division US6987050B2 (en) 2000-05-11 2001-07-11 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions

Publications (1)

Publication Number Publication Date
US20060043484A1 true US20060043484A1 (en) 2006-03-02

Family

ID=24274883

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/569,306 Abandoned US20020031909A1 (en) 2000-05-11 2000-05-11 Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
US09/902,483 Expired - Fee Related US6987050B2 (en) 2000-05-11 2001-07-11 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions
US10/989,639 Abandoned US20060043484A1 (en) 2000-05-11 2004-11-17 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/569,306 Abandoned US20020031909A1 (en) 2000-05-11 2000-05-11 Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
US09/902,483 Expired - Fee Related US6987050B2 (en) 2000-05-11 2001-07-11 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions

Country Status (3)

Country Link
US (3) US20020031909A1 (en)
JP (1) JP3535475B2 (en)
KR (1) KR100479793B1 (en)

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070034963A1 (en) * 2005-08-10 2007-02-15 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
US20070182030A1 (en) * 2006-02-03 2007-08-09 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US20070257291A1 (en) * 2006-05-02 2007-11-08 Serguei Okhonin Semiconductor memory cell and array using punch-through to program and read same
US20070297252A1 (en) * 2006-06-26 2007-12-27 Anant Pratap Singh Integrated circuit having memory array including ECC and/or column redundancy, and method of programming, controlling and/or operating same
US20080180995A1 (en) * 2007-01-26 2008-07-31 Serguei Okhonin Semiconductor Device With Electrically Floating Body
US20080237714A1 (en) * 2007-03-29 2008-10-02 Pierre Fazan Manufacturing Process for Zero-Capacitor Random Access Memory Circuits
US20080283958A1 (en) * 2007-05-17 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20080298139A1 (en) * 2007-05-30 2008-12-04 David Fisch Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and /or controlling same
US20090016101A1 (en) * 2007-06-01 2009-01-15 Serguei Okhonin Reading Technique for Memory Cell With Electrically Floating Body Transistor
US20090047771A1 (en) * 2007-08-17 2009-02-19 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method and manufacturing apparatus of semiconductor device
US20090080244A1 (en) * 2007-09-17 2009-03-26 Eric Carman Refreshing Data of Memory Cells with Electrically Floating Body Transistors
US20090141550A1 (en) * 2006-04-07 2009-06-04 Eric Carman Memory Array Having a Programmable Word Length, and Method of Operating Same
US20090146219A1 (en) * 2007-12-11 2009-06-11 Danngis Liu Integrated circuit having memory cell array, and method of manufacturing same
US20090200612A1 (en) * 2008-02-08 2009-08-13 Viktor Koldiaev Integrated Circuit Having Memory Cells Including Gate Material Having High Work Function, and Method of Manufacturing Same
US20090231898A1 (en) * 2006-07-11 2009-09-17 David Fisch Integrated Circuit Including Memory Array Having a Segmented Bit Line Architecture and Method of Controlling and/or Operating Same
US20090251958A1 (en) * 2008-04-04 2009-10-08 Philippe Bauser Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US20100075471A1 (en) * 2008-09-25 2010-03-25 Innovative Silicon Isi Sa Recessed Gate Silicon-On-Insulator Floating Body Device With Self-Aligned Lateral Isolation
US20100085806A1 (en) * 2008-10-02 2010-04-08 Ping Wang Techniques for reducing a voltage swing
US20100091586A1 (en) * 2008-10-15 2010-04-15 Innovative Silicon Isi Sa Techniques for simultaneously driving a plurality of source lines
US20100110816A1 (en) * 2008-11-05 2010-05-06 Innovative Silicon Isi Sa Techniques for block refreshing a semiconductor memory device
US20100210075A1 (en) * 2009-02-18 2010-08-19 Innovative Silicon Isi Sa Techniques for providing a source line plane
US20100224924A1 (en) * 2009-03-04 2010-09-09 Innovative Silicon Isi Sa Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
US20100259964A1 (en) * 2009-03-31 2010-10-14 Innovative Silicon Isi Sa Techniques for providing a semiconductor memory device
US20100271857A1 (en) * 2009-04-27 2010-10-28 Innovative Silicon Isi Sa Techniques for providing a direct injection semiconductor memory device
US20100277982A1 (en) * 2009-04-30 2010-11-04 Innovative Silicon Isi Sa Semiconductor device with floating gate and electrically floating body
US20110007578A1 (en) * 2009-07-10 2011-01-13 Innovative Silicon Isi Sa Techniques for providing a semiconductor memory device
US20110019482A1 (en) * 2009-07-27 2011-01-27 Innovative Silicon Isi Sa Techniques for providing a direct injection semiconductor memory device
US20110058436A1 (en) * 2009-09-04 2011-03-10 Innovative Silicon Isi Sa Techniques for sensing a semiconductor memory device
US20110114998A1 (en) * 2007-11-19 2011-05-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate, semiconductor device, and manufacturing method thereof
US20110141836A1 (en) * 2009-12-16 2011-06-16 Innovative Silicon Isi Sa Techniques for reducing impact of array disturbs in a semiconductor memory device
US20110199848A1 (en) * 2010-02-12 2011-08-18 Innovative Silicon Isi Sa Techniques for controlling a semiconductor memory device
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US20110216608A1 (en) * 2010-03-05 2011-09-08 Innovative Silicon Isi Sa Techniques for reading from and/or writing to a semiconductor memory device
US20110216605A1 (en) * 2010-03-04 2011-09-08 Innovative Silicon Isi Sa Techniques for providing a semiconductor memory device having hierarchical bit lines
US20110216617A1 (en) * 2010-03-04 2011-09-08 Innovative Silicon Isi Sa Techniques for sensing a semiconductor memory device
US8174881B2 (en) 2009-11-24 2012-05-08 Micron Technology, Inc. Techniques for reducing disturbance in a semiconductor device
US8213226B2 (en) 2008-12-05 2012-07-03 Micron Technology, Inc. Vertical transistor memory cell and array
US20120168864A1 (en) * 2009-09-28 2012-07-05 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US8268701B2 (en) 2007-08-24 2012-09-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing of semiconductor device
US8411524B2 (en) 2010-05-06 2013-04-02 Micron Technology, Inc. Techniques for refreshing a semiconductor memory device
US8498157B2 (en) 2009-05-22 2013-07-30 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8531878B2 (en) 2011-05-17 2013-09-10 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8536628B2 (en) 2007-11-29 2013-09-17 Micron Technology, Inc. Integrated circuit having memory cell array including barriers, and method of manufacturing same
US8547738B2 (en) 2010-03-15 2013-10-01 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8773933B2 (en) 2012-03-16 2014-07-08 Micron Technology, Inc. Techniques for accessing memory cells
US8873283B2 (en) 2005-09-07 2014-10-28 Micron Technology, Inc. Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
US9105650B2 (en) 2012-09-12 2015-08-11 International Business Machines Corporation Lateral bipolar transistor and CMOS hybrid technology
US9559216B2 (en) 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
CN109585301A (en) * 2014-10-22 2019-04-05 意法半导体公司 For including the technique with the production of integrated circuits of liner silicide of low contact resistance
WO2020018491A1 (en) * 2018-07-17 2020-01-23 Applied Materials, Inc. Method of forming nickel silicide materials

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100343653B1 (en) * 2000-09-22 2002-07-11 윤종용 Semiconductor device with metal silicide layer and method of manufacturing the same
JP2002185011A (en) * 2000-12-19 2002-06-28 Seiko Epson Corp Semiconductor device
JP3605062B2 (en) * 2001-09-28 2004-12-22 株式会社東芝 Method for manufacturing semiconductor device
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US20030235973A1 (en) * 2002-06-21 2003-12-25 Jiong-Ping Lu Nickel SALICIDE process technology for CMOS devices
US6936528B2 (en) 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
JP2004140315A (en) * 2002-10-17 2004-05-13 Samsung Electronics Co Ltd Manufacturing method for semiconductor device using salicide process
AU2003303273A1 (en) * 2002-12-20 2004-07-14 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US7282443B2 (en) * 2003-06-26 2007-10-16 Micron Technology, Inc. Methods of forming metal silicide
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US7091069B2 (en) * 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
JP5172083B2 (en) * 2004-10-18 2013-03-27 ルネサスエレクトロニクス株式会社 Semiconductor device, manufacturing method thereof, and memory circuit
KR100560432B1 (en) * 2004-12-21 2006-03-13 한국전자통신연구원 Device and manufacturing method of n-type sbtt
US20060270224A1 (en) * 2005-02-08 2006-11-30 Seung-Chul Song Methods for forming metal-silicon layer using a silicon cap layer
WO2006130375A2 (en) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc. Technique for reducing silicide non-uniformities by adapting avertical dopant profile
DE102005024911A1 (en) * 2005-05-31 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Technique for reducing silicon nonuniformity by adjusting a vertical doping profile
JP5022614B2 (en) * 2006-03-20 2012-09-12 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US20070284654A1 (en) * 2006-06-08 2007-12-13 Rubino Judith M Metal alloy layer over conductive region of transistor device of different conductive material than conductive region
US7400015B1 (en) * 2007-01-15 2008-07-15 International Business Machines Corporation Semiconductor structure with field shield and method of forming the structure
US7732298B2 (en) * 2007-01-31 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal salicide formation having nitride liner to reduce silicide stringer and encroachment
JP5547877B2 (en) * 2008-05-23 2014-07-16 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US20100032759A1 (en) * 2008-08-11 2010-02-11 International Business Machines Corporation self-aligned soi schottky body tie employing sidewall silicidation
US8492238B2 (en) 2008-08-14 2013-07-23 Board Of Regents, The University Of Texas System Method and apparatus for fabricating piezoresistive polysilicon by low-temperature metal induced crystallization
US8324110B2 (en) * 2010-02-02 2012-12-04 International Business Machines Corporation Field effect transistor (FET) and method of forming the FET without damaging the wafer surface
US8445371B2 (en) 2010-04-07 2013-05-21 International Business Machines Corporation Self-aligned contacts
US8367508B2 (en) 2010-04-09 2013-02-05 International Business Machines Corporation Self-aligned contacts for field effect transistor devices
US8716798B2 (en) 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US8431995B2 (en) * 2010-05-13 2013-04-30 International Business Machines Corporation Methodology for fabricating isotropically recessed drain regions of CMOS transistors
US8922003B2 (en) 2012-01-19 2014-12-30 Globalfoundries Singapore Pte. Ltd. Low OHMIC contacts
KR102349420B1 (en) * 2015-02-17 2022-01-10 삼성전자 주식회사 Method for fabricating metal silicide layer and method for fabricating semiconductor device using the same
US9853148B2 (en) * 2016-02-02 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Power MOSFETs and methods for manufacturing the same
CN107393932B (en) * 2017-07-20 2020-09-01 昆山龙腾光电股份有限公司 Metal oxide thin film transistor array substrate and manufacturing method thereof
US11264481B2 (en) 2020-07-01 2022-03-01 International Business Machines Corporation Self-aligned source and drain contacts

Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443930A (en) * 1982-11-30 1984-04-24 Ncr Corporation Manufacturing method of silicide gates and interconnects for integrated circuits
US4912542A (en) * 1987-05-12 1990-03-27 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US5510295A (en) * 1993-10-29 1996-04-23 International Business Machines Corporation Method for lowering the phase transformation temperature of a metal silicide
US5608266A (en) * 1994-04-13 1997-03-04 International Business Machines Corporation Thin film for a multilayer semiconductor device for improving thermal stability and a method thereof
US5739890A (en) * 1995-02-06 1998-04-14 International Business Machines Corporation Liquid crystal display device and a method of fabricating same
US5773331A (en) * 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5828131A (en) * 1993-10-29 1998-10-27 International Business Machines Corporation Low temperature formation of low resistivity titanium silicide
US5830775A (en) * 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5864161A (en) * 1994-09-13 1999-01-26 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US5962893A (en) * 1995-04-20 1999-10-05 Kabushiki Kaisha Toshiba Schottky tunneling device
US5994191A (en) * 1998-07-09 1999-11-30 Advanced Micro Devices, Inc. Elevated source/drain salicide CMOS technology
US5998807A (en) * 1996-09-27 1999-12-07 Siemens Aktiengesellschaft Integrated CMOS circuit arrangement and method for the manufacture thereof
US6016181A (en) * 1996-11-07 2000-01-18 Sharp Kabushiki Kaisha Liquid crystal device having column spacers with portion on each of the spacers for reflecting or absorbing visible light and method for fabricating the same
US6015752A (en) * 1998-06-30 2000-01-18 Advanced Micro Devices, Inc. Elevated salicide technology
US6054386A (en) * 1997-08-20 2000-04-25 Prabhakar; Venkatraman Process for forming silicon-on-insulator devices using a nitriding agent
US6072222A (en) * 1998-05-18 2000-06-06 Advanced Micro Devices, Inc. Silicon implantation into selective areas of a refractory metal to reduce consumption of silicon-based junctions during salicide formation
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6100145A (en) * 1998-11-05 2000-08-08 Advanced Micro Devices, Inc. Silicidation with silicon buffer layer and silicon spacers
US6165903A (en) * 1998-11-04 2000-12-26 Advanced Micro Devices, Inc. Method of forming ultra-shallow junctions in a semiconductor wafer with deposited silicon layer to reduce silicon consumption during salicidation
US6169005B1 (en) * 1999-05-26 2001-01-02 Advanced Micro Devices, Inc. Formation of junctions by diffusion from a doped amorphous silicon film during silicidation
US6181406B1 (en) * 1997-09-12 2001-01-30 Kabushiki Kaisa Toshiba Active matrix liquid crystal display device
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6239492B1 (en) * 1996-05-08 2001-05-29 Micron Technology, Inc. Semiconductor structure with a titanium aluminum nitride layer and method for fabricating same
US6266121B1 (en) * 1996-11-28 2001-07-24 Sharp Kabushiki Kaisha Liquid crystal display element and method of manufacturing same
US6297148B1 (en) * 1999-08-19 2001-10-02 Advanced Micro Devices, Inc. Method of forming a silicon bottom anti-reflective coating with reduced junction leakage during salicidation
US20010041392A1 (en) * 2000-05-12 2001-11-15 Hideomi Suzawa Semiconductor device and manufacturing method thereof
US6323130B1 (en) * 2000-03-06 2001-11-27 International Business Machines Corporation Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging
US6413386B1 (en) * 2000-07-19 2002-07-02 International Business Machines Corporation Reactive sputtering method for forming metal-silicon layer
US6433388B2 (en) * 1999-06-29 2002-08-13 Oki Electric Industry Co., Ltd Semiconductor device with self-aligned areas formed using a supplemental silicon overlayer
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6555880B2 (en) * 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
US6570639B1 (en) * 1999-09-02 2003-05-27 Kabushiki Kaisha Toshiba Liquid crystal display device including shield pattern and color filter coexist together in the vicinity of the inlet
US20030180996A1 (en) * 1999-07-22 2003-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6674590B2 (en) * 1998-12-02 2004-01-06 Hitachi Global Technologies System and method for estimating a frequency of slider airbearing resonance
US6747289B2 (en) * 2000-04-27 2004-06-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating thereof
US6812977B1 (en) * 1999-11-22 2004-11-02 Minolta Co., Ltd. Liquid crystal element
US6900084B1 (en) * 2000-05-09 2005-05-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a display device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06140428A (en) * 1992-10-26 1994-05-20 Sony Corp Transistor having soi structure and manufacture thereof
US5726081A (en) * 1995-10-18 1998-03-10 United Microelectronics Corp. Method of fabricating metal contact of ultra-large-scale integration metal-oxide semiconductor field effect transistor with silicon-on-insulator structure
JPH11177103A (en) * 1997-12-15 1999-07-02 Nec Corp Semiconductor device
KR100486696B1 (en) * 1998-02-04 2006-04-21 삼성전자주식회사 Single electron transiston adopting metal-silicon oxide and fablication method thereof
US7071557B2 (en) * 1999-09-01 2006-07-04 Micron Technology, Inc. Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same

Patent Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443930A (en) * 1982-11-30 1984-04-24 Ncr Corporation Manufacturing method of silicide gates and interconnects for integrated circuits
US4912542A (en) * 1987-05-12 1990-03-27 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US5828131A (en) * 1993-10-29 1998-10-27 International Business Machines Corporation Low temperature formation of low resistivity titanium silicide
US5510295A (en) * 1993-10-29 1996-04-23 International Business Machines Corporation Method for lowering the phase transformation temperature of a metal silicide
US5608266A (en) * 1994-04-13 1997-03-04 International Business Machines Corporation Thin film for a multilayer semiconductor device for improving thermal stability and a method thereof
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US5864161A (en) * 1994-09-13 1999-01-26 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US5739890A (en) * 1995-02-06 1998-04-14 International Business Machines Corporation Liquid crystal display device and a method of fabricating same
US5962893A (en) * 1995-04-20 1999-10-05 Kabushiki Kaisha Toshiba Schottky tunneling device
US6239492B1 (en) * 1996-05-08 2001-05-29 Micron Technology, Inc. Semiconductor structure with a titanium aluminum nitride layer and method for fabricating same
US5998807A (en) * 1996-09-27 1999-12-07 Siemens Aktiengesellschaft Integrated CMOS circuit arrangement and method for the manufacture thereof
US6016181A (en) * 1996-11-07 2000-01-18 Sharp Kabushiki Kaisha Liquid crystal device having column spacers with portion on each of the spacers for reflecting or absorbing visible light and method for fabricating the same
US5830775A (en) * 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US6266121B1 (en) * 1996-11-28 2001-07-24 Sharp Kabushiki Kaisha Liquid crystal display element and method of manufacturing same
US5773331A (en) * 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US6054386A (en) * 1997-08-20 2000-04-25 Prabhakar; Venkatraman Process for forming silicon-on-insulator devices using a nitriding agent
US6181406B1 (en) * 1997-09-12 2001-01-30 Kabushiki Kaisa Toshiba Active matrix liquid crystal display device
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6072222A (en) * 1998-05-18 2000-06-06 Advanced Micro Devices, Inc. Silicon implantation into selective areas of a refractory metal to reduce consumption of silicon-based junctions during salicide formation
US6015752A (en) * 1998-06-30 2000-01-18 Advanced Micro Devices, Inc. Elevated salicide technology
US5994191A (en) * 1998-07-09 1999-11-30 Advanced Micro Devices, Inc. Elevated source/drain salicide CMOS technology
US6165903A (en) * 1998-11-04 2000-12-26 Advanced Micro Devices, Inc. Method of forming ultra-shallow junctions in a semiconductor wafer with deposited silicon layer to reduce silicon consumption during salicidation
US6100145A (en) * 1998-11-05 2000-08-08 Advanced Micro Devices, Inc. Silicidation with silicon buffer layer and silicon spacers
US6674590B2 (en) * 1998-12-02 2004-01-06 Hitachi Global Technologies System and method for estimating a frequency of slider airbearing resonance
US6169005B1 (en) * 1999-05-26 2001-01-02 Advanced Micro Devices, Inc. Formation of junctions by diffusion from a doped amorphous silicon film during silicidation
US6433388B2 (en) * 1999-06-29 2002-08-13 Oki Electric Industry Co., Ltd Semiconductor device with self-aligned areas formed using a supplemental silicon overlayer
US20030180996A1 (en) * 1999-07-22 2003-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6297148B1 (en) * 1999-08-19 2001-10-02 Advanced Micro Devices, Inc. Method of forming a silicon bottom anti-reflective coating with reduced junction leakage during salicidation
US6570639B1 (en) * 1999-09-02 2003-05-27 Kabushiki Kaisha Toshiba Liquid crystal display device including shield pattern and color filter coexist together in the vicinity of the inlet
US6812977B1 (en) * 1999-11-22 2004-11-02 Minolta Co., Ltd. Liquid crystal element
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6323130B1 (en) * 2000-03-06 2001-11-27 International Business Machines Corporation Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging
US6747289B2 (en) * 2000-04-27 2004-06-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating thereof
US6900084B1 (en) * 2000-05-09 2005-05-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a display device
US20010041392A1 (en) * 2000-05-12 2001-11-15 Hideomi Suzawa Semiconductor device and manufacturing method thereof
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6413386B1 (en) * 2000-07-19 2002-07-02 International Business Machines Corporation Reactive sputtering method for forming metal-silicon layer
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US6555880B2 (en) * 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby

Cited By (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
US20070034963A1 (en) * 2005-08-10 2007-02-15 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
US11031069B2 (en) 2005-09-07 2021-06-08 Ovonyx Memory Technology, Llc Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
US8873283B2 (en) 2005-09-07 2014-10-28 Micron Technology, Inc. Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
US10418091B2 (en) 2005-09-07 2019-09-17 Ovonyx Memory Technology, Llc Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
US7790527B2 (en) * 2006-02-03 2010-09-07 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US8772876B2 (en) 2006-02-03 2014-07-08 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US20070182030A1 (en) * 2006-02-03 2007-08-09 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US20080048263A1 (en) * 2006-02-03 2008-02-28 Ibm High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US20090141550A1 (en) * 2006-04-07 2009-06-04 Eric Carman Memory Array Having a Programmable Word Length, and Method of Operating Same
US7940559B2 (en) 2006-04-07 2011-05-10 Micron Technology, Inc. Memory array having a programmable word length, and method of operating same
US8134867B2 (en) 2006-04-07 2012-03-13 Micron Technology, Inc. Memory array having a programmable word length, and method of operating same
US8295078B2 (en) 2006-05-02 2012-10-23 Micron Technology, Inc. Semiconductor memory cell and array using punch-through to program and read same
US7933142B2 (en) 2006-05-02 2011-04-26 Micron Technology, Inc. Semiconductor memory cell and array using punch-through to program and read same
US20070257291A1 (en) * 2006-05-02 2007-11-08 Serguei Okhonin Semiconductor memory cell and array using punch-through to program and read same
US20110194363A1 (en) * 2006-05-02 2011-08-11 Micron Technology, Inc. Semiconductor memory cell and array using punch-through to program and read same
US8402326B2 (en) 2006-06-26 2013-03-19 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating same
US20070297252A1 (en) * 2006-06-26 2007-12-27 Anant Pratap Singh Integrated circuit having memory array including ECC and/or column redundancy, and method of programming, controlling and/or operating same
US8069377B2 (en) 2006-06-26 2011-11-29 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating the same
US8395937B2 (en) 2006-07-11 2013-03-12 Micron Technology, Inc. Integrated circuit including memory array having a segmented bit line architecture and method of controlling and/or operating same
US7969779B2 (en) 2006-07-11 2011-06-28 Micron Technology, Inc. Integrated circuit including memory array having a segmented bit line architecture and method of controlling and/or operating same
US20090231898A1 (en) * 2006-07-11 2009-09-17 David Fisch Integrated Circuit Including Memory Array Having a Segmented Bit Line Architecture and Method of Controlling and/or Operating Same
US8796770B2 (en) 2007-01-26 2014-08-05 Micron Technology, Inc. Semiconductor device with electrically floating body
US8492209B2 (en) 2007-01-26 2013-07-23 Micron Technology, Inc. Semiconductor device with electrically floating body
US8264041B2 (en) * 2007-01-26 2012-09-11 Micron Technology, Inc. Semiconductor device with electrically floating body
US20080180995A1 (en) * 2007-01-26 2008-07-31 Serguei Okhonin Semiconductor Device With Electrically Floating Body
US8518774B2 (en) 2007-03-29 2013-08-27 Micron Technology, Inc. Manufacturing process for zero-capacitor random access memory circuits
US9276000B2 (en) 2007-03-29 2016-03-01 Micron Technology, Inc. Manufacturing process for zero-capacitor random access memory circuits
US20080237714A1 (en) * 2007-03-29 2008-10-02 Pierre Fazan Manufacturing Process for Zero-Capacitor Random Access Memory Circuits
US8592907B2 (en) 2007-05-17 2013-11-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20110175146A1 (en) * 2007-05-17 2011-07-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7923781B2 (en) * 2007-05-17 2011-04-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20080283958A1 (en) * 2007-05-17 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9257155B2 (en) 2007-05-30 2016-02-09 Micron Technology, Inc. Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and/or controlling same
US8064274B2 (en) 2007-05-30 2011-11-22 Micron Technology, Inc. Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and/or controlling same
US8659956B2 (en) 2007-05-30 2014-02-25 Micron Technology, Inc. Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and/or controlling same
US20080298139A1 (en) * 2007-05-30 2008-12-04 David Fisch Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and /or controlling same
US8659948B2 (en) 2007-06-01 2014-02-25 Micron Technology, Inc. Techniques for reading a memory cell with electrically floating body transistor
US8085594B2 (en) 2007-06-01 2011-12-27 Micron Technology, Inc. Reading technique for memory cell with electrically floating body transistor
US20090016101A1 (en) * 2007-06-01 2009-01-15 Serguei Okhonin Reading Technique for Memory Cell With Electrically Floating Body Transistor
US8445359B2 (en) 2007-08-17 2013-05-21 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method and manufacturing apparatus of semiconductor device
US20090047771A1 (en) * 2007-08-17 2009-02-19 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method and manufacturing apparatus of semiconductor device
US7981766B2 (en) 2007-08-17 2011-07-19 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method and manufacturing apparatus of semiconductor
US8268701B2 (en) 2007-08-24 2012-09-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing of semiconductor device
US20090080244A1 (en) * 2007-09-17 2009-03-26 Eric Carman Refreshing Data of Memory Cells with Electrically Floating Body Transistors
US8797819B2 (en) 2007-09-17 2014-08-05 Micron Technology, Inc. Refreshing data of memory cells with electrically floating body transistors
US8446794B2 (en) 2007-09-17 2013-05-21 Micron Technology, Inc. Refreshing data of memory cells with electrically floating body transistors
US8194487B2 (en) 2007-09-17 2012-06-05 Micron Technology, Inc. Refreshing data of memory cells with electrically floating body transistors
US20110114998A1 (en) * 2007-11-19 2011-05-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate, semiconductor device, and manufacturing method thereof
US8653568B2 (en) 2007-11-19 2014-02-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate with stripes of different crystal plane directions and semiconductor device including the same
US10304837B2 (en) 2007-11-29 2019-05-28 Ovonyx Memory Technology, Llc Integrated circuit having memory cell array including barriers, and method of manufacturing same
US8536628B2 (en) 2007-11-29 2013-09-17 Micron Technology, Inc. Integrated circuit having memory cell array including barriers, and method of manufacturing same
US11081486B2 (en) 2007-11-29 2021-08-03 Ovonyx Memory Technology, Llc Integrated circuit having memory cell array including barriers, and method of manufacturing same
US8349662B2 (en) 2007-12-11 2013-01-08 Micron Technology, Inc. Integrated circuit having memory cell array, and method of manufacturing same
US20090146219A1 (en) * 2007-12-11 2009-06-11 Danngis Liu Integrated circuit having memory cell array, and method of manufacturing same
US9019788B2 (en) 2008-01-24 2015-04-28 Micron Technology, Inc. Techniques for accessing memory cells
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US8325515B2 (en) 2008-02-06 2012-12-04 Micron Technology, Inc. Integrated circuit device
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
US20090200612A1 (en) * 2008-02-08 2009-08-13 Viktor Koldiaev Integrated Circuit Having Memory Cells Including Gate Material Having High Work Function, and Method of Manufacturing Same
US20090251958A1 (en) * 2008-04-04 2009-10-08 Philippe Bauser Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US7957206B2 (en) 2008-04-04 2011-06-07 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US8274849B2 (en) 2008-04-04 2012-09-25 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US20110223726A1 (en) * 2008-09-25 2011-09-15 Micron Technology, Inc. Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation
US20100075471A1 (en) * 2008-09-25 2010-03-25 Innovative Silicon Isi Sa Recessed Gate Silicon-On-Insulator Floating Body Device With Self-Aligned Lateral Isolation
US7947543B2 (en) 2008-09-25 2011-05-24 Micron Technology, Inc. Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation
US9553186B2 (en) 2008-09-25 2017-01-24 Micron Technology, Inc. Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation
US8790968B2 (en) 2008-09-25 2014-07-29 Micron Technology, Inc. Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation
US8315083B2 (en) 2008-10-02 2012-11-20 Micron Technology Inc. Techniques for reducing a voltage swing
US20100085806A1 (en) * 2008-10-02 2010-04-08 Ping Wang Techniques for reducing a voltage swing
US7933140B2 (en) 2008-10-02 2011-04-26 Micron Technology, Inc. Techniques for reducing a voltage swing
US7924630B2 (en) 2008-10-15 2011-04-12 Micron Technology, Inc. Techniques for simultaneously driving a plurality of source lines
US20100091586A1 (en) * 2008-10-15 2010-04-15 Innovative Silicon Isi Sa Techniques for simultaneously driving a plurality of source lines
US8223574B2 (en) 2008-11-05 2012-07-17 Micron Technology, Inc. Techniques for block refreshing a semiconductor memory device
US20100110816A1 (en) * 2008-11-05 2010-05-06 Innovative Silicon Isi Sa Techniques for block refreshing a semiconductor memory device
US8213226B2 (en) 2008-12-05 2012-07-03 Micron Technology, Inc. Vertical transistor memory cell and array
US8319294B2 (en) 2009-02-18 2012-11-27 Micron Technology, Inc. Techniques for providing a source line plane
US20100210075A1 (en) * 2009-02-18 2010-08-19 Innovative Silicon Isi Sa Techniques for providing a source line plane
US20100224924A1 (en) * 2009-03-04 2010-09-09 Innovative Silicon Isi Sa Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
US9064730B2 (en) 2009-03-04 2015-06-23 Micron Technology, Inc. Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
US8710566B2 (en) 2009-03-04 2014-04-29 Micron Technology, Inc. Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
US9093311B2 (en) 2009-03-31 2015-07-28 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8748959B2 (en) 2009-03-31 2014-06-10 Micron Technology, Inc. Semiconductor memory device
US20100259964A1 (en) * 2009-03-31 2010-10-14 Innovative Silicon Isi Sa Techniques for providing a semiconductor memory device
US8400811B2 (en) 2009-04-27 2013-03-19 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device having ganged carrier injection lines
US9425190B2 (en) 2009-04-27 2016-08-23 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US20100271857A1 (en) * 2009-04-27 2010-10-28 Innovative Silicon Isi Sa Techniques for providing a direct injection semiconductor memory device
US8139418B2 (en) 2009-04-27 2012-03-20 Micron Technology, Inc. Techniques for controlling a direct injection semiconductor memory device
US8861247B2 (en) 2009-04-27 2014-10-14 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8508970B2 (en) 2009-04-27 2013-08-13 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US20100271858A1 (en) * 2009-04-27 2010-10-28 Innovative Silicon Isi Sa Techniques for providing a direct injection semiconductor memory device having ganged carrier injection lines
US20100271880A1 (en) * 2009-04-27 2010-10-28 Innovative Silicon Isi Sa Techniques for controlling a direct injection semiconductor memory device
US8351266B2 (en) 2009-04-27 2013-01-08 Micron Technology, Inc. Techniques for controlling a direct injection semiconductor memory device
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US8792276B2 (en) 2009-04-30 2014-07-29 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US9240496B2 (en) 2009-04-30 2016-01-19 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US20100277982A1 (en) * 2009-04-30 2010-11-04 Innovative Silicon Isi Sa Semiconductor device with floating gate and electrically floating body
US8498157B2 (en) 2009-05-22 2013-07-30 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8982633B2 (en) 2009-05-22 2015-03-17 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8817534B2 (en) 2009-07-10 2014-08-26 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US20110007578A1 (en) * 2009-07-10 2011-01-13 Innovative Silicon Isi Sa Techniques for providing a semiconductor memory device
US8537610B2 (en) 2009-07-10 2013-09-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9331083B2 (en) 2009-07-10 2016-05-03 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9076543B2 (en) 2009-07-27 2015-07-07 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US9679612B2 (en) 2009-07-27 2017-06-13 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8587996B2 (en) 2009-07-27 2013-11-19 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US20110019479A1 (en) * 2009-07-27 2011-01-27 Innovative Silicon Isi Sa Techniques for providing a direct injection semiconductor memory device
US20110019481A1 (en) * 2009-07-27 2011-01-27 Innovative Silicon Isi Sa Techniques for providing a direct injection semiconductor memory device
US20110019482A1 (en) * 2009-07-27 2011-01-27 Innovative Silicon Isi Sa Techniques for providing a direct injection semiconductor memory device
US8964461B2 (en) 2009-07-27 2015-02-24 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8315099B2 (en) 2009-07-27 2012-11-20 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8947965B2 (en) 2009-07-27 2015-02-03 Micron Technology Inc. Techniques for providing a direct injection semiconductor memory device
US8199595B2 (en) 2009-09-04 2012-06-12 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US20110058436A1 (en) * 2009-09-04 2011-03-10 Innovative Silicon Isi Sa Techniques for sensing a semiconductor memory device
US20120168864A1 (en) * 2009-09-28 2012-07-05 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US9812179B2 (en) 2009-11-24 2017-11-07 Ovonyx Memory Technology, Llc Techniques for reducing disturbance in a semiconductor memory device
US8699289B2 (en) 2009-11-24 2014-04-15 Micron Technology, Inc. Techniques for reducing disturbance in a semiconductor memory device
US8760906B2 (en) 2009-11-24 2014-06-24 Micron Technology, Inc. Techniques for reducing disturbance in a semiconductor memory device
US8174881B2 (en) 2009-11-24 2012-05-08 Micron Technology, Inc. Techniques for reducing disturbance in a semiconductor device
US20110141836A1 (en) * 2009-12-16 2011-06-16 Innovative Silicon Isi Sa Techniques for reducing impact of array disturbs in a semiconductor memory device
US8310893B2 (en) 2009-12-16 2012-11-13 Micron Technology, Inc. Techniques for reducing impact of array disturbs in a semiconductor memory device
US8416636B2 (en) 2010-02-12 2013-04-09 Micron Technology, Inc. Techniques for controlling a semiconductor memory device
US20110199848A1 (en) * 2010-02-12 2011-08-18 Innovative Silicon Isi Sa Techniques for controlling a semiconductor memory device
US8964479B2 (en) 2010-03-04 2015-02-24 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US20110216605A1 (en) * 2010-03-04 2011-09-08 Innovative Silicon Isi Sa Techniques for providing a semiconductor memory device having hierarchical bit lines
US8411513B2 (en) 2010-03-04 2013-04-02 Micron Technology, Inc. Techniques for providing a semiconductor memory device having hierarchical bit lines
US20110216617A1 (en) * 2010-03-04 2011-09-08 Innovative Silicon Isi Sa Techniques for sensing a semiconductor memory device
US8576631B2 (en) 2010-03-04 2013-11-05 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8369177B2 (en) 2010-03-05 2013-02-05 Micron Technology, Inc. Techniques for reading from and/or writing to a semiconductor memory device
US20110216608A1 (en) * 2010-03-05 2011-09-08 Innovative Silicon Isi Sa Techniques for reading from and/or writing to a semiconductor memory device
US9524971B2 (en) 2010-03-15 2016-12-20 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9019759B2 (en) 2010-03-15 2015-04-28 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8547738B2 (en) 2010-03-15 2013-10-01 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8411524B2 (en) 2010-05-06 2013-04-02 Micron Technology, Inc. Techniques for refreshing a semiconductor memory device
US8630126B2 (en) 2010-05-06 2014-01-14 Micron Technology, Inc. Techniques for refreshing a semiconductor memory device
US9142264B2 (en) 2010-05-06 2015-09-22 Micron Technology, Inc. Techniques for refreshing a semiconductor memory device
US9263133B2 (en) 2011-05-17 2016-02-16 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8531878B2 (en) 2011-05-17 2013-09-10 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9559216B2 (en) 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
US8773933B2 (en) 2012-03-16 2014-07-08 Micron Technology, Inc. Techniques for accessing memory cells
US9105650B2 (en) 2012-09-12 2015-08-11 International Business Machines Corporation Lateral bipolar transistor and CMOS hybrid technology
CN109585301A (en) * 2014-10-22 2019-04-05 意法半导体公司 For including the technique with the production of integrated circuits of liner silicide of low contact resistance
WO2020018491A1 (en) * 2018-07-17 2020-01-23 Applied Materials, Inc. Method of forming nickel silicide materials

Also Published As

Publication number Publication date
JP3535475B2 (en) 2004-06-07
KR100479793B1 (en) 2005-03-30
US20020022366A1 (en) 2002-02-21
KR20010104623A (en) 2001-11-26
JP2001358156A (en) 2001-12-26
US20020031909A1 (en) 2002-03-14
US6987050B2 (en) 2006-01-17

Similar Documents

Publication Publication Date Title
US6987050B2 (en) Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions
US6555880B2 (en) Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
US6503833B1 (en) Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US6562718B1 (en) Process for forming fully silicided gates
US6952040B2 (en) Transistor structure and method of fabrication
JP4524064B2 (en) Self-aligned silicide process and structure formed thereby
US5336903A (en) Selective deposition of doped silicon-germanium alloy on semiconductor substrate, and resulting structures
US7423323B2 (en) Semiconductor device with raised segment
TWI257689B (en) Method for improving transistor performance through reducing the salicide interface resistance
US6451693B1 (en) Double silicide formation in polysicon gate without silicide in source/drain extensions
US6482705B1 (en) Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US8658530B2 (en) Method of fabricating an epitaxial Ni silicide film
US5994191A (en) Elevated source/drain salicide CMOS technology
US20030232464A1 (en) Elevated source drain disposable spacer CMOS
US6255227B1 (en) Etching process of CoSi2 layers
US20050250319A1 (en) Stabilization of Ni monosilicide thin films in CMOS devices using implantation of ions before silicidation
US7056796B2 (en) Method for fabricating silicide by heating an epitaxial layer and a metal layer formed thereon
US6486062B1 (en) Selective deposition of amorphous silicon for formation of nickel silicide with smooth interface on N-doped substrate
US7262105B2 (en) Semiconductor device with silicided source/drains
JPH05304108A (en) Semiconductor device and fabrication thereof
Donaton et al. Etching process of CoSi 2 layers
JPH05304109A (en) Fabrication of semiconductor device
WO2003096407A1 (en) Method for forming a nickel silicide layer on a silicon substrate

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION