US20060048894A1 - Dry etching apparatus, etching method, and method of forming a wiring - Google Patents

Dry etching apparatus, etching method, and method of forming a wiring Download PDF

Info

Publication number
US20060048894A1
US20060048894A1 US11/203,281 US20328105A US2006048894A1 US 20060048894 A1 US20060048894 A1 US 20060048894A1 US 20328105 A US20328105 A US 20328105A US 2006048894 A1 US2006048894 A1 US 2006048894A1
Authority
US
United States
Prior art keywords
substrate
electrode
electrodes
etching
etching apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/203,281
Inventor
Shunpei Yamazaki
Hideomi Suzawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to US11/203,281 priority Critical patent/US20060048894A1/en
Assigned to SEMICONDUCTOR ENERGY LABORATORY CO., LTD. reassignment SEMICONDUCTOR ENERGY LABORATORY CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUZAWA, HIDEOMI, YAMAZAKI, SHUNPEI
Publication of US20060048894A1 publication Critical patent/US20060048894A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • H01L29/78624Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile the source and the drain regions being asymmetrical
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78633Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device with a light shield

Definitions

  • the present invention relates to a dry etching apparatus.
  • the present invention relates to an etching apparatus using plasma generated by applying a magnetic field or an electric field to a reaction gas introduced into a low-pressure chamber, and an etching method.
  • a mother glass size is increasing year after year.
  • a currently used substrate has a size of 550 mm ⁇ 650 mm, 650 mm ⁇ 830 mm, etc.
  • a size of 850 mm ⁇ 950 mm, a size of 950 mm ⁇ 1000 mm, etc. will be used.
  • in-plane uniformity of etching tends to decrease with an increase in size of a substrate to be treated.
  • Japanese Patent Application Laid-open No. Hei 10-326772 discloses a technique of uniformly etching the entire surface of a substrate to be treated.
  • Japanese Patent Application Laid-open No. Hei 10-326772 describes a parallel-plate type etching apparatus. In this apparatus, a lower electrode is separated into a ring shape, and the frequency of an AC electric field applied between the lower electrode and an upper electrode is varied. The separated lower electrode is connected to a low-frequency power source or a high-frequency power source.
  • the inventors of the present invention found that, when dry etching is conducted using a square or rectangular substrate, etching variations peculiar to the substrate are caused in a substrate surface.
  • Table 1 shows experimental results obtained by measuring variations in a substrate of etching using a parallel-plate type dry etching apparatus (electrode size: 50 cm ⁇ 50 cm) by an RIE method.
  • Table 1 shows selection ratios between a silicon oxide film and an amorphous silicon film at portions (A to I) shown in FIG. 21A .
  • Four samples were arranged on the electrode as shown in FIG. 21B , and the etching was conducted.
  • Etching was conducted using CHF 3 as an etching gas with a flow rate of 35 sccm at 800 W (electric power density: 0.32 W/cm 2 ) for 400 seconds, and the four samples were compared with respect to the portions.
  • the selection ratio at measurement point located in center portion of electrode is most uniform (30.4 to 59).
  • the selection ratios of measurement points located in the corner portions of the electrode are very low as the measurement point exists away from the center portion of the electrode. That is, variations in the selection ratio of etching are caused in one substrate among four substrates shown in FIG. 21B .
  • the selection ratio of point I which is the most away from the center portion of the electrode is 7.4
  • the selection ratio of point G which is the nearest to the center portion of the electrode is 71.8. In the selection ratios of both points, about ten times difference is caused.
  • the selection ratio of etching is largest at the center of a substrate, and decreases in a concentric manner as the measurement point exists away from the center portion of the electrode.
  • the selection ratios at four corner portions (F to I) of the substrate are lowest.
  • the selection ratio at corner portions of an electrode becomes lowest, causing etching defects.
  • the etching defects may decrease a yield. If a substrate is enlarged in the future, this problem is considered to become more conspicuous.
  • the variations in etching are caused between the center portion of the substrate and the corner potion of the substrate when a big substrate with the same size as electrode is etched. The experiment results that four substrates are arranged on one electrode were shown here. Similar variations are also caused in case of a large substrate with the same size as electrode and in case of two substrates or more.
  • an etching apparatus in which a plurality of electrodes are disposed for placing a substrate, high-frequency power sources as many as the electrodes are provided, and the electrodes and the high-frequency power sources are connected to each other independently.
  • a high-frequency power applied to an electrode disposed below the central portion of the substrate and a high-frequency power applied to electrodes disposed below corner portions of the substrate are controlled respectively, whereby in-plane uniformity of etching is enhanced.
  • bias power electrical power density
  • ICP etching processing can be controlled by varying a bias power as shown in FIGS.
  • FIG. 11 shows dependence of a taper angle on a bias power.
  • FIG. 12 shows dependence of an etching rate of a W film and an SiON film on a bias power.
  • FIG. 13 shows dependence of a selection ratio between a W film and an SiON film on a bias power.
  • a constitution (1) of the present invention disclosed by the present specification is a dry etching apparatus for supplying a reaction gas into a chamber under a reduced pressure, and etching a material film to be etched on a substrate disposed on a second electrode by using plasma generated between a first electrode and the second electrode, characterized in that the second electrode is composed of a plurality of electrodes independent from each other, and high-frequency power sources are connected to the respective electrodes independently.
  • another constitution (2) of the present invention is a dry etching apparatus for supplying a reaction gas into a chamber under a reduced pressure and etching a material film to be etched on a substrate disposed on a second electrode by using plasma generated by application of an AC electric field between a first electrode and the second electrode, characterized in that the first electrode is formed of a plane coil and is connected to a first high-frequency power source, and the second electrode is composed of a plurality of electrodes independent from each other, and second high-frequency power sources are independently connected to the respective electrodes.
  • the dry etching apparatus is characterized in that the plurality of electrodes constituting the second electrode include an electrode disposed below a central portion of the substrate, and electrodes disposed below corner portions of the substrate.
  • FIG. 1 shows an exemplary dry etching apparatus having the above-mentioned constitution (1) or (2), the dry etching apparatus being characterized in that an area of the electrode disposed below the central portion of the substrate is larger than that of the electrodes disposed below the corner portions of the substrate.
  • FIG. 2 shows another exemplary dry etching apparatus having the above-mentioned constitution (1) or (2), the dry etching apparatus being characterized in that the plurality of electrodes constituting the second electrode have the same shape and size.
  • the dry etching apparatus is characterized in that among the plurality of electrodes constituting the second electrode, a high-frequency power applied to the electrode disposed below the central portion of the substrate is different from that applied to the electrodes disposed below corner portions of the substrate.
  • the dry etching apparatus is characterized in that among the plurality of electrodes constituting the second electrode, a frequency of a high-frequency power applied to the electrode disposed below the central portion of the substrate is the same as that of a high-frequency power applied to the electrodes disposed below the corner portions of the substrate.
  • the dry etching apparatus is characterized in that the substrate has an area of 0.3 m 2 or more.
  • another constitution (3) of the present invention is an etching method using a dry etching apparatus provided with a first electrode and a second electrode opposed to each other, characterized by including the steps of: disposing a substrate on the second electrode composed of a plurality of electrodes provided in a chamber; supplying a reaction gas into the chamber under a reduced pressure; and among the plurality of electrodes constituting the second electrode, applying a first high-frequency power to an electrode disposed below a central portion of the substrate and applying a second high-frequency power to electrodes disposed below corner portions of the substrate to supply an AC electric field between the first electrode and the second electrode and generate plasma therebetween, thereby etching a material film to be etched on the substrate disposed on the plurality of electrodes.
  • the etching method is characterized in that a frequency of the first high-frequency power is the same as that of the second high-frequency power.
  • the etching method is characterized in that the dry etching apparatus is a parallel-plate etching apparatus or an ICP-type etching apparatus.
  • another constitution (4) of the present invention is an etching method using a dry etching apparatus, characterized by including the steps of: disposing a substrate on a plurality of electrodes provided in a chamber; supplying a reaction gas into the chamber under a reduced pressure; and among the plurality of electrodes, applying a first high-frequency power to an electrode disposed below a central portion of the substrate and applying a second high-frequency power to electrodes disposed below corner portions of the substrate to generate plasma with a magnetic field or an electric field, thereby etching a material film to be etched on a substrate disposed on the plurality of electrodes.
  • the etching method is characterized in that the dry etching apparatus is one selected from the group consisting of a magnetron-type etching apparatus, an ECR-type etching apparatus, and a helicon-type etching apparatus.
  • another constitution (5) of the present invention is a method for forming a wiring, characterized by including the steps of: forming a conductive film on a substrate; selectively forming a mask on the conductive film; disposing the substrate on a second electrode composed of a plurality of electrodes provided in a chamber of a dry etching apparatus provided with a first electrode and the second electrode opposed to each other; supplying a reaction gas into a chamber under a reduced pressure; and among the plurality of electrodes constituting the second electrode, applying a first high-frequency power to an electrode disposed below a central portion of the substrate and applying a second high-frequency power to electrodes disposed below corner portions of the substrate to apply an AC electric field between the first electrode and the second electrode and generate plasma therebetween, thereby selectively etching the conductive film on the substrate disposed on the plurality of electrodes.
  • the method is characterized in that the wiring is a gate electrode or a gate wiring of a TFT.
  • the gate electrode or the gate wiring has a taper shape.
  • FIGS. 1A to 1 C show a structure of a dry etching apparatus of the present invention
  • FIGS. 2A to 2 C show a structure of a dry etching apparatus of the present invention
  • FIGS. 3A and 3B show a structure of a dry etching apparatus of the present invention
  • FIGS. 4A to 4 D illustrate production processes of an active matrix type liquid crystal display apparatus
  • FIGS. 5A to 5 D illustrate production processes of an active matrix type liquid crystal display apparatus
  • FIGS. 6A to 6 C illustrate production processes of an active matrix type liquid crystal display apparatus
  • FIG. 7 is a view showing a cross-sectional structure of an active matrix type liquid crystal display apparatus
  • FIG. 8 shows an outer appearance of an active matrix type liquid crystal display apparatus
  • FIGS. 9A and 9B are a top view and a cross-sectional view of a pixel in an active matrix type liquid crystal display apparatus, respectively;
  • FIGS. 10A and 10B are a plan view and a cross-sectional view of an active matrix type EL display apparatus, respectively;
  • FIG. 11 shows dependence of a taper angle ⁇ on a bias power
  • FIG. 12 shows dependence of an etching rate on a bias power
  • FIG. 13 shows dependence of a selection ratio on a bias power
  • FIG. 14 shows a dry etching apparatus equipped with a control portion
  • FIGS. 15A and 15B show a structure of a dry etching apparatus of the present invention
  • FIGS. 16A and 16B are a plan view and a cross-sectional view of a pixel in an active matrix type liquid crystal display apparatus, respectively;
  • FIG. 17 is a cross-sectional view of a pixel in an active matrix type liquid crystal display apparatus
  • FIGS. 18A to 18 F show examples of electronic equipment
  • FIGS. 19A to 19 D show examples of electronic equipment
  • FIGS. 20A to 20 C show examples of electronic equipment
  • FIGS. 21A and 21B show measurement points of a substrate.
  • Embodiment Mode 1 the present invention is applied to an etching apparatus using inductively coupled plasma, i.e., an ICP type etching apparatus.
  • FIGS. 1A to 1 C show a structure of an ICP type etching apparatus.
  • an upper surface of a chamber 101 in the etching apparatus is made of an insulator 100 such as quartz glass, and a first electrode 105 is disposed outside the insulator 100 .
  • FIG. 1A is a top view of the first electrode 105 made of a flat coil. According to the present invention, the first electrode 105 is not limited to the shape shown in FIG. 1 , and may have any shape.
  • the first electrode 105 is connected to a first high-frequency power source 104 via a matching circuit 106 .
  • a high-frequency current flows through the first electrode 105 to form an electromagnetic wave in the chamber 101 , and electrons flowing in the electromagnetic field are allowed to bump into neutral particles of a reaction gas to generate plasma.
  • a predetermined reaction gas is introduced into the chamber 101 from a gas supply system 102 , and exhausted from a gas exhaust system 103 .
  • a substrate 107 to be treated is arranged by holding using a clamp (not shown) onto a second electrode composed of a plurality of electrodes 108 a to 108 d , and 109 .
  • the insulator 100 may be a disk with a diameter of 98 cm.
  • the cross-section shown in FIG. 1B is taken along an alternate long and short dash line A-A′ shown in FIG. 1C .
  • Reference numeral 109 denotes an electrode contacting the central portion of the substrate 107
  • reference symbols 108 a to 108 d denote electrodes contacting corner portions of the substrate 107 .
  • the electrodes 108 a to 108 d , and 109 are electrically insulated from each other with a gap or an insulator.
  • the electrode 108 a is connected to a high-frequency power source 110 .
  • the electrode 109 is connected to a high-frequency power source 111 .
  • the electrode 108 c is connected to a high-frequency power source 112 .
  • the electrodes 108 b and 108 d are also connected to high-frequency power sources, independently.
  • these high-frequency power sources are collectively referred to as a second high-frequency power source.
  • the high-frequency power of the second high-frequency power source is varied to realize uniform etching.
  • a measurement apparatus for measuring an electric power applied to the second electrode and a control portion for controlling a high-frequency power of each high-frequency power source may be provided. Furthermore, by appropriately applying a DC power, an AC power, or a high-frequency energy (microwave, etc.) to the second electrode, etching may be regulated.
  • FIG. 14 shows an exemplary dry etching apparatus equipped with a control portion 601 .
  • the apparatus shown in FIG. 14 is the same as that shown in FIG. 1 , except for the control portion and the measurement apparatus. Therefore, the same components as those in FIG. 1 are denoted with the same reference numerals as those therein.
  • electric powers applied to the second electrode are measured by probes 600 a to 600 c , and appropriate bias powers are calculated by the control portion 601 equipped with a CPU or the like based on the measured values, whereby an instruction is given to the respective high-frequency power sources 110 to 112 . This may be conducted before etching processing to regulate an output of each high-frequency power source. Alternatively, an output of each high-frequency power source may be regulated at any time during etching processing.
  • a predetermined reaction gas is introduced into the chamber 101 under a reduced pressure through the gas supply system 102 , the gas is exhausted through the gas exhaust system 103 , whereby the inside of the chamber 101 is kept at a predetermined pressure.
  • a high-frequency power typically, 13.56 MHz
  • Plasma is generated in the chamber 101 , and a material film to be etched on the substrate 107 disposed on the second electrode ( 108 a to 108 d , and 109 ) can be etched.
  • a high-frequency power is also supplied to the second electrode ( 108 a to 108 d , and 109 ) by the second high-frequency power source ( 110 to 112 ). It is preferable that the frequency of each high-frequency power source is the same.
  • the structure of the second electrode is not limited to that in FIG. 1B .
  • the second electrode may at least include a plurality of electrodes contacting the corner portions of the substrate and an electrode contacting the central portion of the substrate, each electrode having a high-frequency power source.
  • FIGS. 2A to 2 C show an example thereof.
  • the structure other than those of the second electrode and the high-frequency power source is the same as that shown in FIG. 1 . Therefore, the same components as those in FIG. 1 are denoted with the same reference numerals as those therein.
  • a plurality of electrodes 201 arranged in a matrix are disposed as a second electrode, and the electrodes 201 are respectively connected to high-frequency power sources 202 .
  • a cross-section shown in FIG. 2B is taken along an alternate long and short dash line A-A′ shown in FIG. 2C .
  • the second electrode is disposed so that a substantial electric power applied to the entire surface of a substrate becomes uniform, whereby etching variations in a substrate surface can be reduced. In particular, etching defects in the corner portions of the substrate can be reduced. Furthermore, according to the present invention, even with a large substrate, etching with high in-plane uniformity can be realized.
  • the present invention can be applied to a multi-spiral type ICP etching apparatus in which a coil is divided so as to reduce an inductance of the coil, and a spoke-type ICP etching apparatus in which a comb-shaped coil is disposed in a circular plate.
  • the present invention is not limited to an ICP-type etching apparatus.
  • the present invention is also applied to an RIE etching apparatus, e.g., a parallel-plate type etching apparatus, an ECR etching apparatus, and a magnetron-type etching apparatus.
  • FIG. 3 shows an example in which the present invention is applied to a parallel-plate type etching apparatus.
  • the parallel-plate type etching apparatus uses capacitive coupling plasma generated by applying a high frequency to electrodes through capacitors.
  • Reference numeral 305 denotes a first electrode (upper electrode) that is grounded.
  • a high-frequency electric field is applied between the first electrode 305 and a second electrode to ionize a reaction gas in a chamber 301 , and a material film to be etched on a substrate 307 to be treated is etched with ions in the reaction gas.
  • a predetermined reaction gas is introduced into the chamber 301 through a gas supply system 302 , and exhausted through a gas exhaust system 303 .
  • the substrate 307 is placed on a second electrode (lower electrode) composed of a plurality of electrodes 308 a to 308 d , and 309 via an insulator 300 made of quartz or the like.
  • the electrode 308 a disposed below a corner portion of the substrate 307 is connected to a high-frequency power source 310 .
  • the electrode 309 disposed below the central portion of the substrate 307 is connected to a high-frequency power source 311 .
  • the electrode 308 c is connected to a high-frequency power source 312 .
  • the electrodes 308 b and 308 d are also connected to high-frequency power sources, independently.
  • these high-frequency power sources are collectively referred to as a second high-frequency power source.
  • a cross-section shown in FIG. 3A is taken along an alternate long and short dash line A-A′ shown in FIG. 3B .
  • a plurality of electrodes constituting the second electrode are connected to the high-frequency power sources 310 to 312 , independently.
  • the second electrode is disposed so that a substantial electric power applied to the entire surface of the substrate becomes uniform, whereby etching variations in a substrate surface can be reduced. In particular, etching defects in the corner portions of the substrate can be reduced. Furthermore, according to the above-mentioned structure, even with a large substrate, etching with high in-plane uniformity can be realized.
  • a measurement apparatus (prober, voltage measurement equipment, oscilloscope, etc.) 313 is provided between the second electrode and the second high-frequency power source. Furthermore, a control portion for controlling a high-frequency power of each high-frequency power source based on the information obtained by the measurement apparatus 313 may be provided.
  • the substrate 307 is disposed on the second electrode via the insulator 300 made of quartz or the like. However, the substrate 307 may be disposed in contact with the second electrode.
  • Embodiment 1 an example of a method of manufacturing a liquid crystal display apparatus provided with a pixel portion and a driving circuit on the same substrate will be described with reference to FIGS. 4A to 8 .
  • a substrate 401 made of barium borosilicate glass or aluminoborosilicate glass (e.g., #7059 glass and #1737 glass produced by Corning Glass Corp.) is used.
  • a substrate There is no particular limit to a substrate as long as it has light transparency.
  • a quartz substrate may be used.
  • a plastic substrate having heat resistance enduring a treatment temperature in the present embodiment may also be used.
  • An underlying insulating film 402 made of an insulating film such as a silicon oxide film, a silicon nitride film, or a silicon oxide nitride film is formed.
  • a silicon oxide film, a silicon nitride film, a silicon oxide nitride film (SiO x N y ), a stacked film thereof, or the like can be formed into a thickness of 100 to 500 nm.
  • the underlying insulating film 402 is formed by a known film formation method (thermal CVD, plasma CVD, vapor deposition, sputtering, low-pressure thermal CVD, etc.).
  • the underlying insulating film 402 is composed of a stack of a silicon oxide nitride film 402 a containing nitrogen elements more than oxygen elements in a film composition, and a silicon oxide nitride film 402 b containing oxygen elements more than nitrogen elements in a film composition.
  • An amorphous semiconductor film 403 is formed on the underlying insulating film 402 ( FIG. 4A ).
  • a material for the amorphous semiconductor film 403 There is no particular limit to a material for the amorphous semiconductor film 403 .
  • An alloy of silicon or silicon germanium (Si x Ge 1-x (0 ⁇ x ⁇ 1)) or the like may be preferably used.
  • the amorphous semiconductor film 403 can be formed by a known film formation method (thermal CVD, plasma CVD, vapor deposition, sputtering, low-pressure thermal CVD, etc.).
  • the amorphous semiconductor film 403 is crystallized to form a crystalline semiconductor film 404 ( FIG. 4B ).
  • a crystallization method a known method (solid-phase growth method, laser crystallization, solid-phase growth method using a metal element accelerating crystallization, etc.) can be used.
  • a crystalline silicon film is formed by laser crystallization.
  • a pulse-oscillation type or continuous oscillation type excimer laser, a pulse-oscillation type or continuous oscillation type YAG laser, or a YVO 4 laser can be used.
  • a resist mask is formed by photolithography, followed by etching, whereby semiconductor layers 405 to 409 with a desired shape are formed. If the dry etching apparatus described in Embodiment Mode 1 or 2 is used, etching with excellent in-plane uniformity can be conducted.
  • impurity elements imparting a p-type are added to the resultant layered structure via a protective film 410 ( FIG. 4C ).
  • p-type impurity elements those belonging to Group XIII such as boron or gallium can be used.
  • This process (referred to as channel doping) is conducted for the purpose of controlling a threshold voltage of a TFT.
  • plasma-excited boron is added by ion doping without conducting mass separation of diborane (B 2 H 6 ). Needless to say, ion implantation involving mass separation may be used.
  • channel doping is conducted, it may not be conducted if it is not required.
  • an insulating film 411 (herein, a silicon oxide nitride film) to be a gate insulating film is formed on the semiconductor layers 405 to 409 , and first and second conductive films 412 and 413 are formed thereon ( FIG. 4D ).
  • a resist mask 414 is formed by photolithography, and first etching processing for forming gate electrodes is conducted.
  • first etching processing for forming gate electrodes is conducted.
  • ICP inductively coupled plasma
  • Etching is conducted by using a mixture of CF 4 and Cl 2 as an etching gas, and generating plasma with an RF (13.56 MHz) electric power of 500 W (electric power density: 1.02 W/cm 2 ) supplied to a coil-shaped electrode under a pressure of 0.5 to 2 Pa, preferably 1 Pa.
  • An area of an electrode on the substrate side is 12.5 cm ⁇ 12.5 cm, and a diameter of the coil-shaped electrode (herein, quartz disk provided with a coil) is 25 cm.
  • An RF (13.56 MHz) electric power of 100 W (electric power density: 0.64 W/cm 2 ) is also supplied to the substrate side (sample stage), thereby supplying a substantially negative self-bias voltage thereto.
  • a tungsten film, a tantalum nitride film, and a titanium film can also be etched at the same speed.
  • an etching time may be increased by about 10% to 20%.
  • a selection ratio of a silicon oxide nitride film to a W film is 2 to 4 (typically 3). Therefore, the surface in which the silicon oxide nitride film is exposed by overetching is etched by about 20 to 50 nm.
  • FIG. 12 is a graph showing dependence of an etching rate of the W film and the silicon oxide nitride film on a bias power.
  • FIG. 13 is a graph showing dependence of a selection ratio of the silicon oxide nitride film to the W film on a bias power.
  • the first etching processing is conducted by using the dry etching apparatus ( FIG. 1 or 2 ) and the etching method of the present invention described in Embodiment Mode 1.
  • the angle of each taper portion can be made uniform.
  • a bias power applied to the second electrode is regulated considering the size of the second electrode based on the graph in FIG. 11 to make an electric power density uniform, thereby being capable of obtaining a uniform taper angle in a substrate surface.
  • Low-concentration impurity regions are formed by passing impurities through the taper portions in the later process. Therefore, making the taper portions uniform leads to uniform electric characteristics of all the TFTs formed in a substrate surface. Therefore, it is very useful for reducing variations in a substrate surface to use the etching apparatus of the present invention.
  • conductive layers 415 to 420 (composed of first conductive films 415 a , 416 a , 417 a , 418 a , 419 a and 420 a , and second conductive films 415 b , 416 b , 417 b , 418 b , 419 b and 420 b ) having a first shape are formed by the first etching processing.
  • regions of the insulating film 411 not covered with the conductive layers 415 to 420 having the first shape are etched by about 20 to 50 nm to become thin.
  • n-type impurities is conducted by using, as a mask, the conductive layers 415 to 420 having the first shape (first doping processing) ( FIG. 5B ).
  • the first doping processing is conducted, for example, at an acceleration voltage of 20 to 60 keV, and a dose amount of 1 ⁇ 10 13 to 5 ⁇ 10 14 /cm 2 , whereby impurity regions (n + regions) 421 a to 421 e are formed.
  • a phosphorus (P) concentration in the impurity regions (n + regions) is set so as to fall within a range of 1 ⁇ 10 20 to 1 ⁇ 10 21 /cm 3 .
  • second etching processing is conducted.
  • the second etching processing is conducted by ICP etching. Etching is conducted by using a mixture of CF 4 and Cl 2 and O 2 as an etching gas, and generating plasma with an RF (13.56 MHz) electric power of 500 W (electric power density: 1.02 W/cm 2 ) supplied to a coil-shaped electrode under a pressure of 1 Pa. An RF (13.56 MHz) electric power of 50 W (electric power density: 0.32 W/cm 2 ) is supplied to the substrate side (sample stage), thereby supplying a self-bias voltage lower than that in the first etching processing to the substrate side.
  • conductive layers 423 to 428 (composed of first conductive films 423 a , 424 a , 425 a , 426 a , 427 a and 428 a , and second conductive films 423 b , 424 b , 425 b , 426 b , 427 b and 428 b ) having a second shape are formed.
  • reference numeral 422 denotes the gate insulating film, in which regions not covered with the conductive layers 423 to 428 having the second shape become thinner.
  • n-type impurities donors
  • second doping processing FIG. 5D
  • the second conductive films of the conductive layers 423 to 428 having the second shape function as a mask with respect to doping elements.
  • Impurity regions (n regions) 429 a to 429 e are formed of impurity elements passing through the gate insulating film 422 and the taper portions of the first conductive films.
  • a phosphorus (P) concentration in the impurity regions (n ⁇ regions) is set so as to fall within a range of 1 ⁇ 10 17 to 1 ⁇ 10 19 /cm 3 .
  • uniform taper portions are obtained by using the ICP-type etching apparatus of the present invention. Therefore, impurity regions (n ⁇ regions) formed by allowing impurity elements to pass through the taper portions can be made uniform in a substrate surface.
  • a resist mask 430 is formed by photolithography so as to cover a predetermined TFT among n-channel TFTs in a driving circuit, followed by etching. Accordingly, conductive layers (composed of first conductive films 423 c , 425 c , 426 c , 427 c and 428 c , and second conductive films 423 d , 425 d , 426 d , 427 d , and 428 d ) having a third shape and insulating films 431 to 436 are formed ( FIG. 6A ).
  • the first conductive film is not overlapped with the impurity regions (n ⁇ regions).
  • the insulating films 431 , and 433 to 436 are not overlapped with the impurity regions (n ⁇ regions), actually they are partially overlapped with each other.
  • the resist mask 430 is removed. Thereafter, as shown in FIG. 6B , a resist mask 437 is formed, and the island-shaped semiconductor layers in which p-channel TFTs will be formed are doped with p-type impurities (acceptors). Typically, boron (B) is used. Boron is added in a concentration of 1.5 to 3 times that of phosphorus contained in the semiconductor layers to reverse conductivity thereof, in such a manner that each impurity concentration of impurity regions (p + regions) 438 and 439 to be obtained becomes 2 ⁇ 10 20 to 2 ⁇ 10 21 /cm 3 .
  • a protective insulating film 440 made of a silicon nitride film or a silicon oxide nitride film is formed by plasma CVD.
  • the impurity elements added to each semiconductor layer are activated.
  • hydrogenation processing is conducted.
  • hydrogen plasma is used since it allows hydrogenation to be conducted at a relatively low temperature.
  • the interlayer insulating film 441 is made of an organic insulating material such as polyimide and acrylic resin. It is appreciated that a silicon oxide film formed by using tetraethyl orthosilicate (TEOS) by plasma CVD may be used. However, in terms of enhancement of flatness, the organic material is preferably used.
  • TEOS tetraethyl orthosilicate
  • Source/drain lines 442 to 450 and a pixel electrode 451 are formed by using a material excellent in reflectivity, such as a film mainly containing Al or Ag, a Ti film, and a layered film thereof. It is preferable that, after the pixel electrode 451 is formed, the surface of the layered structure thus obtained is made uneven by a known sandblast method, an etching method, or the like to prevent mirror reflection and scatter reflected light, thereby increasing brightness.
  • a driving circuit 453 including n-channel TFTs and p-channel TFTs, and a pixel portion 454 including a pixel TFT and a storage capacitor can be formed on the same substrate.
  • a p-channel TFT constituting a logic circuit portion or a sampling circuit portion includes a channel formation region and an impurity region that functions as a source region or a drain region.
  • an n-channel TFT constituting the logic circuit portion preferably has a structure based on a high-speed operation.
  • a TFT structure includes a channel formation region, an impurity region (gate overlapped drain (GOLD) region) overlapped with a gate electrode, an impurity region (LDD region) formed outside a gate electrode, and an impurity region that functions as a source region or a drain region.
  • GOLD gate overlapped drain
  • LDD region impurity region
  • an n-channel TFT constituting a sampling circuit portion preferably has a structure based on a low off-current operation.
  • a TFT structure includes a channel formation region, an impurity region (LDD region) formed outside a gate electrode, and an impurity region that functions as a source region or a drain region.
  • An n-channel TFT constituting the pixel TFT in the pixel portion 454 preferably has a structure based on a low off-current operation.
  • Such a TFT structure includes a channel formation region, an impurity region (LDD region) formed outside a gate. electrode, and an impurity region that functions as a source region or a drain region.
  • impurity elements imparting a p-type are added to a semiconductor layer functioning as one of electrodes of the storage capacitor 460 in the pixel portion 454 .
  • the storage capacitor 460 is formed of electrodes 428 d and 428 c , and a semiconductor layer using the insulating film 436 as a dielectric.
  • a reflective electrode is used as the pixel electrode.
  • a transmission-type display apparatus can be manufactured if the pixel electrode is formed of a conductive material with light transparency.
  • the pixel electrode is formed before and after the process of producing a source line or a drain line, and as a material for the pixel electrode, an alloy of indium oxide and zinc oxide (In 2 O 3 —ZnO), zinc oxide (ZnO), zinc oxide with gallium (Ga) added thereto (ZnO:Ga), or the like is preferably used.
  • an orientation film is formed on the pixel electrode 451 , followed by conducting rubbing processing.
  • an organic resin film such as an acrylic resin film is patterned to form column-shaped spacers (not shown) at desired positions for the purpose of keeping a substrate gap.
  • spherical spacers may be dispersed over the entire surface of the substrate.
  • a counter substrate is prepared.
  • a coloring layer and a light-blocking layer are formed on the counter substrate, and thereafter, a flattening film is formed thereon.
  • a counter electrode made of a transparent conductive film is formed on the flattening film at least in the pixel portion, and an orientation film is formed over the entire surface of the counter substrate, followed by conducting rubbing.
  • the substrate with the pixel portion and the driving circuit formed thereon is attached to the counter substrate with an adhesive layer (sealant in the present embodiment). Filler is mixed in the adhesive layer. Two substrates are attached to each other while a uniform gap is kept therebetween with the filler and the column-shaped spacers. In the case where a plurality of pixel portions are formed on one substrate (so-called multiple pattern), the substrate is divided to obtain a plurality of substrates each having one pixel portion. Thereafter, a liquid crystal material is injected between the substrates, and completely sealed with a sealant (not shown). As the liquid crystal material, a known liquid crystal material may be used.
  • a flexible printed circuit (FPC) is attached to an external input terminal. Furthermore, a polarizing plate (not shown) is attached to only the counter substrate. In the case of conducting a color display, a color filter is provided on the counter substrate.
  • a liquid crystal display apparatus manufactured as described above is used as a display portion of various kinds of electronic equipment.
  • the liquid crystal display apparatus will be described with reference to FIG. 8 .
  • a substrate 82 a is attached to a counter substrate 82 b with a sealant 83 .
  • the substrate 82 a is provided with a pixel portion, driving circuits, an external input terminal 80 to which an FPC is attached, and a wiring 81 connecting the external input terminal to an input portion of each circuit.
  • the counter substrate 82 b is provided with a color filter and the like.
  • a light-blocking layer 86 a is provided on the fixed substrate side so as to be overlapped with a gate-side driving circuit 84
  • a light-blocking layer 86 b is provided on the fixed substrate side so as to be overlapped with a source-side driving circuit 85
  • a color filter 88 disposed on the pixel portion 87 on the fixed substrate side is provided in such a manner that a light-blocking layer and a coloring layer of each color (red (R), green (G), and blue (B)) correspond to each pixel.
  • a color display is conducted with three colors of the coloring layer of red (R), the coloring layer of green (G), and the coloring layer of blue (B).
  • the coloring layers of the respective colors are arranged arbitrarily.
  • the color filter 88 is provided on the counter substrate 82 b .
  • the present invention is not limited thereto.
  • a color filter may be formed on a substrate when a device is produced thereon.
  • a light-blocking layer is provided in a region between the adjacent pixels, whereby light is blocked in the region other than a display region.
  • the light-blocking layers 86 a and 86 b are provided so as to cover the driving circuits.
  • covers will be placed over the regions of the driving circuits when the liquid crystal display apparatus is incorporated into electronic equipment as a display portion. Therefore, the regions of the driving circuits may not be covered with the light-blocking layers.
  • a light-blocking layer may be formed thereon.
  • a plurality of stacked coloring layers constituting a color filter are appropriately disposed between a second fixed substrate and the counter substrate, whereby light is blocked in the region (gap between the respective pixel electrodes) other than a display region and driving circuits.
  • an FPC 89 composed of a base film and a wiring is attached to the external input terminal with anisotropic conductive resin. Furthermore, the mechanical strength of the apparatus is enhanced with a reinforcing plate.
  • FIGS. 9A and 9B in which a TFT used in a pixel portion or a driving circuit is made of a reverse stagger TFT.
  • FIG. 9A is a top view showing one enlarged pixel in the pixel portion.
  • FIG. 9B is a cross-sectional view of the pixel portion taken along a dotted line A-A′ in FIG. 9A .
  • a pixel TFT portion is formed of an n-channel TFT.
  • An active layer of the TFT may be either a semiconductor film with an amorphous structure (typically, an amorphous silicon film) or a semiconductor film having a crystal structure (typically, polysilicon film).
  • a semiconductor film having a crystal structure is preferably used.
  • a gate electrode 52 is formed on a substrate 51 .
  • a first insulating film 53 a made of silicon nitride and a second insulating film 53 b made of silicon oxide are provided on the gate electrode 52 .
  • the first and second insulating films 53 a and 53 b have a function of a gate insulating film. Furthermore, n + regions 54 to 56 as an active layer, and channel formation regions 57 and 58 are formed on the second insulating film 53 b , and n ⁇ regions 59 and 60 are formed between the n + region and the channel formation region. The channel formation regions 57 and 58 are protected with insulating layers 61 and 62 . After contact holes are formed in a first interlayer insulating film 63 covering the insulating layers 61 and 62 and the active layer, a wiring 64 connected to the n + region 54 is formed, and a pixel electrode 65 made of Al or Ag is connected to the n + region 56 . Then, a passivation film 66 is formed on the wiring 64 and the pixel electrode 65 .
  • Reference numeral 70 denotes a pixel electrode adjacent to a pixel electrode 69 .
  • a gate wiring of the pixel TFT in the pixel portion has a double-gate structure.
  • the pixel portion may have a multi-gate structure such as a triple-gate structure.
  • the pixel portion may have a single gate structure.
  • a capacitor portion in the pixel portion is formed of capacitor wiring 71 and the n + region 56 using the first and second insulating films 53 a and 53 b as a dielectric.
  • the pixel portion in FIG. 9 is shown only for the illustrative purpose. It should be understood that the present invention is not limited to the above-mentioned structure.
  • the present embodiment can be combined with any of Embodiment Modes 1 and 2, and Embodiment 1.
  • the etching apparatus of the present invention exemplified in Embodiment Modes 1 and 2 can be used for etching in each pattering process.
  • the etching apparatus of the present invention when used in etching processing for patterning the gate electrode 52 , is capable of making taper portions of the gate electrode 52 uniform, and making coverage of the gate insulating film covering the gate electrode 52 satisfactory. According to the present invention, even if a substrate to be treated is enlarged, etching processing excellent in in-plane uniformity with high precision can be conducted without causing etching defects in corner portions of the substrate to be treated.
  • FIGS. 10A and 10B an exemplary method of manufacturing a self light-emitting display apparatus equipped with an electroluminescence (EL) element will be described with reference to FIGS. 10A and 10B .
  • EL electroluminescence
  • FIG. 10A is a top view showing an EL module
  • FIG. 10B is a cross-sectional view taken along a line A-A′ in FIG. 10A
  • a pixel portion 502 , a source-side driving circuit 501 , and a gate-side driving circuit 503 are formed on a substrate 500 (e.g., a glass substrate, a crystalline glass substrate, a plastic substrate, etc.) having an insulating surface.
  • Reference numerals 518 and 519 denote a sealant and a DLC film, respectively.
  • the is pixel portion 502 and the driving circuits 501 and 503 are covered with the sealant 518 .
  • the sealant 518 is covered with the protective film 519 .
  • an EL element is sealed with a cover material using an adhesive.
  • Reference numeral 508 denotes a wiring for transmitting a signal input to the source-side driving circuit 501 and the gate-side driving circuit 503 , which receives a video signal and a clock signal from an FPC 509 to be an external input terminal.
  • the FPC may be provided with a printed wiring board (PWB).
  • PWB printed wiring board
  • the self light-emitting apparatus includes not only an apparatus itself, but also an apparatus provided with an FPC or a PWB.
  • An insulating film 510 is formed on the substrate 500 .
  • the pixel portion 502 and the gate-side driving circuit 503 are formed above the insulating film 510 .
  • the pixel portion 502 is composed of a plurality of pixels including a current control TFT 511 and a pixel electrode 512 electrically connected to a drain of the current control TFT 511 .
  • the gate-side driving circuit 503 is composed of a CMOS circuit including a combination of an n-channel TFT 513 and a p-channel TFT 514 .
  • the etching apparatus of the present invention is used in patterning for producing the TFTs (including TFTs 511 , 513 , and 514 ), high in-plane uniformity can be realized in the shape of semiconductor layers, a wiring width, or the shape of contact holes.
  • the pixel electrode 512 functions as an anode of an EL element. Furthermore, banks 515 are formed at both ends of the pixel electrode 512 , and an EL layer 516 and a cathode 517 of the EL element are formed on the pixel electrode 512 .
  • the EL layer 516 (for emitting light and moving carriers for light emission) may be formed by arbitrarily combining a light-emitting layer, a charge transporting layer, or a charge injection layer.
  • a low-molecular organic EL material or a high-molecular organic EL material may be used.
  • a thin film made of a light-emitting material (singlet compound) that emits light (fluoresces) due to single excitation, or a thin film made of a light-emitting material (triplet compound) that emits light (phosphoresces) due to triple excitation may be used.
  • an inorganic material such as silicon carbide can be used as the organic EL material or inorganic material, known materials can be used.
  • the cathode 517 functions as a wiring common to all the pixels, and is electrically connected to the FPC 509 via the connection wiring 508 . Furthermore, all the elements included in the pixel portion 502 and the gate-side driving circuit 503 are covered with the cathode 517 , the sealant 518 , and the protective film 519 .
  • sealant 518 it is preferable that a material transparent or semi-transparent to visible light is used for the sealant 518 . It is also preferable that the sealant 518 is made of a material that transmits as less moisture and oxygen as possible.
  • the protective film 519 made of a DLC film or the like is preferably provided over at least the surface (exposed surface) of the sealant 518 as shown in FIG. 10B .
  • the entire surface of the substrate including a reverse surface thereof may be provided with the protective film.
  • the protective film may be prevented from being formed on the external input terminal using a mask.
  • the protective film may be prevented from being formed on the external input terminal by covering it with a tape such as Teflon used as a masking tape in a CVD apparatus.
  • the EL element By sealing the EL element with the sealant 518 and the protective film 519 in the above-mentioned structure, the EL element can be completed cut off from outside, and a substance causing degradation of the EL element due to oxidation of the EL layer, such as moisture and oxygen, can be prevented from entering the apparatus from outside. Accordingly, a self light-emitting apparatus with high reliability can be obtained.
  • the present embodiment can be combined with any of Embodiment Modes 1 and 2, and Embodiments 1 and 2.
  • the etching apparatus exemplified in Embodiment Modes 1 and 2 can be used for etching processing for producing a TFT in the pixel portion or a TFT in the driving circuit shown in FIGS. 10A and 10B (e.g. formation of a electrode or contact hole). According to the present invention, even if a substrate to be treated is enlarged, etching processing excellent in in-plane uniformity with high precision can be conducted without causing etching defects in corner portions of the substrate to be treated.
  • the pixel electrode may be made of a cathode, and the EL layer and the anode are stacked so as to emit light in a direction opposite to that in FIG. 10B .
  • Embodiment 4 an etching apparatus adopting the present invention will be described with reference to FIGS. 15A and 15B .
  • a radial line slot antenna (RLSA) is used as a microwave supply unit.
  • reference numerals 700 and 704 denote an RLSA and a microwave-transmittable dielectric, respectively.
  • Plasma is generated between the antenna 700 and electrodes 708 , 709 by supplying a microwave from the antenna 700 , thereby etching a material film to be etched, which is provided on a substrate 707 to be treated.
  • a predetermined reaction gas is introduced into a chamber 701 from a gas supply system 702 , and exhausted from a gas exhaust system 703 .
  • the substrate 707 is disposed on a second electrode (lower electrode) composed of a plurality of electrodes 708 a to 708 d , and 709 .
  • the electrode 708 a disposed below a corner portion of the substrate 707 is connected to a high-frequency power source 710
  • the electrode 709 disposed below a central portion of the substrate 707 is connected to a high-frequency power source 711 .
  • the electrode 708 c is connected to a high-frequency power source 712 .
  • the electrodes 708 b and 708 d are also connected to high-frequency power sources, respectively.
  • the cross-sectional view shown in FIG. 15A is taken along an alternate long and short dash line A-A′ shown in FIG. 15B .
  • high-frequency power sources 710 to 712 are connected to a plurality of electrodes constituting the second electrode, respectively.
  • a measurement apparatus may be provided between the second electrode and the high-frequency power sources. Furthermore, a control portion for controlling a high-frequency power of each high-frequency power source based on the information obtained by the measurement apparatus may be provided.
  • the present embodiment can be combined with any of Embodiment Modes 1 and 2, and Embodiments 1 to 3.
  • FIGS. 16A and 16B are plan views showing one enlarged pixel in a pixel portion.
  • FIG. 16A is a cross-sectional view taken along a broken line E-E′ in FIG. 16B .
  • reference numeral 801 denotes a substrate
  • 802 denotes gate wiring
  • 803 a and 803 b denote insulating films covering the gate wiring 802
  • 808 denotes a gate insulating film
  • 810 denotes a gate electrode
  • 811 denotes a capacitance line.
  • the gate wiring 802 also functions as a light-blocking layer that protects an active layer from light.
  • the active layer is composed of regions 812 to 815 .
  • Reference numeral 812 denotes low-concentration impurity regions to be LDD regions
  • 813 denotes high-concentration impurity regions to be source regions or drain regions to which phosphorus is added in a high concentration
  • 814 and 815 denote channel formation regions.
  • the low-concentration impurity regions 812 are doped by a self-alignment manner, and are not overlapped with gate electrode 810 .
  • reference numeral 816 denotes a passivation film
  • 817 denotes an interlayer insulating film made of an organic resin material
  • 818 denotes an electrode connecting pixel electrodes to the high-concentration impurity-regions
  • 819 denotes source lines
  • 820 denotes an interlayer insulating film made of acrylic resin
  • 821 denotes a light-blocking layer
  • 822 denotes an interlayer insulating film
  • 823 and 824 denote pixel electrodes made of transparent conductive films.
  • the present invention can be applied to etching processing used for producing a pixel TFT shown in FIGS. 16A and 16B .
  • FIG. 17 shows another exemplary liquid crystal display apparatus, which is the same as that shown in FIGS. 16A and 16B except for the structure of a gate electrode and that of an active layer. Thus, the description of the components other than the gate electrode and the active layer will be omitted here.
  • an active layer is composed of high-concentration impurity regions 913 , low-concentration impurity regions 912 , and channel formation regions 914 and 915 .
  • gate electrodes 910 and a capacitance line 911 are tapered. Phosphorus is doped by passing through the taper portions at a time of doping, whereby the low-concentration impurity regions 912 are formed. Therefore, the low-concentration impurity regions 912 are partially overlapped with the gate electrodes 910 .
  • the present embodiment can be combined with any of Embodiment Modes 1 and 2, and Embodiments 1 to 3.
  • Embodiment Modes 1 and 2 can be applied to etching processing used for producing the pixel TFT shown in FIGS. 16A and 16B , for example, for forming a tapered gate electrode and for forming a contact hole. According to the present invention, even if a substrate to be treated is enlarged, etching processing excellent in in-plane uniformity with high precision can be conducted without causing etching defects at corner portions of the substrate to be treated.
  • the driver circuit and the pixel portion fabricated by implementing the present invention can be utilized for various devices (active matrix liquid crystal display, active matrix EL module and active matrix EC display).
  • the present invention can be applied to etching processing used for producing a TFT in the pixel portion or a TFT in the driving circuit, for example, for forming a tapered gate electrode and for forming a contact hole. Namely, the present invention can be implemented onto all of the electronic devices that incorporate such devices
  • FIGS. 18 to 20 Examples of these are shown in FIGS. 18 to 20 .
  • FIG. 18A is a personal computer which comprises: a main body 2001 ; an image input section 2002 ; a display section 2003 ; and a keyboard 2004 .
  • FIG. 18B is a video camera which comprises: a main body 2101 ; a display section 2102 ; a voice input section 2103 ; operation switches 2104 ; a battery 2105 and an image receiving section 2106 .
  • FIG. 18C is a mobile computer which comprises: a main body 2201 ; a camera section 2202 ; an image receiving section 2203 ; operation switches 2204 and a display section 2205 .
  • FIG. 18D is a goggle type display, which comprises: a main body 2301 ; a display section 2302 ; and an arm section 2303 .
  • FIG. 18E is a player using a recording medium which records a program (hereinafter referred to as a recording medium) which comprises: a main body 2401 ; a display section 2402 ; a speaker section 2403 ; a recording medium 2404 ; and operation switches 2405 .
  • a recording medium which records a program (hereinafter referred to as a recording medium) which comprises: a main body 2401 ; a display section 2402 ; a speaker section 2403 ; a recording medium 2404 ; and operation switches 2405 .
  • This device uses DVD (digital versatile disc), CD, etc. for the recording medium, and can perform music appreciation, film appreciation, games and the use for Internet.
  • DVD digital versatile disc
  • FIG. 18F is a digital camera which comprises: a main body 2501 ; a display portion 2502 ; a view finder 2503 ; operation switches 2504 ; and an image receiving section (not shown in the figure).
  • FIG. 19A is a front type projector which comprises: a projection system 2601 ; and a screen 2602 .
  • the present invention can be applied to the manufacturing method of the liquid crystal display device 2808 which forms a part of the projection system 2601 .
  • FIG. 19B is a rear type projector which comprises: a main body 2701 ; a projection system 2702 ; a mirror 2703 ; and a screen 2704 .
  • FIG. 19C is a diagram which shows an example of the structure of a projection system 2601 and 2702 in FIGS. 19A and 19B .
  • Projection systems 2601 and 2702 comprise: an optical light source system 2801 ; mirrors 2802 and 2804 to 2806 ; a dichroic mirror 2803 ; a prism 2807 ; a liquid crystal display device 2808 ; a phase differentiating plate 2809 ; and a projection optical system 2810 .
  • the projection optical system 2810 comprises an optical system having a projection lens. Though the present embodiment shows an example of 3 -plate type, this is not to limit to this example and a single plate type may be used for instance. Further, an operator may appropriately dispose an optical lens, a film which has a function to polarize light, a film which adjusts a phase difference or an IR film, etc in the optical path shown by an arrow in FIG. 19C .
  • FIG. 19D is a diagram showing an example of a structure of an optical light source system 2801 in FIG. 19C .
  • the optical light source system 2801 comprises: a reflector 2811 ; a light source 2812 ; lens arrays 2813 and 2814 ; a polarizer conversion element 2815 ; and a condensing lens 2816 .
  • the optical light source system shown in FIG. 19D is merely an example and the structure is not limited to this example. For instance, an operator may appropriately dispose an optical lens, a film that has a function to polarize light, a film that adjusts a phase difference or an IR film, etc.
  • FIG. 19 are the cases of using transmission type electro-optical devices, and applicable examples of a reflection type electro-optical device and an EL module device are not shown.
  • FIG. 20A is a portable telephone which comprises: a main body 2901 ; a voice output section 2902 ; a voice input section 2903 ; a display section 2904 ; operation switches 2905 ; an antenna 2906 an image input portion (CCD, image sensor etc.) 2907 etc.
  • FIG. 20B is a portable book (electronic book) which comprises: a main body 3001 ; display sections 3002 and 3003 ; a recording medium 3004 ; operation switches 3005 and an antenna 3006 etc.
  • FIG. 20C is a display which comprises: a main body 3101 ; a supporting section 3102 ; and a display section 3103 etc.
  • the present invention can be applied to the display section 3103 .
  • the display of the present invention is advantageous specifically when large sized, and it is advantageous in a display having a diagonal exceeding 10 inches (specifically exceeding 30 inches).
  • the applicable range of the present invention is very large, and the invention can be applied to electronic devices of various areas.
  • the electronic devices of the present embodiment can be achieved by utilizing any combination of constitutions in Embodiments 1 to 5.
  • the dry etching apparatus of the present invention is suitable for large apparatuses to be mass-produced.
  • the shape of semiconductor layers and that of contact holes can be made uniform over the entire surface of a substrate.
  • a uniform taper angle can be obtained over the entire substrate.

Abstract

An etching apparatus is provided, in which a plurality of electrodes are disposed for placing a substrate, high-frequency power sources as many as electrodes are provided, and the electrodes and the high-frequency power sources are connected to each other independently. Among a plurality of electrodes, a high-frequency power applied to an electrode disposed below the central portion of the substrate and a high-frequency power applied to electrodes disposed below corner portions of the substrate are controlled respectively, whereby in-plane uniformity of etching can be enhanced.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of U.S. application Ser. No. 09/966,689, filed Sep. 27, 2001, now allowed, and claims the benefit of foreign priority applications filed in Japan as Serial No. 2000-305564 on Oct. 4, 2000 and as Serial No. 2001-289534 on Sep. 21, 2001. This application claims priority to each of these prior applications, and the disclosures of the prior applications are considered part of (and are incorporated by reference in) the disclosure of this application.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a dry etching apparatus. In particular, the present invention relates to an etching apparatus using plasma generated by applying a magnetic field or an electric field to a reaction gas introduced into a low-pressure chamber, and an etching method.
  • 2. Description of the Related Art
  • Conventionally, there is a limit to a treatment ability of a dry etching apparatus, which causes problems in terms of enhancement of productivity.
  • In order to enhance a production efficiency, a mother glass size is increasing year after year. A currently used substrate has a size of 550 mm×650 mm, 650 mm×830 mm, etc. In the future, it is expected that a size of 850 mm×950 mm, a size of 950 mm×1000 mm, etc. will be used.
  • Conventionally, in-plane uniformity of etching tends to decrease with an increase in size of a substrate to be treated.
  • Japanese Patent Application Laid-open No. Hei 10-326772 discloses a technique of uniformly etching the entire surface of a substrate to be treated. Japanese Patent Application Laid-open No. Hei 10-326772 describes a parallel-plate type etching apparatus. In this apparatus, a lower electrode is separated into a ring shape, and the frequency of an AC electric field applied between the lower electrode and an upper electrode is varied. The separated lower electrode is connected to a low-frequency power source or a high-frequency power source.
  • The inventors of the present invention found that, when dry etching is conducted using a square or rectangular substrate, etching variations peculiar to the substrate are caused in a substrate surface.
  • Hereinafter, an etching method using a conventional parallel-plate type dry etching apparatus will be described.
  • Table 1 shows experimental results obtained by measuring variations in a substrate of etching using a parallel-plate type dry etching apparatus (electrode size: 50 cm×50 cm) by an RIE method.
    TABLE 1
    Selection ratio of SiO2/a-Si at portions
    (A to I) in a substrate surface
    A B C D E F G H I
    Sam- 39 23.7 78 25.5 15.3 10.4 71.8 11.4 7.4
    ple 1
    Sam- 30.4 13.9 19.8 82.7 22.3 7 9.9 73.4 10.7
    ple 2
    Sam- 59 83.1 16.3 30.1 27.9 66 7.8 7.8 108
    ple 3
    Sam- 45.1 23.9 30.1 16.9 106.9 10.5 7.4 11.8 11.4
    ple 4

    Etching conditions: CHF3=35 sccm, 800 W, 400 sec Average value of a selection ratio: 34.1, Minimum value of a selection ratio: 7, Maximum value of a selection ratio: 107.6
  • Table 1 shows selection ratios between a silicon oxide film and an amorphous silicon film at portions (A to I) shown in FIG. 21A. Four samples were arranged on the electrode as shown in FIG. 21B, and the etching was conducted. Etching was conducted using CHF3 as an etching gas with a flow rate of 35 sccm at 800 W (electric power density: 0.32 W/cm2) for 400 seconds, and the four samples were compared with respect to the portions.
  • As is understood from Table 1, the selection ratio at measurement point located in center portion of electrode is most uniform (30.4 to 59). On the other hand, the selection ratios of measurement points located in the corner portions of the electrode are very low as the measurement point exists away from the center portion of the electrode. That is, variations in the selection ratio of etching are caused in one substrate among four substrates shown in FIG. 21B. For example, with respect to the substrate of sample 1, the selection ratio of point I which is the most away from the center portion of the electrode is 7.4, the selection ratio of point G which is the nearest to the center portion of the electrode is 71.8. In the selection ratios of both points, about ten times difference is caused.
  • According to the experiment of the inventors of the present invention, the selection ratio of etching is largest at the center of a substrate, and decreases in a concentric manner as the measurement point exists away from the center portion of the electrode. In particular, the selection ratios at four corner portions (F to I) of the substrate are lowest. Thus, although the entire surface of a substrate should be etched with a uniform electric power density, variations in etching are caused. More specifically, in the case of using a rectangular substrate, a substantial electric power applied to the entire surface of a substrate becomes non-uniform, and an electric power density is varied between the central portion of the substrate and the corner portions thereof.
  • Therefore, in the case of using a conventional etching apparatus, the selection ratio at corner portions of an electrode becomes lowest, causing etching defects. The etching defects may decrease a yield. If a substrate is enlarged in the future, this problem is considered to become more conspicuous. The variations in etching are caused between the center portion of the substrate and the corner potion of the substrate when a big substrate with the same size as electrode is etched. The experiment results that four substrates are arranged on one electrode were shown here. Similar variations are also caused in case of a large substrate with the same size as electrode and in case of two substrates or more.
  • The problem involved in etching defects at corner portions of an electrode is not caused in the case where one circular substrate is used as in Japanese Patent Application Laid-open No. Hei 10-326772. That is, the problem that etching defects are caused in a rectangular substrate was found by the inventors of the present invention for the first time.
  • SUMMARY OF THE INVENTION
  • Therefore, with the foregoing in mind, it is an object of the present invention to provide a dry etching apparatus capable of treating a large substrate, and an etching method capable of enhancing in-plane uniformity of a rectangular substrate to be treated.
  • In order to solve the above-mentioned problem, according to the present invention, an etching apparatus is used, in which a plurality of electrodes are disposed for placing a substrate, high-frequency power sources as many as the electrodes are provided, and the electrodes and the high-frequency power sources are connected to each other independently. Among a plurality of electrodes, a high-frequency power applied to an electrode disposed below the central portion of the substrate and a high-frequency power applied to electrodes disposed below corner portions of the substrate are controlled respectively, whereby in-plane uniformity of etching is enhanced.
  • When controlling high-frequency powers applied to the plurality of electrodes, various parameters (bias power, ICP power, substrate temperature, etc.) in the high-frequency powers can be respectively regulated. However, it is preferable to regulate only one parameter. Typically, by regulating only a bias power (electric power density) of a high-frequency power per unit area applied to the plurality of electrodes, a taper angle obtained by etching processing, an etching rate of etching processing, and uniformity of a selection ratio can be enhanced. In an ICP etching apparatus provided with a coil electrode (area of a quartz plate: disk with a diameter of 25 cm) and an electrode (area: 12.5 cm×12.5 cm), etching processing can be controlled by varying a bias power as shown in FIGS. 11 to 13. FIG. 11 shows dependence of a taper angle on a bias power. FIG. 12 shows dependence of an etching rate of a W film and an SiON film on a bias power. FIG. 13 shows dependence of a selection ratio between a W film and an SiON film on a bias power.
  • A constitution (1) of the present invention disclosed by the present specification is a dry etching apparatus for supplying a reaction gas into a chamber under a reduced pressure, and etching a material film to be etched on a substrate disposed on a second electrode by using plasma generated between a first electrode and the second electrode, characterized in that the second electrode is composed of a plurality of electrodes independent from each other, and high-frequency power sources are connected to the respective electrodes independently.
  • Furthermore, another constitution (2) of the present invention is a dry etching apparatus for supplying a reaction gas into a chamber under a reduced pressure and etching a material film to be etched on a substrate disposed on a second electrode by using plasma generated by application of an AC electric field between a first electrode and the second electrode, characterized in that the first electrode is formed of a plane coil and is connected to a first high-frequency power source, and the second electrode is composed of a plurality of electrodes independent from each other, and second high-frequency power sources are independently connected to the respective electrodes.
  • In the above-mentioned constitution (1) or (2), the dry etching apparatus is characterized in that the plurality of electrodes constituting the second electrode include an electrode disposed below a central portion of the substrate, and electrodes disposed below corner portions of the substrate.
  • FIG. 1 shows an exemplary dry etching apparatus having the above-mentioned constitution (1) or (2), the dry etching apparatus being characterized in that an area of the electrode disposed below the central portion of the substrate is larger than that of the electrodes disposed below the corner portions of the substrate.
  • FIG. 2 shows another exemplary dry etching apparatus having the above-mentioned constitution (1) or (2), the dry etching apparatus being characterized in that the plurality of electrodes constituting the second electrode have the same shape and size.
  • Furthermore, in each of the above-mentioned constitutions, the dry etching apparatus is characterized in that among the plurality of electrodes constituting the second electrode, a high-frequency power applied to the electrode disposed below the central portion of the substrate is different from that applied to the electrodes disposed below corner portions of the substrate.
  • Furthermore, in each of the above-mentioned constitutions, the dry etching apparatus is characterized in that among the plurality of electrodes constituting the second electrode, a frequency of a high-frequency power applied to the electrode disposed below the central portion of the substrate is the same as that of a high-frequency power applied to the electrodes disposed below the corner portions of the substrate.
  • Furthermore, in each of the above-mentioned constitutions, the dry etching apparatus is characterized in that the substrate has an area of 0.3 m2 or more.
  • Furthermore, another constitution (3) of the present invention is an etching method using a dry etching apparatus provided with a first electrode and a second electrode opposed to each other, characterized by including the steps of: disposing a substrate on the second electrode composed of a plurality of electrodes provided in a chamber; supplying a reaction gas into the chamber under a reduced pressure; and among the plurality of electrodes constituting the second electrode, applying a first high-frequency power to an electrode disposed below a central portion of the substrate and applying a second high-frequency power to electrodes disposed below corner portions of the substrate to supply an AC electric field between the first electrode and the second electrode and generate plasma therebetween, thereby etching a material film to be etched on the substrate disposed on the plurality of electrodes.
  • In the above-mentioned constitution (3), the etching method is characterized in that a frequency of the first high-frequency power is the same as that of the second high-frequency power.
  • Furthermore, in the above-mentioned constitution (3), the etching method is characterized in that the dry etching apparatus is a parallel-plate etching apparatus or an ICP-type etching apparatus.
  • Furthermore, another constitution (4) of the present invention is an etching method using a dry etching apparatus, characterized by including the steps of: disposing a substrate on a plurality of electrodes provided in a chamber; supplying a reaction gas into the chamber under a reduced pressure; and among the plurality of electrodes, applying a first high-frequency power to an electrode disposed below a central portion of the substrate and applying a second high-frequency power to electrodes disposed below corner portions of the substrate to generate plasma with a magnetic field or an electric field, thereby etching a material film to be etched on a substrate disposed on the plurality of electrodes.
  • Furthermore, in the above-mentioned constitution (4), the etching method is characterized in that the dry etching apparatus is one selected from the group consisting of a magnetron-type etching apparatus, an ECR-type etching apparatus, and a helicon-type etching apparatus.
  • Furthermore, another constitution (5) of the present invention is a method for forming a wiring, characterized by including the steps of: forming a conductive film on a substrate; selectively forming a mask on the conductive film; disposing the substrate on a second electrode composed of a plurality of electrodes provided in a chamber of a dry etching apparatus provided with a first electrode and the second electrode opposed to each other; supplying a reaction gas into a chamber under a reduced pressure; and among the plurality of electrodes constituting the second electrode, applying a first high-frequency power to an electrode disposed below a central portion of the substrate and applying a second high-frequency power to electrodes disposed below corner portions of the substrate to apply an AC electric field between the first electrode and the second electrode and generate plasma therebetween, thereby selectively etching the conductive film on the substrate disposed on the plurality of electrodes.
  • In the above-mentioned constitution (5), the method is characterized in that the wiring is a gate electrode or a gate wiring of a TFT. The gate electrode or the gate wiring has a taper shape.
  • These and other advantages of the present invention will become apparent to those skilled in the art upon reading and understanding the following detailed description with reference to the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the accompanying drawings:
  • FIGS. 1A to 1C show a structure of a dry etching apparatus of the present invention;
  • FIGS. 2A to 2C show a structure of a dry etching apparatus of the present invention;
  • FIGS. 3A and 3B show a structure of a dry etching apparatus of the present invention;
  • FIGS. 4A to 4D illustrate production processes of an active matrix type liquid crystal display apparatus;
  • FIGS. 5A to 5D illustrate production processes of an active matrix type liquid crystal display apparatus;
  • FIGS. 6A to 6C illustrate production processes of an active matrix type liquid crystal display apparatus;
  • FIG. 7 is a view showing a cross-sectional structure of an active matrix type liquid crystal display apparatus;
  • FIG. 8 shows an outer appearance of an active matrix type liquid crystal display apparatus;
  • FIGS. 9A and 9B are a top view and a cross-sectional view of a pixel in an active matrix type liquid crystal display apparatus, respectively;
  • FIGS. 10A and 10B are a plan view and a cross-sectional view of an active matrix type EL display apparatus, respectively;
  • FIG. 11 shows dependence of a taper angle α on a bias power;
  • FIG. 12 shows dependence of an etching rate on a bias power;
  • FIG. 13 shows dependence of a selection ratio on a bias power;
  • FIG. 14 shows a dry etching apparatus equipped with a control portion;
  • FIGS. 15A and 15B show a structure of a dry etching apparatus of the present invention;
  • FIGS. 16A and 16B are a plan view and a cross-sectional view of a pixel in an active matrix type liquid crystal display apparatus, respectively;
  • FIG. 17 is a cross-sectional view of a pixel in an active matrix type liquid crystal display apparatus;
  • FIGS. 18A to 18F show examples of electronic equipment;
  • FIGS. 19A to 19D show examples of electronic equipment;
  • FIGS. 20A to 20C show examples of electronic equipment; and
  • FIGS. 21A and 21B show measurement points of a substrate.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Hereinafter, the present invention will be described by way of illustrative embodiment modes with reference to the drawings.
  • Embodiment Mode 1
  • In Embodiment Mode 1, the present invention is applied to an etching apparatus using inductively coupled plasma, i.e., an ICP type etching apparatus.
  • FIGS. 1A to 1C show a structure of an ICP type etching apparatus. In FIG. 1B, an upper surface of a chamber 101 in the etching apparatus is made of an insulator 100 such as quartz glass, and a first electrode 105 is disposed outside the insulator 100. FIG. 1A is a top view of the first electrode 105 made of a flat coil. According to the present invention, the first electrode 105 is not limited to the shape shown in FIG. 1, and may have any shape. The first electrode 105 is connected to a first high-frequency power source 104 via a matching circuit 106. A high-frequency current flows through the first electrode 105 to form an electromagnetic wave in the chamber 101, and electrons flowing in the electromagnetic field are allowed to bump into neutral particles of a reaction gas to generate plasma. A predetermined reaction gas is introduced into the chamber 101 from a gas supply system 102, and exhausted from a gas exhaust system 103.
  • A substrate 107 to be treated is arranged by holding using a clamp (not shown) onto a second electrode composed of a plurality of electrodes 108 a to 108 d, and 109. When the substrate 107 has an area of 0.3 m2 or more (e.g., 60 cm×72 cm), the insulator 100 may be a disk with a diameter of 98 cm. The cross-section shown in FIG. 1B is taken along an alternate long and short dash line A-A′ shown in FIG. 1C. Reference numeral 109 denotes an electrode contacting the central portion of the substrate 107, and reference symbols 108 a to 108 d denote electrodes contacting corner portions of the substrate 107. The electrodes 108 a to 108 d, and 109 are electrically insulated from each other with a gap or an insulator. The electrode 108 a is connected to a high-frequency power source 110. The electrode 109 is connected to a high-frequency power source 111. The electrode 108 c is connected to a high-frequency power source 112. Furthermore, although not shown, the electrodes 108 b and 108 d are also connected to high-frequency power sources, independently. Herein, these high-frequency power sources are collectively referred to as a second high-frequency power source. According to the present invention, the high-frequency power of the second high-frequency power source is varied to realize uniform etching. A measurement apparatus for measuring an electric power applied to the second electrode and a control portion for controlling a high-frequency power of each high-frequency power source may be provided. Furthermore, by appropriately applying a DC power, an AC power, or a high-frequency energy (microwave, etc.) to the second electrode, etching may be regulated.
  • FIG. 14 shows an exemplary dry etching apparatus equipped with a control portion 601. The apparatus shown in FIG. 14 is the same as that shown in FIG. 1, except for the control portion and the measurement apparatus. Therefore, the same components as those in FIG. 1 are denoted with the same reference numerals as those therein. In FIG. 14, electric powers applied to the second electrode are measured by probes 600 a to 600 c, and appropriate bias powers are calculated by the control portion 601 equipped with a CPU or the like based on the measured values, whereby an instruction is given to the respective high-frequency power sources 110 to 112. This may be conducted before etching processing to regulate an output of each high-frequency power source. Alternatively, an output of each high-frequency power source may be regulated at any time during etching processing.
  • Next, etching processes will be described successively by using the etching apparatus shown in FIGS. 1A to 1C.
  • First, while a predetermined reaction gas is introduced into the chamber 101 under a reduced pressure through the gas supply system 102, the gas is exhausted through the gas exhaust system 103, whereby the inside of the chamber 101 is kept at a predetermined pressure. Then, while the pressure in the chamber 101 is kept, a high-frequency power (typically, 13.56 MHz) is supplied from the first high-frequency power source 104 to the first electrode 105 made of a flat coil. Plasma is generated in the chamber 101, and a material film to be etched on the substrate 107 disposed on the second electrode (108 a to 108 d, and 109) can be etched. At this time, a high-frequency power is also supplied to the second electrode (108 a to 108 d, and 109) by the second high-frequency power source (110 to 112). It is preferable that the frequency of each high-frequency power source is the same.
  • The structure of the second electrode is not limited to that in FIG. 1B. The second electrode may at least include a plurality of electrodes contacting the corner portions of the substrate and an electrode contacting the central portion of the substrate, each electrode having a high-frequency power source. FIGS. 2A to 2C show an example thereof. The structure other than those of the second electrode and the high-frequency power source is the same as that shown in FIG. 1. Therefore, the same components as those in FIG. 1 are denoted with the same reference numerals as those therein. In FIGS. 2A to 2C, a plurality of electrodes 201 arranged in a matrix are disposed as a second electrode, and the electrodes 201 are respectively connected to high-frequency power sources 202. A cross-section shown in FIG. 2B is taken along an alternate long and short dash line A-A′ shown in FIG. 2C.
  • According to the present invention, the second electrode is disposed so that a substantial electric power applied to the entire surface of a substrate becomes uniform, whereby etching variations in a substrate surface can be reduced. In particular, etching defects in the corner portions of the substrate can be reduced. Furthermore, according to the present invention, even with a large substrate, etching with high in-plane uniformity can be realized.
  • Furthermore, the present invention can be applied to a multi-spiral type ICP etching apparatus in which a coil is divided so as to reduce an inductance of the coil, and a spoke-type ICP etching apparatus in which a comb-shaped coil is disposed in a circular plate.
  • Furthermore, the present invention is not limited to an ICP-type etching apparatus. The present invention is also applied to an RIE etching apparatus, e.g., a parallel-plate type etching apparatus, an ECR etching apparatus, and a magnetron-type etching apparatus.
  • Embodiment Mode 2
  • FIG. 3 shows an example in which the present invention is applied to a parallel-plate type etching apparatus. The parallel-plate type etching apparatus uses capacitive coupling plasma generated by applying a high frequency to electrodes through capacitors.
  • Reference numeral 305 denotes a first electrode (upper electrode) that is grounded. A high-frequency electric field is applied between the first electrode 305 and a second electrode to ionize a reaction gas in a chamber 301, and a material film to be etched on a substrate 307 to be treated is etched with ions in the reaction gas. A predetermined reaction gas is introduced into the chamber 301 through a gas supply system 302, and exhausted through a gas exhaust system 303.
  • The substrate 307 is placed on a second electrode (lower electrode) composed of a plurality of electrodes 308 a to 308 d, and 309 via an insulator 300 made of quartz or the like. The electrode 308 a disposed below a corner portion of the substrate 307 is connected to a high-frequency power source 310. The electrode 309 disposed below the central portion of the substrate 307 is connected to a high-frequency power source 311. The electrode 308 c is connected to a high-frequency power source 312. Furthermore, although not shown, the electrodes 308 b and 308 d are also connected to high-frequency power sources, independently. Herein, these high-frequency power sources are collectively referred to as a second high-frequency power source. A cross-section shown in FIG. 3A is taken along an alternate long and short dash line A-A′ shown in FIG. 3B. Thus, even in the parallel-plate type etching apparatus shown in FIGS. 3A and 3B, a plurality of electrodes constituting the second electrode are connected to the high-frequency power sources 310 to 312, independently.
  • According to the above-mentioned structure, the second electrode is disposed so that a substantial electric power applied to the entire surface of the substrate becomes uniform, whereby etching variations in a substrate surface can be reduced. In particular, etching defects in the corner portions of the substrate can be reduced. Furthermore, according to the above-mentioned structure, even with a large substrate, etching with high in-plane uniformity can be realized.
  • Furthermore, a measurement apparatus (prober, voltage measurement equipment, oscilloscope, etc.) 313 is provided between the second electrode and the second high-frequency power source. Furthermore, a control portion for controlling a high-frequency power of each high-frequency power source based on the information obtained by the measurement apparatus 313 may be provided.
  • In FIG. 3, the substrate 307 is disposed on the second electrode via the insulator 300 made of quartz or the like. However, the substrate 307 may be disposed in contact with the second electrode.
  • The present invention will be described in more detail by way of illustrative embodiments.
  • Embodiments Embodiment 1
  • In Embodiment 1, an example of a method of manufacturing a liquid crystal display apparatus provided with a pixel portion and a driving circuit on the same substrate will be described with reference to FIGS. 4A to 8.
  • In the present embodiment, a substrate 401 made of barium borosilicate glass or aluminoborosilicate glass (e.g., #7059 glass and #1737 glass produced by Corning Glass Corp.) is used. There is no particular limit to a substrate as long as it has light transparency. A quartz substrate may be used. A plastic substrate having heat resistance enduring a treatment temperature in the present embodiment may also be used.
  • An underlying insulating film 402 made of an insulating film such as a silicon oxide film, a silicon nitride film, or a silicon oxide nitride film is formed.
  • As the underlying insulating film 402, a silicon oxide film, a silicon nitride film, a silicon oxide nitride film (SiOxNy), a stacked film thereof, or the like can be formed into a thickness of 100 to 500 nm. The underlying insulating film 402 is formed by a known film formation method (thermal CVD, plasma CVD, vapor deposition, sputtering, low-pressure thermal CVD, etc.). Herein, the underlying insulating film 402 is composed of a stack of a silicon oxide nitride film 402 a containing nitrogen elements more than oxygen elements in a film composition, and a silicon oxide nitride film 402 b containing oxygen elements more than nitrogen elements in a film composition.
  • An amorphous semiconductor film 403 is formed on the underlying insulating film 402 (FIG. 4A). There is no particular limit to a material for the amorphous semiconductor film 403. An alloy of silicon or silicon germanium (SixGe1-x (0<x<1)) or the like may be preferably used. The amorphous semiconductor film 403 can be formed by a known film formation method (thermal CVD, plasma CVD, vapor deposition, sputtering, low-pressure thermal CVD, etc.).
  • Then, the amorphous semiconductor film 403 is crystallized to form a crystalline semiconductor film 404 (FIG. 4B). As a crystallization method, a known method (solid-phase growth method, laser crystallization, solid-phase growth method using a metal element accelerating crystallization, etc.) can be used. In the present embodiment, a crystalline silicon film is formed by laser crystallization. In the case of forming a crystalline semiconductor film by laser crystallization, a pulse-oscillation type or continuous oscillation type excimer laser, a pulse-oscillation type or continuous oscillation type YAG laser, or a YVO4 laser can be used.
  • Then, a resist mask is formed by photolithography, followed by etching, whereby semiconductor layers 405 to 409 with a desired shape are formed. If the dry etching apparatus described in Embodiment Mode 1 or 2 is used, etching with excellent in-plane uniformity can be conducted.
  • Then, impurity elements imparting a p-type (hereinafter, referred to as “p-type impurity elements”) are added to the resultant layered structure via a protective film 410 (FIG. 4C). As the p-type impurity elements, those belonging to Group XIII such as boron or gallium can be used. This process (referred to as channel doping) is conducted for the purpose of controlling a threshold voltage of a TFT. Herein, plasma-excited boron is added by ion doping without conducting mass separation of diborane (B2H6). Needless to say, ion implantation involving mass separation may be used.
  • In the present embodiment, although channel doping is conducted, it may not be conducted if it is not required.
  • Then, the protective film 410 is removed. Thereafter, an insulating film 411 (herein, a silicon oxide nitride film) to be a gate insulating film is formed on the semiconductor layers 405 to 409, and first and second conductive films 412 and 413 are formed thereon (FIG. 4D).
  • As shown in FIG. 5A, a resist mask 414 is formed by photolithography, and first etching processing for forming gate electrodes is conducted. There is no limit to an etching method. However, it is preferable to use ICP (inductively coupled plasma) etching of the present invention. Etching is conducted by using a mixture of CF4 and Cl2 as an etching gas, and generating plasma with an RF (13.56 MHz) electric power of 500 W (electric power density: 1.02 W/cm2) supplied to a coil-shaped electrode under a pressure of 0.5 to 2 Pa, preferably 1 Pa. An area of an electrode on the substrate side is 12.5 cm×12.5 cm, and a diameter of the coil-shaped electrode (herein, quartz disk provided with a coil) is 25 cm. An RF (13.56 MHz) electric power of 100 W (electric power density: 0.64 W/cm2) is also supplied to the substrate side (sample stage), thereby supplying a substantially negative self-bias voltage thereto. In the case of using a mixture of CF4 and Cl2 as an etching gas, a tungsten film, a tantalum nitride film, and a titanium film can also be etched at the same speed.
  • Under the above-mentioned etching conditions, due to the shape of the resist mask, and the bias voltage applied to the substrate side, the ends of the first and second conductive films 412 and 413 can be tapered. The angle of each taper portion is set to be 15° to 45°. FIG. 11 is a graph showing dependence of a taper angle α on a bias power under the above-mentioned etching conditions (pressure: 1 Pa, RF electric power: 500 W, gas flow rate CF4/Cl2=30 sccm/30 sccm). In order to etch the conductive films 412 and 413 so as not to leave a residual on the gate insulating film 411, an etching time may be increased by about 10% to 20%. A selection ratio of a silicon oxide nitride film to a W film is 2 to 4 (typically 3). Therefore, the surface in which the silicon oxide nitride film is exposed by overetching is etched by about 20 to 50 nm. FIG. 12 is a graph showing dependence of an etching rate of the W film and the silicon oxide nitride film on a bias power. FIG. 13 is a graph showing dependence of a selection ratio of the silicon oxide nitride film to the W film on a bias power.
  • In the present embodiment, the first etching processing is conducted by using the dry etching apparatus (FIG. 1 or 2) and the etching method of the present invention described in Embodiment Mode 1. By using the dry etching apparatus of the present invention, the angle of each taper portion (taper angle) can be made uniform. Herein, a bias power applied to the second electrode is regulated considering the size of the second electrode based on the graph in FIG. 11 to make an electric power density uniform, thereby being capable of obtaining a uniform taper angle in a substrate surface. Low-concentration impurity regions are formed by passing impurities through the taper portions in the later process. Therefore, making the taper portions uniform leads to uniform electric characteristics of all the TFTs formed in a substrate surface. Therefore, it is very useful for reducing variations in a substrate surface to use the etching apparatus of the present invention.
  • Thus, conductive layers 415 to 420 (composed of first conductive films 415 a, 416 a, 417 a, 418 a, 419 a and 420 a, and second conductive films 415 b, 416 b, 417 b, 418 b, 419 b and 420 b) having a first shape are formed by the first etching processing. Although not shown, regions of the insulating film 411 not covered with the conductive layers 415 to 420 having the first shape are etched by about 20 to 50 nm to become thin.
  • Then, while the resist mask 414 is retained as it is, doping of n-type impurities (donors) is conducted by using, as a mask, the conductive layers 415 to 420 having the first shape (first doping processing) (FIG. 5B). The first doping processing is conducted, for example, at an acceleration voltage of 20 to 60 keV, and a dose amount of 1×1013 to 5×1014/cm2, whereby impurity regions (n+ regions) 421 a to 421 e are formed. For example, a phosphorus (P) concentration in the impurity regions (n+ regions) is set so as to fall within a range of 1×1020 to 1×1021/cm3.
  • As shown in FIG. 5C, while the resist mask 414 is retained as it is, second etching processing is conducted. The second etching processing is conducted by ICP etching. Etching is conducted by using a mixture of CF4 and Cl2 and O2 as an etching gas, and generating plasma with an RF (13.56 MHz) electric power of 500 W (electric power density: 1.02 W/cm2) supplied to a coil-shaped electrode under a pressure of 1 Pa. An RF (13.56 MHz) electric power of 50 W (electric power density: 0.32 W/cm2) is supplied to the substrate side (sample stage), thereby supplying a self-bias voltage lower than that in the first etching processing to the substrate side. Under such conditions, the tungsten films are subjected to anisotropic etching so that the tantalum nitride films or titanium films (first conductive films) remain. It is also preferable to use the ICP-type etching apparatus of the present invention so as to enhance in-plane uniformity. Thus, conductive layers 423 to 428 (composed of first conductive films 423 a, 424 a, 425 a, 426 a, 427 a and 428 a, and second conductive films 423 b, 424 b, 425 b, 426 b, 427 b and 428 b) having a second shape are formed. Herein, reference numeral 422 denotes the gate insulating film, in which regions not covered with the conductive layers 423 to 428 having the second shape become thinner.
  • Then, while the resist mask 414 is retained as it is, doping of n-type impurities (donors) is conducted (second doping processing) (FIG. 5D). In this case, the second conductive films of the conductive layers 423 to 428 having the second shape function as a mask with respect to doping elements. Impurity regions (n regions) 429 a to 429 e are formed of impurity elements passing through the gate insulating film 422 and the taper portions of the first conductive films. For example, a phosphorus (P) concentration in the impurity regions (n regions) is set so as to fall within a range of 1×1017 to 1×1019/cm3.
  • In the present embodiment, uniform taper portions are obtained by using the ICP-type etching apparatus of the present invention. Therefore, impurity regions (n regions) formed by allowing impurity elements to pass through the taper portions can be made uniform in a substrate surface.
  • The resist mask 414 is removed. Then, a resist mask 430 is formed by photolithography so as to cover a predetermined TFT among n-channel TFTs in a driving circuit, followed by etching. Accordingly, conductive layers (composed of first conductive films 423 c, 425 c, 426 c, 427 c and 428 c, and second conductive films 423 d, 425 d, 426 d, 427 d, and 428 d) having a third shape and insulating films 431 to 436 are formed (FIG. 6A). In the TFTs other than that covered with the resist mask 430, the first conductive film is not overlapped with the impurity regions (n regions). In FIG. 6A, although the insulating films 431, and 433 to 436 are not overlapped with the impurity regions (n regions), actually they are partially overlapped with each other.
  • The resist mask 430 is removed. Thereafter, as shown in FIG. 6B, a resist mask 437 is formed, and the island-shaped semiconductor layers in which p-channel TFTs will be formed are doped with p-type impurities (acceptors). Typically, boron (B) is used. Boron is added in a concentration of 1.5 to 3 times that of phosphorus contained in the semiconductor layers to reverse conductivity thereof, in such a manner that each impurity concentration of impurity regions (p+ regions) 438 and 439 to be obtained becomes 2×1020 to 2×1021/cm3.
  • Through the processes up to the above, impurity regions are formed in each semiconductor layer. Thereafter, as shown in FIG. 6C, a protective insulating film 440 made of a silicon nitride film or a silicon oxide nitride film is formed by plasma CVD. For the purpose of controlling conductivity, the impurity elements added to each semiconductor layer are activated.
  • Furthermore, hydrogenation processing is conducted. In the present embodiment, hydrogen plasma is used since it allows hydrogenation to be conducted at a relatively low temperature.
  • Then, an interlayer insulating film 441 is formed so as to cover the protective insulating film 440. The interlayer insulating film 441 is made of an organic insulating material such as polyimide and acrylic resin. It is appreciated that a silicon oxide film formed by using tetraethyl orthosilicate (TEOS) by plasma CVD may be used. However, in terms of enhancement of flatness, the organic material is preferably used.
  • Contact holes are formed. Source/drain lines 442 to 450 and a pixel electrode 451 are formed by using a material excellent in reflectivity, such as a film mainly containing Al or Ag, a Ti film, and a layered film thereof. It is preferable that, after the pixel electrode 451 is formed, the surface of the layered structure thus obtained is made uneven by a known sandblast method, an etching method, or the like to prevent mirror reflection and scatter reflected light, thereby increasing brightness.
  • In the above-mentioned processes, a driving circuit 453 including n-channel TFTs and p-channel TFTs, and a pixel portion 454 including a pixel TFT and a storage capacitor can be formed on the same substrate.
  • In the driving circuit 453, a p-channel TFT constituting a logic circuit portion or a sampling circuit portion includes a channel formation region and an impurity region that functions as a source region or a drain region.
  • In the driving circuit 453, an n-channel TFT constituting the logic circuit portion preferably has a structure based on a high-speed operation. Such a TFT structure includes a channel formation region, an impurity region (gate overlapped drain (GOLD) region) overlapped with a gate electrode, an impurity region (LDD region) formed outside a gate electrode, and an impurity region that functions as a source region or a drain region.
  • Furthermore, in the driving circuit 453, an n-channel TFT constituting a sampling circuit portion preferably has a structure based on a low off-current operation. Such a TFT structure includes a channel formation region, an impurity region (LDD region) formed outside a gate electrode, and an impurity region that functions as a source region or a drain region.
  • An n-channel TFT constituting the pixel TFT in the pixel portion 454 preferably has a structure based on a low off-current operation. Such a TFT structure includes a channel formation region, an impurity region (LDD region) formed outside a gate. electrode, and an impurity region that functions as a source region or a drain region.
  • Furthermore, impurity elements imparting a p-type are added to a semiconductor layer functioning as one of electrodes of the storage capacitor 460 in the pixel portion 454. The storage capacitor 460 is formed of electrodes 428 d and 428 c, and a semiconductor layer using the insulating film 436 as a dielectric.
  • Herein, a reflective electrode is used as the pixel electrode. However, a transmission-type display apparatus can be manufactured if the pixel electrode is formed of a conductive material with light transparency. In this case, it is preferable that the pixel electrode is formed before and after the process of producing a source line or a drain line, and as a material for the pixel electrode, an alloy of indium oxide and zinc oxide (In2O3—ZnO), zinc oxide (ZnO), zinc oxide with gallium (Ga) added thereto (ZnO:Ga), or the like is preferably used.
  • After obtaining the state shown in FIG. 7, an orientation film is formed on the pixel electrode 451, followed by conducting rubbing processing. In the present embodiment, before the orientation film is formed, an organic resin film such as an acrylic resin film is patterned to form column-shaped spacers (not shown) at desired positions for the purpose of keeping a substrate gap. In place of the column-shaped spacers, spherical spacers may be dispersed over the entire surface of the substrate.
  • Then, a counter substrate is prepared. A coloring layer and a light-blocking layer are formed on the counter substrate, and thereafter, a flattening film is formed thereon. Then, a counter electrode made of a transparent conductive film is formed on the flattening film at least in the pixel portion, and an orientation film is formed over the entire surface of the counter substrate, followed by conducting rubbing.
  • The substrate with the pixel portion and the driving circuit formed thereon is attached to the counter substrate with an adhesive layer (sealant in the present embodiment). Filler is mixed in the adhesive layer. Two substrates are attached to each other while a uniform gap is kept therebetween with the filler and the column-shaped spacers. In the case where a plurality of pixel portions are formed on one substrate (so-called multiple pattern), the substrate is divided to obtain a plurality of substrates each having one pixel portion. Thereafter, a liquid crystal material is injected between the substrates, and completely sealed with a sealant (not shown). As the liquid crystal material, a known liquid crystal material may be used.
  • Then, a flexible printed circuit (FPC) is attached to an external input terminal. Furthermore, a polarizing plate (not shown) is attached to only the counter substrate. In the case of conducting a color display, a color filter is provided on the counter substrate.
  • A liquid crystal display apparatus manufactured as described above is used as a display portion of various kinds of electronic equipment. The liquid crystal display apparatus will be described with reference to FIG. 8.
  • As shown in a top view of FIG. 8, a substrate 82 a is attached to a counter substrate 82 b with a sealant 83. The substrate 82 a is provided with a pixel portion, driving circuits, an external input terminal 80 to which an FPC is attached, and a wiring 81 connecting the external input terminal to an input portion of each circuit. The counter substrate 82 b is provided with a color filter and the like.
  • A light-blocking layer 86 a is provided on the fixed substrate side so as to be overlapped with a gate-side driving circuit 84, and a light-blocking layer 86 b is provided on the fixed substrate side so as to be overlapped with a source-side driving circuit 85. A color filter 88 disposed on the pixel portion 87 on the fixed substrate side is provided in such a manner that a light-blocking layer and a coloring layer of each color (red (R), green (G), and blue (B)) correspond to each pixel. In actual, a color display is conducted with three colors of the coloring layer of red (R), the coloring layer of green (G), and the coloring layer of blue (B). The coloring layers of the respective colors are arranged arbitrarily.
  • Herein, in order to conduct a color display, the color filter 88 is provided on the counter substrate 82 b. However, the present invention is not limited thereto. A color filter may be formed on a substrate when a device is produced thereon.
  • In the color filter 88, a light-blocking layer is provided in a region between the adjacent pixels, whereby light is blocked in the region other than a display region. Furthermore, the light-blocking layers 86 a and 86 b are provided so as to cover the driving circuits. However, covers will be placed over the regions of the driving circuits when the liquid crystal display apparatus is incorporated into electronic equipment as a display portion. Therefore, the regions of the driving circuits may not be covered with the light-blocking layers. Furthermore, when a required element is produced on the substrate, a light-blocking layer may be formed thereon.
  • Furthermore, the following may also be possible. Instead of providing the above-mentioned light-blocking layers, a plurality of stacked coloring layers constituting a color filter are appropriately disposed between a second fixed substrate and the counter substrate, whereby light is blocked in the region (gap between the respective pixel electrodes) other than a display region and driving circuits.
  • Furthermore, an FPC 89 composed of a base film and a wiring is attached to the external input terminal with anisotropic conductive resin. Furthermore, the mechanical strength of the apparatus is enhanced with a reinforcing plate.
  • Embodiment 2
  • In the present embodiment, a liquid crystal display apparatus will be described with reference to FIGS. 9A and 9B, in which a TFT used in a pixel portion or a driving circuit is made of a reverse stagger TFT. FIG. 9A is a top view showing one enlarged pixel in the pixel portion. FIG. 9B is a cross-sectional view of the pixel portion taken along a dotted line A-A′ in FIG. 9A.
  • In the pixel portion, a pixel TFT portion is formed of an n-channel TFT. An active layer of the TFT may be either a semiconductor film with an amorphous structure (typically, an amorphous silicon film) or a semiconductor film having a crystal structure (typically, polysilicon film). In the case of forming a driving circuit and a pixel portion on the same substrate, a semiconductor film having a crystal structure is preferably used. A gate electrode 52 is formed on a substrate 51. A first insulating film 53 a made of silicon nitride and a second insulating film 53 b made of silicon oxide are provided on the gate electrode 52. The first and second insulating films 53 a and 53 b have a function of a gate insulating film. Furthermore, n+ regions 54 to 56 as an active layer, and channel formation regions 57 and 58 are formed on the second insulating film 53 b, and n regions 59 and 60 are formed between the n+ region and the channel formation region. The channel formation regions 57 and 58 are protected with insulating layers 61 and 62. After contact holes are formed in a first interlayer insulating film 63 covering the insulating layers 61 and 62 and the active layer, a wiring 64 connected to the n+ region 54 is formed, and a pixel electrode 65 made of Al or Ag is connected to the n+ region 56. Then, a passivation film 66 is formed on the wiring 64 and the pixel electrode 65. Reference numeral 70 denotes a pixel electrode adjacent to a pixel electrode 69.
  • In the present embodiment, a gate wiring of the pixel TFT in the pixel portion has a double-gate structure. However, in order to reduce variations in an off-current, the pixel portion may have a multi-gate structure such as a triple-gate structure. Furthermore, in order to enhance an aperture ratio, the pixel portion may have a single gate structure.
  • A capacitor portion in the pixel portion is formed of capacitor wiring 71 and the n+ region 56 using the first and second insulating films 53 a and 53 b as a dielectric.
  • The pixel portion in FIG. 9 is shown only for the illustrative purpose. It should be understood that the present invention is not limited to the above-mentioned structure.
  • The present embodiment can be combined with any of Embodiment Modes 1 and 2, and Embodiment 1.
  • The etching apparatus of the present invention exemplified in Embodiment Modes 1 and 2 can be used for etching in each pattering process. In particular, when used in etching processing for patterning the gate electrode 52, the etching apparatus of the present invention is capable of making taper portions of the gate electrode 52 uniform, and making coverage of the gate insulating film covering the gate electrode 52 satisfactory. According to the present invention, even if a substrate to be treated is enlarged, etching processing excellent in in-plane uniformity with high precision can be conducted without causing etching defects in corner portions of the substrate to be treated.
  • Embodiment 3
  • In the present embodiment, an exemplary method of manufacturing a self light-emitting display apparatus equipped with an electroluminescence (EL) element will be described with reference to FIGS. 10A and 10B.
  • FIG. 10A is a top view showing an EL module, and FIG. 10B is a cross-sectional view taken along a line A-A′ in FIG. 10A. A pixel portion 502, a source-side driving circuit 501, and a gate-side driving circuit 503 are formed on a substrate 500 (e.g., a glass substrate, a crystalline glass substrate, a plastic substrate, etc.) having an insulating surface. Reference numerals 518 and 519 denote a sealant and a DLC film, respectively. The is pixel portion 502 and the driving circuits 501 and 503 are covered with the sealant 518. The sealant 518 is covered with the protective film 519. Furthermore, an EL element is sealed with a cover material using an adhesive.
  • Reference numeral 508 denotes a wiring for transmitting a signal input to the source-side driving circuit 501 and the gate-side driving circuit 503, which receives a video signal and a clock signal from an FPC 509 to be an external input terminal. Herein, although only the FPC is shown, the FPC may be provided with a printed wiring board (PWB). In the present specification, the self light-emitting apparatus includes not only an apparatus itself, but also an apparatus provided with an FPC or a PWB.
  • Next, a cross-sectional structure of the apparatus will be described with reference to FIG. 10B. An insulating film 510 is formed on the substrate 500. The pixel portion 502 and the gate-side driving circuit 503 are formed above the insulating film 510. The pixel portion 502 is composed of a plurality of pixels including a current control TFT 511 and a pixel electrode 512 electrically connected to a drain of the current control TFT 511. Furthermore, the gate-side driving circuit 503 is composed of a CMOS circuit including a combination of an n-channel TFT 513 and a p-channel TFT 514.
  • If the etching apparatus of the present invention is used in patterning for producing the TFTs (including TFTs 511, 513, and 514), high in-plane uniformity can be realized in the shape of semiconductor layers, a wiring width, or the shape of contact holes.
  • The pixel electrode 512 functions as an anode of an EL element. Furthermore, banks 515 are formed at both ends of the pixel electrode 512, and an EL layer 516 and a cathode 517 of the EL element are formed on the pixel electrode 512.
  • The EL layer 516 (for emitting light and moving carriers for light emission) may be formed by arbitrarily combining a light-emitting layer, a charge transporting layer, or a charge injection layer. For example, a low-molecular organic EL material or a high-molecular organic EL material may be used. As the EL layer 516, a thin film made of a light-emitting material (singlet compound) that emits light (fluoresces) due to single excitation, or a thin film made of a light-emitting material (triplet compound) that emits light (phosphoresces) due to triple excitation may be used. Furthermore, as the charge transporting layer or the charge injection layer, an inorganic material such as silicon carbide can be used. As the organic EL material or inorganic material, known materials can be used.
  • The cathode 517 functions as a wiring common to all the pixels, and is electrically connected to the FPC 509 via the connection wiring 508. Furthermore, all the elements included in the pixel portion 502 and the gate-side driving circuit 503 are covered with the cathode 517, the sealant 518, and the protective film 519.
  • It is preferable that a material transparent or semi-transparent to visible light is used for the sealant 518. It is also preferable that the sealant 518 is made of a material that transmits as less moisture and oxygen as possible.
  • After the EL element is completely covered with the sealant 518, the protective film 519 made of a DLC film or the like is preferably provided over at least the surface (exposed surface) of the sealant 518 as shown in FIG. 10B. The entire surface of the substrate including a reverse surface thereof may be provided with the protective film. Herein, care should be taken so that the protective film is not formed in a portion where the external input terminal (FPC) is provided. In this case, the protective film may be prevented from being formed on the external input terminal using a mask. Alternatively, the protective film may be prevented from being formed on the external input terminal by covering it with a tape such as Teflon used as a masking tape in a CVD apparatus.
  • By sealing the EL element with the sealant 518 and the protective film 519 in the above-mentioned structure, the EL element can be completed cut off from outside, and a substance causing degradation of the EL element due to oxidation of the EL layer, such as moisture and oxygen, can be prevented from entering the apparatus from outside. Accordingly, a self light-emitting apparatus with high reliability can be obtained.
  • The present embodiment can be combined with any of Embodiment Modes 1 and 2, and Embodiments 1 and 2.
  • The etching apparatus exemplified in Embodiment Modes 1 and 2 can be used for etching processing for producing a TFT in the pixel portion or a TFT in the driving circuit shown in FIGS. 10A and 10B (e.g. formation of a electrode or contact hole). According to the present invention, even if a substrate to be treated is enlarged, etching processing excellent in in-plane uniformity with high precision can be conducted without causing etching defects in corner portions of the substrate to be treated.
  • The pixel electrode may be made of a cathode, and the EL layer and the anode are stacked so as to emit light in a direction opposite to that in FIG. 10B.
  • Embodiment 4
  • In Embodiment 4, an etching apparatus adopting the present invention will be described with reference to FIGS. 15A and 15B. In this apparatus, a radial line slot antenna (RLSA) is used as a microwave supply unit.
  • In FIGS. 15A and 15B, reference numerals 700 and 704 denote an RLSA and a microwave-transmittable dielectric, respectively. Plasma is generated between the antenna 700 and electrodes 708, 709 by supplying a microwave from the antenna 700, thereby etching a material film to be etched, which is provided on a substrate 707 to be treated. A predetermined reaction gas is introduced into a chamber 701 from a gas supply system 702, and exhausted from a gas exhaust system 703.
  • The substrate 707 is disposed on a second electrode (lower electrode) composed of a plurality of electrodes 708 a to 708 d, and 709. The electrode 708 a disposed below a corner portion of the substrate 707 is connected to a high-frequency power source 710, and the electrode 709 disposed below a central portion of the substrate 707 is connected to a high-frequency power source 711. The electrode 708 c is connected to a high-frequency power source 712. Although not shown, the electrodes 708 b and 708 d are also connected to high-frequency power sources, respectively. The cross-sectional view shown in FIG. 15A is taken along an alternate long and short dash line A-A′ shown in FIG. 15B. Thus, in the etching apparatus shown in FIG. 15, high-frequency power sources 710 to 712 are connected to a plurality of electrodes constituting the second electrode, respectively.
  • According to the above-mentioned structure, variations in etching in a substrate surface can be reduced, and in particular, etching defects at corner portions of the substrate can be reduced. Furthermore, according to the above-mentioned structure, even if a substrate to be treated is enlarged, etching processing excellent in in-plane uniformity can be conducted.
  • A measurement apparatus (prober, voltage measurement equipment, oscilloscope, etc.) may be provided between the second electrode and the high-frequency power sources. Furthermore, a control portion for controlling a high-frequency power of each high-frequency power source based on the information obtained by the measurement apparatus may be provided.
  • The present embodiment can be combined with any of Embodiment Modes 1 and 2, and Embodiments 1 to 3.
  • Embodiment 5
  • In Embodiment 5, an exemplary liquid crystal display apparatus will be described with reference to FIGS. 16A and 16B, in which a gate wiring that also functions as a light-blocking film is provided below an n-channel TFT used in a pixel portion. FIG. 16B is a plan view showing one enlarged pixel in a pixel portion. FIG. 16A is a cross-sectional view taken along a broken line E-E′ in FIG. 16B.
  • In FIGS. 16A and 16B, reference numeral 801 denotes a substrate, 802 denotes gate wiring, 803 a and 803 b denote insulating films covering the gate wiring 802, 808 denotes a gate insulating film, 810 denotes a gate electrode, and 811 denotes a capacitance line. The gate wiring 802 also functions as a light-blocking layer that protects an active layer from light. The active layer is composed of regions 812 to 815. Reference numeral 812 denotes low-concentration impurity regions to be LDD regions, 813 denotes high-concentration impurity regions to be source regions or drain regions to which phosphorus is added in a high concentration, and 814 and 815 denote channel formation regions. The low-concentration impurity regions 812 are doped by a self-alignment manner, and are not overlapped with gate electrode 810.
  • In FIGS. 16A and 16B, reference numeral 816 denotes a passivation film, 817 denotes an interlayer insulating film made of an organic resin material, 818 denotes an electrode connecting pixel electrodes to the high-concentration impurity-regions, 819 denotes source lines, 820 denotes an interlayer insulating film made of acrylic resin, 821 denotes a light-blocking layer, 822 denotes an interlayer insulating film, and 823 and 824 denote pixel electrodes made of transparent conductive films.
  • The present invention can be applied to etching processing used for producing a pixel TFT shown in FIGS. 16A and 16B.
  • FIG. 17 shows another exemplary liquid crystal display apparatus, which is the same as that shown in FIGS. 16A and 16B except for the structure of a gate electrode and that of an active layer. Thus, the description of the components other than the gate electrode and the active layer will be omitted here.
  • In FIG. 17, an active layer is composed of high-concentration impurity regions 913, low-concentration impurity regions 912, and channel formation regions 914 and 915. In FIG. 17, gate electrodes 910 and a capacitance line 911 are tapered. Phosphorus is doped by passing through the taper portions at a time of doping, whereby the low-concentration impurity regions 912 are formed. Therefore, the low-concentration impurity regions 912 are partially overlapped with the gate electrodes 910.
  • The present embodiment can be combined with any of Embodiment Modes 1 and 2, and Embodiments 1 to 3.
  • The present invention exemplified in Embodiment Modes 1 and 2 can be applied to etching processing used for producing the pixel TFT shown in FIGS. 16A and 16B, for example, for forming a tapered gate electrode and for forming a contact hole. According to the present invention, even if a substrate to be treated is enlarged, etching processing excellent in in-plane uniformity with high precision can be conducted without causing etching defects at corner portions of the substrate to be treated.
  • Embodiment 6
  • The driver circuit and the pixel portion fabricated by implementing the present invention can be utilized for various devices (active matrix liquid crystal display, active matrix EL module and active matrix EC display). The present invention can be applied to etching processing used for producing a TFT in the pixel portion or a TFT in the driving circuit, for example, for forming a tapered gate electrode and for forming a contact hole. Namely, the present invention can be implemented onto all of the electronic devices that incorporate such devices
  • Following can be given as such electronic devices: video cameras; digital cameras; head mounted displays (goggle type displays); car navigation systems; projectors (rear type or front type); car stereo; personal computers; portable information terminals (mobile computers, portable telephones or electronic books etc.) etc. Examples of these are shown in FIGS. 18 to 20.
  • FIG. 18A is a personal computer which comprises: a main body 2001; an image input section 2002; a display section 2003; and a keyboard 2004.
  • FIG. 18B is a video camera which comprises: a main body 2101; a display section 2102; a voice input section 2103; operation switches 2104; a battery 2105 and an image receiving section 2106.
  • FIG. 18C is a mobile computer which comprises: a main body 2201; a camera section 2202; an image receiving section 2203; operation switches 2204 and a display section 2205.
  • FIG. 18D is a goggle type display, which comprises: a main body 2301; a display section 2302; and an arm section 2303.
  • FIG. 18E is a player using a recording medium which records a program (hereinafter referred to as a recording medium) which comprises: a main body 2401; a display section 2402; a speaker section 2403; a recording medium 2404; and operation switches 2405. This device uses DVD (digital versatile disc), CD, etc. for the recording medium, and can perform music appreciation, film appreciation, games and the use for Internet.
  • FIG. 18F is a digital camera which comprises: a main body 2501; a display portion 2502; a view finder 2503; operation switches 2504; and an image receiving section (not shown in the figure).
  • FIG. 19A is a front type projector which comprises: a projection system 2601; and a screen 2602. The present invention can be applied to the manufacturing method of the liquid crystal display device 2808 which forms a part of the projection system 2601.
  • FIG. 19B is a rear type projector which comprises: a main body 2701; a projection system 2702; a mirror 2703; and a screen 2704.
  • FIG. 19C is a diagram which shows an example of the structure of a projection system 2601 and 2702 in FIGS. 19A and 19B. Projection systems 2601 and 2702 comprise: an optical light source system 2801; mirrors 2802 and 2804 to 2806; a dichroic mirror 2803; a prism 2807; a liquid crystal display device 2808; a phase differentiating plate 2809; and a projection optical system 2810. The projection optical system 2810 comprises an optical system having a projection lens. Though the present embodiment shows an example of 3-plate type, this is not to limit to this example and a single plate type may be used for instance. Further, an operator may appropriately dispose an optical lens, a film which has a function to polarize light, a film which adjusts a phase difference or an IR film, etc in the optical path shown by an arrow in FIG. 19C.
  • FIG. 19D is a diagram showing an example of a structure of an optical light source system 2801 in FIG. 19C. In the present embodiment the optical light source system 2801 comprises: a reflector 2811; a light source 2812; lens arrays 2813 and 2814; a polarizer conversion element 2815; and a condensing lens 2816. Note that the optical light source system shown in FIG. 19D is merely an example and the structure is not limited to this example. For instance, an operator may appropriately dispose an optical lens, a film that has a function to polarize light, a film that adjusts a phase difference or an IR film, etc.
  • Note that the projectors shown FIG. 19 are the cases of using transmission type electro-optical devices, and applicable examples of a reflection type electro-optical device and an EL module device are not shown.
  • FIG. 20A is a portable telephone which comprises: a main body 2901; a voice output section 2902; a voice input section 2903; a display section 2904; operation switches 2905; an antenna 2906 an image input portion (CCD, image sensor etc.) 2907 etc.
  • FIG. 20B is a portable book (electronic book) which comprises: a main body 3001; display sections 3002 and 3003; a recording medium 3004; operation switches 3005 and an antenna 3006 etc.
  • FIG. 20C is a display which comprises: a main body 3101; a supporting section 3102; and a display section 3103 etc. The present invention can be applied to the display section 3103. The display of the present invention is advantageous specifically when large sized, and it is advantageous in a display having a diagonal exceeding 10 inches (specifically exceeding 30 inches).
  • As described above, the applicable range of the present invention is very large, and the invention can be applied to electronic devices of various areas. Note that the electronic devices of the present embodiment can be achieved by utilizing any combination of constitutions in Embodiments 1 to 5.
  • According to the present invention, even if a substrate to be treated is enlarged, etching processing excellent in in-plane uniformity with high precision can be conducted without causing etching defects at corner portions of the substrate to be treated. Therefore, the dry etching apparatus of the present invention is suitable for large apparatuses to be mass-produced.
  • Furthermore, according to the present invention, in the case of etching a thin film to be treated or in the case of conducting etching processing with a low selection ratio, partial overetching that has been caused in the prior art can be suppressed, which is effective.
  • Furthermore, according to the present invention, even if a substrate to be treated is enlarged, the shape of semiconductor layers and that of contact holes can be made uniform over the entire surface of a substrate.
  • Furthermore, according to the present invention, in the case where a taper angle of a taper portion of the wiring is controlled by etching, a uniform taper angle can be obtained over the entire substrate.

Claims (15)

1. A dry etching apparatus comprising:
a first electrode;
an evacuable chamber;
a plurality of second electrodes in said chamber, said plurality of second electrode being independent from each other; and
a plurality of high-power sources,
wherein said high-frequency power sources are independently connected to each of said first electrode and said plurality of second electrodes, and
wherein a material film on a substrate disposed on said plurality of second electrodes is etched by plasma generated between said first electrode and said plurality of second electrodes.
2. A dry etching apparatus according to claim 1, wherein said plurality of second electrodes comprises an electrode disposed below a central portion of said substrate, and electrodes disposed below corner portions of said substrate.
3. A dry etching apparatus according to claim 2, wherein an area of said electrode disposed below said central portion of said substrate is larger than that of said electrodes disposed below the corner portions of said substrate.
4. A dry etching apparatus according to claim 1, wherein said plurality of second electrodes have the same shape and size.
5. A dry etching apparatus according to claim 2, wherein among said plurality of second electrodes, a high-frequency power applied to said electrode disposed below said central portion of said substrate is different from that applied to said electrodes disposed below corner portions of said substrate.
6. A dry etching apparatus according to claim 2, wherein among said plurality of second electrodes, a frequency of a high-frequency power applied to said electrode disposed below said central portion of said substrate is the same as that of a high-frequency power applied to said electrodes disposed below corner portions of said substrate.
7. A dry etching apparatus according to any one of claims 1, wherein said substrate has an area of 0.3 m2 or more.
8. A dry etching apparatus comprising:
a first electrode formed of a plane coil;
an evacuable chamber;
a plurality of second electrodes in said chamber, said plurality of second electrode being independent from each other;
a first high-power source connected to said first electrode; and
a plurality of second high-power sources independently connected to each of said plurality of second electrode,
wherein said material film on a substrate disposed on said plurality of second electrodes is etched using plasma generated by application of an AC electric field between said first electrode and said plurality of second electrodes.
9. A dry etching apparatus according to claim 8, wherein said plurality of second electrodes comprises an electrode disposed below a central portion of said substrate, and electrodes disposed below corner portions of said substrate.
10. A dry etching apparatus according to claim 9, wherein an area of said electrode disposed below said central portion of said substrate is larger than that of said electrodes disposed below the corner portions of said substrate.
11. A dry etching apparatus according to claim 8, wherein said plurality of second electrodes have the same shape and size.
12. A dry etching apparatus according to claim 9, wherein among said plurality of second electrodes, a high-frequency power applied to said electrode disposed below said central portion of said substrate is different from that applied to said electrodes disposed below corner portions of said substrate.
13. A dry etching apparatus according to claim 9, wherein among said plurality of second electrodes, a frequency of a high-frequency power applied to said electrode disposed below said central portion of said substrate is the same as that of a high-frequency power applied to said electrodes disposed below corner portions of said substrate.
14. A dry etching apparatus according to any one of claims 8, wherein said substrate has an area of 0.3 m2 or more.
15-25. (canceled)
US11/203,281 2000-10-04 2005-08-15 Dry etching apparatus, etching method, and method of forming a wiring Abandoned US20060048894A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/203,281 US20060048894A1 (en) 2000-10-04 2005-08-15 Dry etching apparatus, etching method, and method of forming a wiring

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2000-305564 2000-10-04
JP2000305564 2000-10-04
JP2001289534A JP4717295B2 (en) 2000-10-04 2001-09-21 Dry etching apparatus and etching method
JP2001-289534 2001-09-21
US09/966,689 US6930047B2 (en) 2000-10-04 2001-09-27 Dry etching apparatus, etching method, and method of forming a wiring
US11/203,281 US20060048894A1 (en) 2000-10-04 2005-08-15 Dry etching apparatus, etching method, and method of forming a wiring

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/966,689 Division US6930047B2 (en) 2000-10-04 2001-09-27 Dry etching apparatus, etching method, and method of forming a wiring

Publications (1)

Publication Number Publication Date
US20060048894A1 true US20060048894A1 (en) 2006-03-09

Family

ID=26601571

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/966,689 Expired - Lifetime US6930047B2 (en) 2000-10-04 2001-09-27 Dry etching apparatus, etching method, and method of forming a wiring
US11/203,281 Abandoned US20060048894A1 (en) 2000-10-04 2005-08-15 Dry etching apparatus, etching method, and method of forming a wiring

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/966,689 Expired - Lifetime US6930047B2 (en) 2000-10-04 2001-09-27 Dry etching apparatus, etching method, and method of forming a wiring

Country Status (2)

Country Link
US (2) US6930047B2 (en)
JP (1) JP4717295B2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238488A1 (en) * 2003-05-27 2004-12-02 Choi Chang Won Wafer edge etching apparatus and method
US20050230838A1 (en) * 2001-07-27 2005-10-20 Semiconductor Energy Laboratory Co., Ltd. Metal wiring and method of manufacturing the same, and metal wiring substrate and method of manufacturing the same
US20060169673A1 (en) * 2002-02-06 2006-08-03 Tomohiro Okumura Plasma processing method and apparatus
WO2008100314A1 (en) * 2007-02-15 2008-08-21 Applied Materials, Inc. System and method for chemical vapor deposition process control
US20090104781A1 (en) * 2003-02-07 2009-04-23 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US20100081287A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Dry etching method
US8277389B2 (en) 2005-06-01 2012-10-02 Cardiac Pacemakers, Inc. Sensing rate of change of pressure in the left ventricle with an implanted device
US8972002B2 (en) 2005-06-01 2015-03-03 Cardiac Pacemakers, Inc. Remote closed-loop titration of decongestive therapy for the treatment of advanced heart failure
JP2021524659A (en) * 2018-07-27 2021-09-13 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. Spatial variable wafer bias power system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11227745B2 (en) 2018-08-10 2022-01-18 Eagle Harbor Technologies, Inc. Plasma sheath control for RF plasma reactors
US11404246B2 (en) 2019-11-15 2022-08-02 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation with correction
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11670484B2 (en) 2018-11-30 2023-06-06 Eagle Harbor Technologies, Inc. Variable output impedance RF generator

Families Citing this family (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6850080B2 (en) * 2001-03-19 2005-02-01 Semiconductor Energy Laboratory Co., Ltd. Inspection method and inspection apparatus
SG117406A1 (en) * 2001-03-19 2005-12-29 Miconductor Energy Lab Co Ltd Method of manufacturing a semiconductor device
KR20030038837A (en) * 2001-11-06 2003-05-17 피티플러스(주) A Crystalline Silicon Thin Film Transistor Panel for LCD and Fabrication Method Thereof
KR100447891B1 (en) * 2002-03-04 2004-09-08 강효상 Dry Etching Method For Wafer
JP4519512B2 (en) * 2004-04-28 2010-08-04 株式会社半導体エネルギー研究所 Manufacturing method and removal method of semiconductor device
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7615164B2 (en) * 2004-06-23 2009-11-10 Micron Technology, Inc. Plasma etching methods and contact opening forming methods
US7453258B2 (en) * 2004-09-09 2008-11-18 Formfactor, Inc. Method and apparatus for remotely buffering test channels
JP4701691B2 (en) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 Etching method
US7547627B2 (en) * 2004-11-29 2009-06-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2006165246A (en) * 2004-12-07 2006-06-22 Tokyo Electron Ltd Plasma etching method
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
JP5048346B2 (en) * 2007-01-16 2012-10-17 株式会社アルバック Vacuum processing equipment
JP5162284B2 (en) * 2008-03-12 2013-03-13 日本碍子株式会社 Plasma generator
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8395156B2 (en) 2009-11-24 2013-03-12 Semiconductor Energy Laboratory Co., Ltd. Display device
DE202010001497U1 (en) * 2010-01-29 2010-04-22 Hauzer Techno-Coating B.V. Coating device with a HIPIMS power source
JP2010166092A (en) * 2010-04-15 2010-07-29 Tokyo Electron Ltd Method for plasma etching
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5709505B2 (en) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and storage medium
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5819154B2 (en) 2011-10-06 2015-11-18 株式会社日立ハイテクノロジーズ Plasma etching equipment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130153415A1 (en) * 2011-12-14 2013-06-20 Intermolecular, Inc. Combinatorial RF Biasing for Selectable Spot-Site Isolation
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10062493B2 (en) * 2013-11-26 2018-08-28 Samsung Electro-Mechanics Co., Ltd. Electronic component and circuit board having the same mounted thereon
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6356516B2 (en) * 2014-07-22 2018-07-11 東芝メモリ株式会社 Plasma processing apparatus and plasma processing method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6925202B2 (en) * 2017-08-30 2021-08-25 東京エレクトロン株式会社 Etching method and etching equipment
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US20190157048A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and method for forming semiconductor device structure
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP6997642B2 (en) * 2018-01-30 2022-01-17 株式会社日立ハイテク Plasma processing equipment and plasma processing method
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3461054A (en) * 1966-03-24 1969-08-12 Bell Telephone Labor Inc Cathodic sputtering from a cathodically biased target electrode having an rf potential superimposed on the cathodic bias
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
US4885074A (en) * 1987-02-24 1989-12-05 International Business Machines Corporation Plasma reactor having segmented electrodes
US5176534A (en) * 1990-10-31 1993-01-05 Jacques Nozick Low-current receptacle for prewiring a building
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5733511A (en) * 1994-06-21 1998-03-31 The Boc Group, Inc. Power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5767017A (en) * 1995-12-21 1998-06-16 International Business Machines Corporation Selective removal of vertical portions of a film
US5824606A (en) * 1996-03-29 1998-10-20 Lam Research Corporation Methods and apparatuses for controlling phase difference in plasma processing systems
US5882007A (en) * 1997-08-22 1999-03-16 Gay; Dale A. Puck game system
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US5906948A (en) * 1998-04-17 1999-05-25 Vanguard International Semiconductor Corporation Method for etching high aspect-ratio multilevel contacts
US6093457A (en) * 1997-03-27 2000-07-25 Matsushita Electric Industrial Co., Ltd. Method for plasma processing
US6239403B1 (en) * 1995-06-30 2001-05-29 Lam Research Corporation Power segmented electrode
US6259106B1 (en) * 1999-01-06 2001-07-10 Etec Systems, Inc. Apparatus and method for controlling a beam shape
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US6423242B1 (en) * 1998-12-02 2002-07-23 Tokyo Electron Limited Etching method
US6431112B1 (en) * 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US20020159216A1 (en) * 2001-03-30 2002-10-31 Lam Research Corporation Vacuum plasma processor and method of operating same
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6515336B1 (en) * 1999-09-17 2003-02-04 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having tapered gate electrode and taped insulating film
US6534826B2 (en) * 1999-04-30 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6556702B1 (en) * 1999-01-06 2003-04-29 Applied Materials, Inc. Method and apparatus that determines charged particle beam shape codes

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3854792D1 (en) * 1987-02-24 1996-02-01 Ibm Plasma reactor
JPH0430728A (en) * 1990-05-28 1992-02-03 Katsuya Ito Artificial rainfall ii using solar heat
JP3085021B2 (en) * 1993-05-21 2000-09-04 株式会社日立製作所 Microwave plasma processing equipment
JPH0786238A (en) * 1993-06-29 1995-03-31 Kokusai Electric Co Ltd Electrode for plasma excitation
JPH07169745A (en) * 1993-12-16 1995-07-04 Sharp Corp Parallel plate type dry etching device
JPH08337887A (en) * 1995-06-12 1996-12-24 Hitachi Ltd Plasma treatment device
JPH10326772A (en) * 1997-05-26 1998-12-08 Ricoh Co Ltd Dry etching device
JP2000260598A (en) * 1999-03-12 2000-09-22 Sharp Corp Plasma generating device

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3461054A (en) * 1966-03-24 1969-08-12 Bell Telephone Labor Inc Cathodic sputtering from a cathodically biased target electrode having an rf potential superimposed on the cathodic bias
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
US4885074A (en) * 1987-02-24 1989-12-05 International Business Machines Corporation Plasma reactor having segmented electrodes
US5176534A (en) * 1990-10-31 1993-01-05 Jacques Nozick Low-current receptacle for prewiring a building
US5733511A (en) * 1994-06-21 1998-03-31 The Boc Group, Inc. Power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6239403B1 (en) * 1995-06-30 2001-05-29 Lam Research Corporation Power segmented electrode
US5767017A (en) * 1995-12-21 1998-06-16 International Business Machines Corporation Selective removal of vertical portions of a film
US5824606A (en) * 1996-03-29 1998-10-20 Lam Research Corporation Methods and apparatuses for controlling phase difference in plasma processing systems
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US6093457A (en) * 1997-03-27 2000-07-25 Matsushita Electric Industrial Co., Ltd. Method for plasma processing
US5882007A (en) * 1997-08-22 1999-03-16 Gay; Dale A. Puck game system
US5906948A (en) * 1998-04-17 1999-05-25 Vanguard International Semiconductor Corporation Method for etching high aspect-ratio multilevel contacts
US6423242B1 (en) * 1998-12-02 2002-07-23 Tokyo Electron Limited Etching method
US6259106B1 (en) * 1999-01-06 2001-07-10 Etec Systems, Inc. Apparatus and method for controlling a beam shape
US6556702B1 (en) * 1999-01-06 2003-04-29 Applied Materials, Inc. Method and apparatus that determines charged particle beam shape codes
US6534826B2 (en) * 1999-04-30 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6753257B2 (en) * 1999-04-30 2004-06-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6431112B1 (en) * 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6515336B1 (en) * 1999-09-17 2003-02-04 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having tapered gate electrode and taped insulating film
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US20020159216A1 (en) * 2001-03-30 2002-10-31 Lam Research Corporation Vacuum plasma processor and method of operating same

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8791513B2 (en) 2001-07-27 2014-07-29 Semiconductor Energy Laboratory Co., Ltd. Metal wiring and method of manufacturing the same, and metal wiring substrate and method of manufacturing the same
US10854636B2 (en) 2001-07-27 2020-12-01 Semiconductor Energy Laboratory Co., Ltd. Metal wiring and method of manufacturing the same, and metal wiring substrate and method of manufacturing the same
US9917107B2 (en) 2001-07-27 2018-03-13 Semiconductor Energy Laboratory Co., Ltd. Metal wiring and method of manufacturing the same, and metal wiring substrate and method of manufacturing the same
US9153352B2 (en) 2001-07-27 2015-10-06 Semiconductor Energy Laboratory Co., Ltd. Metal wiring and method of manufacturing the same, and metal wiring substrate and method of manufacturing the same
US7482274B2 (en) 2001-07-27 2009-01-27 Semiconductor Energy Laboratory Co., Ltd. Metal wiring and method of manufacturing the same, and metal wiring substrate and method of manufacturing the same
US20090148963A1 (en) * 2001-07-27 2009-06-11 Semiconductor Energy Laboratory Co., Ltd. Metal Wiring and Method of Manufacturing the Same, and Metal Wiring Substrate and Method of Manufacturing the Same
US20050230838A1 (en) * 2001-07-27 2005-10-20 Semiconductor Energy Laboratory Co., Ltd. Metal wiring and method of manufacturing the same, and metal wiring substrate and method of manufacturing the same
US8173478B2 (en) 2001-07-27 2012-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing metal wiring and method of manufacturing semiconductor device
US7510667B2 (en) * 2002-02-06 2009-03-31 Panasonic Corporation Plasma processing method and apparatus
US20060169673A1 (en) * 2002-02-06 2006-08-03 Tomohiro Okumura Plasma processing method and apparatus
US20090104781A1 (en) * 2003-02-07 2009-04-23 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US8043971B2 (en) 2003-02-07 2011-10-25 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US20040238488A1 (en) * 2003-05-27 2004-12-02 Choi Chang Won Wafer edge etching apparatus and method
US8535235B2 (en) 2005-06-01 2013-09-17 Cardiac Pacemakers, Inc. Sensing rate of change of pressure in the left ventricle with an implanted device
US8277389B2 (en) 2005-06-01 2012-10-02 Cardiac Pacemakers, Inc. Sensing rate of change of pressure in the left ventricle with an implanted device
US8972002B2 (en) 2005-06-01 2015-03-03 Cardiac Pacemakers, Inc. Remote closed-loop titration of decongestive therapy for the treatment of advanced heart failure
US8845544B2 (en) 2005-06-01 2014-09-30 Cardiac Pacemakers, Inc. Sensing rate of change of pressure in the left ventricle with an implanted device
US9950175B2 (en) 2005-06-01 2018-04-24 Cardiac Pacemakers, Inc. Remote closed-loop titration of decongestive therapy for the treatment of advanced heart failure
US20100098881A1 (en) * 2007-02-15 2010-04-22 Stowell Michael W System and Method for Chemical Vapor Deposition Process Control
WO2008100314A1 (en) * 2007-02-15 2008-08-21 Applied Materials, Inc. System and method for chemical vapor deposition process control
US20100081287A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Dry etching method
JP2021524659A (en) * 2018-07-27 2021-09-13 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. Spatial variable wafer bias power system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11587768B2 (en) 2018-07-27 2023-02-21 Eagle Harbor Technologies, Inc. Nanosecond pulser thermal management
US11227745B2 (en) 2018-08-10 2022-01-18 Eagle Harbor Technologies, Inc. Plasma sheath control for RF plasma reactors
US11670484B2 (en) 2018-11-30 2023-06-06 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11404246B2 (en) 2019-11-15 2022-08-02 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation with correction
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems

Also Published As

Publication number Publication date
JP4717295B2 (en) 2011-07-06
US6930047B2 (en) 2005-08-16
US20020125213A1 (en) 2002-09-12
JP2002190472A (en) 2002-07-05

Similar Documents

Publication Publication Date Title
US6930047B2 (en) Dry etching apparatus, etching method, and method of forming a wiring
US20210082967A1 (en) Metal Wiring and Method of Manufacturing the Same, and Metal Wiring Substrate and Method of Manufacturing the Same
US6809339B2 (en) Semiconductor device and method for manufacturing same
US7498212B2 (en) Laser annealing method and semiconductor device fabricating method
JP5072157B2 (en) Method for manufacturing semiconductor device
US6639244B1 (en) Semiconductor device and method of fabricating the same
US6707068B2 (en) Semiconductor device and method of manufacturing the same
US6674136B1 (en) Semiconductor device having driver circuit and pixel section provided over same substrate
US7709894B2 (en) Semiconductor device including a transistor with a gate electrode having a taper portion
WO2007011061A1 (en) Semiconductor device
JP2003152086A (en) Semiconductor device
JP2001144302A (en) Semiconductor device, manufacturing method for the same, and electronic device
US6261971B1 (en) Method of manufacturing a semiconductor device by thermal oxidation of amorphous semiconductor film
JP5046439B2 (en) Method for manufacturing semiconductor device
JP5292453B2 (en) Method for manufacturing semiconductor device
JP2002064107A (en) Method of manufacturing semiconductor
US7732815B2 (en) Semiconductor thin film, thin film transistor, method of manufacturing the semiconductor thin film, method of manufacturing the thin film transistor, and manufacturing device of semiconductor thin film
JP2003309116A (en) Manufacturing method of semiconductor device
JP2020074442A (en) Semiconductor device
JP2002057165A (en) Manufacturing method of semiconductor device
JP2002359251A (en) Method for manufacturing semiconductor device
JP2001345454A (en) Semiconductor device and its manufacturing method
JP2002184694A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMICONDUCTOR ENERGY LABORATORY CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUZAWA, HIDEOMI;YAMAZAKI, SHUNPEI;REEL/FRAME:016895/0170

Effective date: 20010919

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION