US20060057945A1 - Chemical mechanical polishing process - Google Patents

Chemical mechanical polishing process Download PDF

Info

Publication number
US20060057945A1
US20060057945A1 US10/904,251 US90425104A US2006057945A1 US 20060057945 A1 US20060057945 A1 US 20060057945A1 US 90425104 A US90425104 A US 90425104A US 2006057945 A1 US2006057945 A1 US 2006057945A1
Authority
US
United States
Prior art keywords
substrate
platen
polishing
slurry
chemical mechanical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/904,251
Inventor
Chia-Lin Hsu
Teng-Chun Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/711,392 external-priority patent/US7025661B2/en
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US10/904,251 priority Critical patent/US20060057945A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSU, CHIA-LIN, TSAI, TENG-CHUN
Publication of US20060057945A1 publication Critical patent/US20060057945A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Definitions

  • the present invention relates generally to the field of semiconductor manufacturing, and more particularly, to a copper/barrier chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • CMP chemical mechanical polishing
  • a wafer is placed face down on a rotating platen.
  • the wafer held in place by a carrier or polishing head, independently rotates about its own axis on the platen.
  • the head is a floating polishing head with a flexible membrane.
  • polishing pad On the surface of the platen is a polishing pad over which there is dispensed a layer of aqueous polishing slurry.
  • the slurry chemistry which is essential to proper polishing, consists of a colloidal solution of silica particles in a carrier solution.
  • Copper damascene or copper dual damascene technique combined with copper CMP are known in the art.
  • a dielectric layer is deposited onto a substrate, patterned, and etched back such that trenches, vias, and other recessed regions etched into the dielectric layer represent the desired metal interconnection pattern.
  • Barriers and copper are then sequentially deposited/sputtered over the entire surface of the device, filling the recessed regions and blanketing the surface of the dielectric layer.
  • the bulk copper layer and barrier layer are then polished back to a degree such that the Cu/barrier structure becomes electrically isolated within the recessed regions etched out of the dielectric material.
  • the aforesaid bulk copper layer is not removed or buffed off in one step, but usually in two steps with different removal rates, according to the prior art.
  • FIG. 1 depicts a cross-sectional view of a semiconductor wafer 10 having a typical dual damascene structure therein.
  • the dual damascene structure formed within a dielectric layer 20 is composed of via hole 22 and trench 23 .
  • a conductive layer or an underlying device 14 is formed in a dielectric layer 12 beneath via hole 22 .
  • a chemical mechanical polished copper layer 24 fills the trench 23 and via hole 22 .
  • a barrier layer 25 is disposed on interior surface of the dual damascene structure to avoid copper diffusion, which usually causes a leakage current.
  • U.S. Pat. No. 6,573,173 to Farkas et al. discloses a method for forming a copper interconnection using a multi-platen CMP process.
  • the method taught in this patent includes the steps of (1) forming an interconnect material comprising a top bulk metal layer and a lower barrier layer over a semiconductor substrate; (2) polishing an upper portion of the bulk metal layer at a first removal rate (at least 1000 angstroms per minute) using a first platen (ex. IC 1000 or IC 1010 from Rodel); (3) polishing remaining lower portion ( ⁇ 2000 angstroms) of the bulk metal layer using a second platen at a second removal rate that is smaller than the first removal rate; and (4) polishing the barrier layer using a third platen.
  • the second platen is different from the first platen.
  • the above-described prior art copper CMP process has shortcomings.
  • a computer coupled to the CMP tool for monitoring polishing times of Step 1 and Step 2 is thus required to achieve the purpose of balancing the polishing times between the two polishing steps.
  • the use of high down force in Step 1 might cause crushing or scratching of the underlying porous low-k dielectrics.
  • a high-throughput chemical mechanical polishing process utilizing a two-platen system is disclosed.
  • a substrate having thereon a top bulk metal layer and a lower barrier layer is prepared.
  • the top bulk metal layer is polished at a substantial constant removal rate to expose the barrier layer by utilizing a first platen and first slurry being selective to the barrier layer.
  • the exposed barrier layer is then polished by a second platen and second slurry.
  • the first slurry has a copper to barrier polishing selectivity of greater than 30, preferably above 100.
  • a high-throughput chemical mechanical polishing process utilizing a three-platen system is disclosed.
  • a first substrate and second substrate both having thereon a top bulk metal layer and a lower barrier layer are prepared.
  • the first substrate is first loaded onto a first platen of a CMP tool, and then an upper portion of the top bulk metal layer of the first substrate is removed by first platen and first slurry.
  • the first substrate is then transferred to a second platen having second slurry.
  • the second substrate is loaded onto the first platen. Simultaneously, the remaining top bulk metal layer of the first substrate and an upper portion of top bulk metal layer of the second substrate are removed at substantially the same copper removal rate until the lower barrier layer of the first substrate is exposed.
  • the first substrate is transferred to a third platen having third slurry for polishing the exposed barrier layer.
  • FIG. 1 is a schematic diagram illustrating an enlarged cross-sectional view of a portion of a semiconductor wafer having a typical dual damascene structure therein;
  • FIG. 2 is a schematic diagram illustrating a cross section of a substrate disposed thereon a top bulk copper layer and a lower barrier layer prior to the performance of the present invention CMP method;
  • FIG. 3 is a flow chart showing the salient steps of the CMP process in accordance with this invention.
  • FIG. 4 and FIG. 5 are schematic cross-sectional diagrams illustrating the interconnect structures represented at various stages of processing in accordance with FIG. 3 ;
  • FIG. 6 is a flow chart showing the salient steps of the CMP process in accordance with another preferred embodiment of this invention.
  • the present invention is directed to a method of forming reliable interconnects of integrated circuits, more specifically to a copper/barrier chemical mechanical polishing process applied during the back end fabrication of integrated circuits with inlaid copper interconnects.
  • copper used hereinafter means copper or any suitable copper alloys known in the art unless otherwise indicated.
  • the advantages of this invention at least include an improved throughput and relatively lower cost at the stage of CMP.
  • a cross section of a substrate or semiconductor wafer 100 is demonstrated.
  • a top bulk copper layer 240 and a lower barrier layer 210 are disposed thereon prior to the performance of the present invention CMP method.
  • the barrier layer 210 is formed on the interior surface of a recessed damascene region 250 and on the uppermost surface areas 260 of the dielectric layer 200 .
  • the barrier layer 210 include tantalum, tantalum nitride, titanium, titanium nitride, nickel nitride or the like.
  • the dielectric layer 200 may comprise any suitable low-k dielectrics known in the art (dielectric constant k ⁇ 3), and a layer of capping material 202 laminated at its top.
  • the capping material 202 may be silicon carbide or silicon nitride, but not limited thereto.
  • the thickness of the top bulk copper layer 240 is preferably greater than 2000 angstroms.
  • the recessed damascene region 250 includes but not limited to a trench 230 and via 220 communicating with the trench 230 . It is understood that in another case a single damascene structure such as a single via or single trench may apply. Via 220 communicates with an underlying device 140 that is insulated by the dielectric layer 120 .
  • the underlying device 140 may be a metal interconnect. In another embodiment, the underlying device 140 may be, for example, a poly gate of a MOS device or a diffusion region implanted into a semiconductor body.
  • FIG. 3 is a flow chart showing the salient steps of the CMP process according to one preferred embodiment of this invention.
  • Step 310 the substrate 100 to be polished as set forth in FIG. 2 is loaded onto a carrier of a CMP tool that may be commercial available from Applied Materials, Inc.
  • the CMP tool may be a Mirra CMP system.
  • the CMP tool is equipped with two platens such as IC 1000 or IC 1010 CMP pad manufactured by Radel.
  • Step 320 the top bulk copper layer 240 of the substrate is polished using a first platen over which there is dispensed a first slurry that is selective to the underlying barrier layer 210 .
  • the copper removal rate in Step 320 is kept at a substantial constant in the range of about 3000 angstroms per minute to 12,000 angstroms per minute (high removal rate). By doing this, CMP throughput is improved.
  • the first slurry may be alumina-based slurry or silica-based slurry. Most importantly, the first slurry has a “copper to barrier selectivity” that is greater than 30, more preferably greater than 100.
  • the aforesaid “copper to barrier selectivity” is defined as a ratio of the removal rate of copper to that of barrier.
  • a typical down force ranging between 2 and 6 pounds per inch (psi) is applied between the wafer and the first platen.
  • psi pounds per inch
  • a higher down force or polishing pressure may be applied.
  • FIG. 4 demonstrates a schematic cross sectional view of the substrate 100 after the polishing step 320 . As shown in FIG. 4 , the top bulk copper layer 240 and any remaining copper residue on the barrier layer 210 are selectively removed.
  • Step 330 a subsequent wafer rinse process is optionally performed in order to avoid cross contamination.
  • the substrate or wafer 100 may be rinsed with deionized water or any suitable wet cleaning chemistry when in transfer between the first platen and the second platen.
  • the exposed barrier layer 210 is polished by using a second platen and second slurry.
  • the second slurry is preferably comprised of compositions capable of efficiently removing the barrier layer 210 at a relatively lower pressure ( ⁇ 1 psi). Such slurry may be available from Rodel. It would be advantageous to have selective removal of the barrier layer 210 to capping material 202 and to copper 240 in the second step polishing.
  • FIG. 5 demonstrates a schematic cross sectional view of the substrate 100 after the second polishing step 340 .
  • the barrier layer 210 is selectively removed, while the dishing of copper is minimized.
  • the wafer or substrate 100 is subjected to subsequent cleaning process.
  • FIG. 6 is a flow chart showing the steps of the CMP process according to another preferred embodiment of this invention.
  • a first substrate to be polished as set forth in FIG. 2 is loaded onto a first platen of a CMP tool that may be commercial available from Applied Materials, Inc. equipped with three platens (the first and second platens are for copper polish, and the third platen is for barrier polish).
  • Step 620 the upper portion of the bulk copper layer (as indicated by numeral number 240 in FIG. 2 ) of the first substrate is removed by the first platen over which there is dispensed first slurry.
  • the copper removal rate in Step 620 is kept at a substantial constant in the range of about 3000 angstroms per minute to 12,000 angstroms per minute or so (high removal rate).
  • the copper polish on the first platen is timed polish.
  • about half thickness of the bulk copper layer 240 is removed at the end point.
  • Step 630 the first substrate is transferred from the first platen to the second platen over which there is dispensed second slurry.
  • a second substrate as set forth in FIG. 2 is loaded onto the first platen.
  • an optional rinse step may be provided between the first platen and the second platens. After the two substrates are both in position, polish of the remaining bulk copper (interfacial copper polish) of the first substrate (on the second platen) and polish of the upper portion of the bulk copper of the second substrate (on the first platen) begin.
  • the interfacial copper polish of the first substrate on the second platen and the bulk copper polish of the second substrate on the first platen are carried out at substantially the same copper removal rate within the high speed range as stated supra.
  • the recipe of the first slurry and the recipe of the second slurry are substantially the same.
  • the slurry on the first or second platen must have a “copper to barrier selectivity” that is greater than 30, more preferably greater than 100.
  • the “copper to barrier selectivity” is defined as a ratio of the removal rate of copper to that of barrier.
  • the first platen and the second platen are the same according to this embodiment.
  • Step 640 the first substrate is polished on the second platen to a degree such that the barrier is exposed, and then the first substrate is transferred to the third platen over which there is dispensed third slurry that is different from the first or second slurry.
  • the second substrate is transferred to the second platen for interfacial copper polish following the previous steps of treating the first substrate, and a third substrate is now loaded onto the first platen.
  • the barrier of the first substrate is removed.
  • the interfacial copper polish of the second substrate on the second platen and the bulk copper polish of the third substrate on the first platen are carried out at substantially the same copper removal rate.
  • an optional rinse step may be provided between the second platen and the third platens.
  • a chemical mechanical polishing (CMP) process includes the following steps:
  • polishing conditions include polishing pressure, flow rate of slurry, rotation rate of platen, and rotation rate of wafer.
  • polishing conditions of the first polishing recipe are the same as those of the second polishing recipe.

Abstract

A first substrate and second substrate both having thereon a top bulk metal layer and a lower barrier layer are prepared. The first substrate is first loaded onto a first platen of a CMP tool, and then an upper portion of the top bulk metal layer of the first substrate is removed by first platen and first slurry. The first substrate is then transferred to a second platen having second slurry. The second substrate is loaded onto the first platen. Simultaneously, the remaining top bulk metal layer of the first substrate and an upper portion of top bulk metal layer of the second substrate are removed at substantially the same copper removal rate until the lower barrier layer of the first substrate is exposed. The first substrate is transferred to a third platen having third slurry for polishing the exposed barrier layer.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. patent application Ser. No. 10/711,392 filed Sep. 16, 2004.
  • BACKGROUND OF INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to the field of semiconductor manufacturing, and more particularly, to a copper/barrier chemical mechanical polishing (CMP) process.
  • 2. Description of the Prior Art
  • In the process of fabricating integrated circuits, it is necessary to periodically planarize the wafer surface. One technique for planarizing the surface of a wafer is chemical mechanical polishing (CMP). In CMP processing, a wafer is placed face down on a rotating platen. The wafer, held in place by a carrier or polishing head, independently rotates about its own axis on the platen. Typically, the head is a floating polishing head with a flexible membrane. On the surface of the platen is a polishing pad over which there is dispensed a layer of aqueous polishing slurry. Ordinarily, the slurry chemistry, which is essential to proper polishing, consists of a colloidal solution of silica particles in a carrier solution.
  • Copper damascene or copper dual damascene technique combined with copper CMP are known in the art. In a conventional copper dual damascene process, a dielectric layer is deposited onto a substrate, patterned, and etched back such that trenches, vias, and other recessed regions etched into the dielectric layer represent the desired metal interconnection pattern. Barriers and copper are then sequentially deposited/sputtered over the entire surface of the device, filling the recessed regions and blanketing the surface of the dielectric layer. The bulk copper layer and barrier layer are then polished back to a degree such that the Cu/barrier structure becomes electrically isolated within the recessed regions etched out of the dielectric material. For reliability concerns, the aforesaid bulk copper layer is not removed or buffed off in one step, but usually in two steps with different removal rates, according to the prior art.
  • FIG. 1 depicts a cross-sectional view of a semiconductor wafer 10 having a typical dual damascene structure therein. As shown in FIG. 1, the dual damascene structure formed within a dielectric layer 20 is composed of via hole 22 and trench 23. A conductive layer or an underlying device 14 is formed in a dielectric layer 12 beneath via hole 22. A chemical mechanical polished copper layer 24 fills the trench 23 and via hole 22. A barrier layer 25 is disposed on interior surface of the dual damascene structure to avoid copper diffusion, which usually causes a leakage current.
  • U.S. Pat. No. 6,573,173 to Farkas et al. discloses a method for forming a copper interconnection using a multi-platen CMP process. The method taught in this patent includes the steps of (1) forming an interconnect material comprising a top bulk metal layer and a lower barrier layer over a semiconductor substrate; (2) polishing an upper portion of the bulk metal layer at a first removal rate (at least 1000 angstroms per minute) using a first platen (ex. IC 1000 or IC 1010 from Rodel); (3) polishing remaining lower portion (<2000 angstroms) of the bulk metal layer using a second platen at a second removal rate that is smaller than the first removal rate; and (4) polishing the barrier layer using a third platen. According to this patent, the second platen is different from the first platen.
  • However, the above-described prior art copper CMP process has shortcomings. First, to avoid slurry cross-contamination, a wet cleaning process is recommended and is performed at a station located between the first platen and the second platen. Secondly, in order to maximize the throughput of the system, it is important to keep the polishing time of Step 1 approximately equal to the polishing time of Step 2. A computer coupled to the CMP tool for monitoring polishing times of Step 1 and Step 2 is thus required to achieve the purpose of balancing the polishing times between the two polishing steps. Further, the use of high down force in Step 1 might cause crushing or scratching of the underlying porous low-k dielectrics.
  • In light of the foregoing, there is a constant need in this industry to provide an improved copper CMP process with sufficient reliability and higher throughput.
  • SUMMARY OF INVENTION
  • It is a primary objective of the present invention to provide an improved copper/barrier CMP process to solve the above-described prior art problems.
  • According to the claimed invention, a high-throughput chemical mechanical polishing process utilizing a two-platen system is disclosed. A substrate having thereon a top bulk metal layer and a lower barrier layer is prepared. The top bulk metal layer is polished at a substantial constant removal rate to expose the barrier layer by utilizing a first platen and first slurry being selective to the barrier layer. The exposed barrier layer is then polished by a second platen and second slurry. The first slurry has a copper to barrier polishing selectivity of greater than 30, preferably above 100.
  • In accordance with another preferred embodiment of the present invention, a high-throughput chemical mechanical polishing process utilizing a three-platen system is disclosed. A first substrate and second substrate both having thereon a top bulk metal layer and a lower barrier layer are prepared. The first substrate is first loaded onto a first platen of a CMP tool, and then an upper portion of the top bulk metal layer of the first substrate is removed by first platen and first slurry. The first substrate is then transferred to a second platen having second slurry. The second substrate is loaded onto the first platen. Simultaneously, the remaining top bulk metal layer of the first substrate and an upper portion of top bulk metal layer of the second substrate are removed at substantially the same copper removal rate until the lower barrier layer of the first substrate is exposed. The first substrate is transferred to a third platen having third slurry for polishing the exposed barrier layer.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention. In the drawings:
  • FIG. 1 is a schematic diagram illustrating an enlarged cross-sectional view of a portion of a semiconductor wafer having a typical dual damascene structure therein;
  • FIG. 2 is a schematic diagram illustrating a cross section of a substrate disposed thereon a top bulk copper layer and a lower barrier layer prior to the performance of the present invention CMP method;
  • FIG. 3 is a flow chart showing the salient steps of the CMP process in accordance with this invention;
  • FIG. 4 and FIG. 5 are schematic cross-sectional diagrams illustrating the interconnect structures represented at various stages of processing in accordance with FIG. 3; and
  • FIG. 6 is a flow chart showing the salient steps of the CMP process in accordance with another preferred embodiment of this invention.
  • DETAILED DESCRIPTION
  • The present invention is directed to a method of forming reliable interconnects of integrated circuits, more specifically to a copper/barrier chemical mechanical polishing process applied during the back end fabrication of integrated circuits with inlaid copper interconnects. It is to be understood that the term “copper” used hereinafter means copper or any suitable copper alloys known in the art unless otherwise indicated. The advantages of this invention at least include an improved throughput and relatively lower cost at the stage of CMP.
  • For the purpose of explanation, referring initially to FIG. 2, a cross section of a substrate or semiconductor wafer 100 is demonstrated. As indicated, a top bulk copper layer 240 and a lower barrier layer 210 are disposed thereon prior to the performance of the present invention CMP method. As shown in FIG. 2, the barrier layer 210 is formed on the interior surface of a recessed damascene region 250 and on the uppermost surface areas 260 of the dielectric layer 200. Examples of the barrier layer 210 include tantalum, tantalum nitride, titanium, titanium nitride, nickel nitride or the like. The dielectric layer 200 may comprise any suitable low-k dielectrics known in the art (dielectric constant k<3), and a layer of capping material 202 laminated at its top. The capping material 202 may be silicon carbide or silicon nitride, but not limited thereto. The thickness of the top bulk copper layer 240 is preferably greater than 2000 angstroms.
  • The recessed damascene region 250 includes but not limited to a trench 230 and via 220 communicating with the trench 230. It is understood that in another case a single damascene structure such as a single via or single trench may apply. Via 220 communicates with an underlying device 140 that is insulated by the dielectric layer 120. The underlying device 140 may be a metal interconnect. In another embodiment, the underlying device 140 may be, for example, a poly gate of a MOS device or a diffusion region implanted into a semiconductor body.
  • Please refer to FIG. 3 and briefly back to FIG. 2. FIG. 3 is a flow chart showing the salient steps of the CMP process according to one preferred embodiment of this invention. In Step 310, the substrate 100 to be polished as set forth in FIG. 2 is loaded onto a carrier of a CMP tool that may be commercial available from Applied Materials, Inc. For example, the CMP tool may be a Mirra CMP system. According to this embodiment, the CMP tool is equipped with two platens such as IC 1000 or IC 1010 CMP pad manufactured by Radel.
  • Next, in Step 320, the top bulk copper layer 240 of the substrate is polished using a first platen over which there is dispensed a first slurry that is selective to the underlying barrier layer 210. It is worthy noted that the copper removal rate in Step 320 is kept at a substantial constant in the range of about 3000 angstroms per minute to 12,000 angstroms per minute (high removal rate). By doing this, CMP throughput is improved. The first slurry may be alumina-based slurry or silica-based slurry. Most importantly, the first slurry has a “copper to barrier selectivity” that is greater than 30, more preferably greater than 100. The aforesaid “copper to barrier selectivity” is defined as a ratio of the removal rate of copper to that of barrier. A typical down force ranging between 2 and 6 pounds per inch (psi) is applied between the wafer and the first platen. However, since high-selectivity slurry is used in Step 320, a higher down force or polishing pressure may be applied.
  • FIG. 4 demonstrates a schematic cross sectional view of the substrate 100 after the polishing step 320. As shown in FIG. 4, the top bulk copper layer 240 and any remaining copper residue on the barrier layer 210 are selectively removed.
  • Still referring to FIG. 3, in Step 330, a subsequent wafer rinse process is optionally performed in order to avoid cross contamination. Upon removal from the first platen, the substrate or wafer 100 may be rinsed with deionized water or any suitable wet cleaning chemistry when in transfer between the first platen and the second platen.
  • In Step 340, the exposed barrier layer 210 is polished by using a second platen and second slurry. The second slurry is preferably comprised of compositions capable of efficiently removing the barrier layer 210 at a relatively lower pressure (˜1 psi). Such slurry may be available from Rodel. It would be advantageous to have selective removal of the barrier layer 210 to capping material 202 and to copper 240 in the second step polishing. FIG. 5 demonstrates a schematic cross sectional view of the substrate 100 after the second polishing step 340. The barrier layer 210 is selectively removed, while the dishing of copper is minimized. In Step 350, the wafer or substrate 100 is subjected to subsequent cleaning process.
  • FIG. 6 is a flow chart showing the steps of the CMP process according to another preferred embodiment of this invention. First, as indicated in Step 610, a first substrate to be polished as set forth in FIG. 2 is loaded onto a first platen of a CMP tool that may be commercial available from Applied Materials, Inc. equipped with three platens (the first and second platens are for copper polish, and the third platen is for barrier polish).
  • Next, in Step 620, the upper portion of the bulk copper layer (as indicated by numeral number 240 in FIG. 2) of the first substrate is removed by the first platen over which there is dispensed first slurry. Preferably, the copper removal rate in Step 620 is kept at a substantial constant in the range of about 3000 angstroms per minute to 12,000 angstroms per minute or so (high removal rate). The copper polish on the first platen is timed polish. Preferably, about half thickness of the bulk copper layer 240 is removed at the end point.
  • Next, in Step 630, the first substrate is transferred from the first platen to the second platen over which there is dispensed second slurry. Simultaneously, a second substrate as set forth in FIG. 2 is loaded onto the first platen. Between the first platen and the second platens, an optional rinse step may be provided. After the two substrates are both in position, polish of the remaining bulk copper (interfacial copper polish) of the first substrate (on the second platen) and polish of the upper portion of the bulk copper of the second substrate (on the first platen) begin. It is a salient feature of the present invention that the interfacial copper polish of the first substrate on the second platen and the bulk copper polish of the second substrate on the first platen are carried out at substantially the same copper removal rate within the high speed range as stated supra.
  • Preferably, the recipe of the first slurry and the recipe of the second slurry are substantially the same. The slurry on the first or second platen must have a “copper to barrier selectivity” that is greater than 30, more preferably greater than 100. As aforementioned, the “copper to barrier selectivity” is defined as a ratio of the removal rate of copper to that of barrier. Further, the first platen and the second platen are the same according to this embodiment.
  • In Step 640, the first substrate is polished on the second platen to a degree such that the barrier is exposed, and then the first substrate is transferred to the third platen over which there is dispensed third slurry that is different from the first or second slurry. At the same time, the second substrate is transferred to the second platen for interfacial copper polish following the previous steps of treating the first substrate, and a third substrate is now loaded onto the first platen. On the third platen, as indicated in Step 660, the barrier of the first substrate is removed. The interfacial copper polish of the second substrate on the second platen and the bulk copper polish of the third substrate on the first platen are carried out at substantially the same copper removal rate. Between the second platen and the third platens, an optional rinse step may be provided.
  • In accordance with another embodiment of this invention, a chemical mechanical polishing (CMP) process includes the following steps:
  • (1) Providing a first substrate and second substrate both having thereon a top bulk metal layer and a lower barrier layer.
  • (2) Loading the first substrate onto a first platen of a CMP tool, and polishing an upper portion of the top bulk metal layer of the first substrate on the first platen with a first polishing recipe setting a plurality of polishing conditions.
  • (3) Transferring the first substrate to a second platen and loading the second substrate onto the first platen.
  • (4) Simultaneously polishing remaining top bulk metal layer of the first substrate and polishing an upper portion of top bulk metal layer of the second substrate with a second polishing recipe substantially the same as the first polishing recipe until the lower barrier layer of the first substrate is exposed.
  • (5) Transferring the first substrate to a third platen having third slurry for polishing the exposed barrier layer.
  • The aforesaid polishing conditions include polishing pressure, flow rate of slurry, rotation rate of platen, and rotation rate of wafer. According to this invention, polishing conditions of the first polishing recipe are the same as those of the second polishing recipe.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (13)

1. A chemical mechanical polishing (CMP) process comprising:
providing a first substrate and second substrate both having thereon a top bulk metal layer and a lower barrier layer,
loading said first substrate onto a first platen of a CMP tool, and polishing an upper portion of said top bulk metal layer of said first substrate on said first platen and first slurry;
transferring said first substrate to a second platen having second slurry and loading said second substrate onto said first platen, wherein said second slurry has a copper to barrier polishing selectivity of greater than 30;
simultaneously polishing remaining top bulk metal layer of said first substrate and polishing an upper portion of top bulk metal layer of said second substrate at substantially the same copper removal rate until said lower barrier layer of said first substrate is exposed; and
transferring said first substrate to a third platen having third slurry for polishing said exposed barrier layer.
2. (canceled)
3. The chemical mechanical polishing process according to claim 1 wherein said second slurry has a copper to barrier polishing selectivity of above 100.
4. The chemical mechanical polishing process according to claim 1 wherein said second slurry contains alumina.
5. The chemical mechanical polishing process according to claim 1 wherein said third slurry contains alumina.
6. The chemical mechanical polishing process according to claim 1 wherein said second slurry contains silica.
7. The chemical mechanical polishing process according to claim 1 wherein said third slurry contains silica.
8. The chemical mechanical polishing process according to claim 1 wherein said first slurry and said second slurry have substantially the same recipe.
9. The chemical mechanical polishing process according to claim 1 wherein said first platen and said second platen are substantially the same.
10. The chemical mechanical polishing process according to claim 1 wherein said third slurry is different from said first slurry or second slurry.
11. A chemical mechanical polishing (CMP) process comprising:
providing a first substrate and second substrate both having thereon a top bulk metal layer and a lower barrier layer;
loading said first substrate onto a first platen of a CMP tool, and polishing an upper portion of said top bulk metal layer of said first substrate on said first platen with a first polishing recipe setting a plurality of polishing conditions;
transferring said first substrate to a second platen and loading said second substrate onto said first platen;
simultaneously polishing remaining top bulk metal layer of said first substrate and polishing an upper portion of top bulk metal layer of said second substrate with a second polishing recipe substantially the same as said first polishing recipe until said lower barrier layer of said first substrate is exposed; and
transferring said first substrate to a third platen having third slurry for polishing said exposed barrier layer.
12. The chemical mechanical polishing process according to claim 11 wherein said plurality of polishing conditions include a polishing pressure, a flow rate of slurry, a rotation rate of platen, and a rotation rate of wafer.
13. The chemical mechanical polishing process according to claim 12 wherein said plurality of polishing conditions of said first polishing recipe are the same as those of said second polishing recipe.
US10/904,251 2004-09-16 2004-11-01 Chemical mechanical polishing process Abandoned US20060057945A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/904,251 US20060057945A1 (en) 2004-09-16 2004-11-01 Chemical mechanical polishing process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/711,392 US7025661B2 (en) 2004-09-16 2004-09-16 Chemical mechanical polishing process
US10/904,251 US20060057945A1 (en) 2004-09-16 2004-11-01 Chemical mechanical polishing process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/711,392 Continuation-In-Part US7025661B2 (en) 2004-09-16 2004-09-16 Chemical mechanical polishing process

Publications (1)

Publication Number Publication Date
US20060057945A1 true US20060057945A1 (en) 2006-03-16

Family

ID=46321672

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/904,251 Abandoned US20060057945A1 (en) 2004-09-16 2004-11-01 Chemical mechanical polishing process

Country Status (1)

Country Link
US (1) US20060057945A1 (en)

Cited By (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019060304A1 (en) * 2017-09-24 2019-03-28 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10515925B2 (en) 2017-04-21 2019-12-24 Invensas Bonding Technologies, Inc. Die processing
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10546832B2 (en) 2016-12-21 2020-01-28 Invensas Bonding Technologies, Inc. Bonded structures
US10607937B2 (en) 2015-12-18 2020-03-31 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US10777533B2 (en) 2012-08-30 2020-09-15 Invensas Bonding Technologies, Inc. Heterogeneous device
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US10879226B2 (en) 2016-05-19 2020-12-29 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10896902B2 (en) 2016-01-13 2021-01-19 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10998265B2 (en) 2016-09-30 2021-05-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10998292B2 (en) 2018-06-13 2021-05-04 Invensas Bonding Technologies, Inc. Offset pads over TSV
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11011418B2 (en) 2005-08-11 2021-05-18 Invensas Bonding Technologies, Inc. 3D IC method and device
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11056390B2 (en) 2015-06-24 2021-07-06 Invensas Corporation Structures and methods for reliable packages
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11205600B2 (en) 2014-03-12 2021-12-21 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11264345B2 (en) 2015-08-25 2022-03-01 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11348801B2 (en) 2016-12-28 2022-05-31 Invensas Bonding Technologies, Inc. Processing stacked substrates
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11367652B2 (en) 2016-12-28 2022-06-21 Invensas Bonding Technologies, Inc. Microelectronic assembly from processed substrate
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
WO2022140081A1 (en) * 2020-12-21 2022-06-30 Fujifilm Electronic Materials U.S.A., Inc. Chemical mechanical polishing compositions and methods of use thereof
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11387214B2 (en) 2017-06-15 2022-07-12 Invensas Llc Multi-chip modules formed using wafer-level processing of a reconstituted wafer
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11476213B2 (en) 2019-01-14 2022-10-18 Invensas Bonding Technologies, Inc. Bonded structures without intervening adhesive
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11538781B2 (en) 2020-06-30 2022-12-27 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages including bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11621246B2 (en) 2019-03-29 2023-04-04 Adeia Semiconductor Technologies Llc Diffused bitline replacement in stacked wafer memory
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11710718B2 (en) 2015-07-10 2023-07-25 Adeia Semiconductor Technologies Llc Structures and methods for low temperature bonding using nanoparticles
US11715730B2 (en) 2017-03-16 2023-08-01 Adeia Semiconductor Technologies Llc Direct-bonded LED arrays including optical elements configured to transmit optical signals from LED elements
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11760059B2 (en) 2003-05-19 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Method of room temperature covalent bonding
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11862604B2 (en) 2018-06-22 2024-01-02 Adeia Semiconductor Inc. Systems and methods for releveled bump planes for chiplets
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11908739B2 (en) 2017-06-05 2024-02-20 Adeia Semiconductor Technologies Llc Flat metal features for microelectronics applications
US11929347B2 (en) 2020-10-20 2024-03-12 Adeia Semiconductor Technologies Llc Mixed exposure for large die
US11935907B2 (en) 2014-12-11 2024-03-19 Adeia Semiconductor Technologies Llc Image sensor device
US11973056B2 (en) 2022-12-22 2024-04-30 Adeia Semiconductor Technologies Llc Methods for low temperature bonding using nanoparticles

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US20020193050A1 (en) * 2000-12-22 2002-12-19 Sujit Sharan Apparatus for enhanced rate chemcial mechanical polishing with adjustable selectivity
US20030013306A1 (en) * 2001-07-13 2003-01-16 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US20030098446A1 (en) * 2001-10-26 2003-05-29 Lothar Puppe Composition for the chemical-mechanical polishing of metal and metal/dielectric structures with high selectivity
US6573173B2 (en) * 1999-07-13 2003-06-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US20030124862A1 (en) * 2001-12-28 2003-07-03 Fujikoshi Machinery Corp. Method of polishing copper layer of substrate
US20030129838A1 (en) * 1999-12-28 2003-07-10 Cadien Kenneth C. Abrasives for chemical mechanical polishing
US20030203705A1 (en) * 2002-04-26 2003-10-30 Yaojian Leng Chemical-mechanical polishing slurry with improved defectivity
US20030228753A1 (en) * 2002-06-06 2003-12-11 Stefan Hau-Riege Method of making a semiconductor device that has copper damascene interconnects with enhanced electromigration reliability
US20040116052A1 (en) * 2002-10-03 2004-06-17 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
US20040266183A1 (en) * 2003-06-30 2004-12-30 Miller Anne E. Surfactant slurry additives to improve erosion, dishing, and defects during chemical mechanical polishing of copper damascene with low K dielectrics
US20050090104A1 (en) * 2003-10-27 2005-04-28 Kai Yang Slurry compositions for chemical mechanical polishing of copper and barrier films

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US6573173B2 (en) * 1999-07-13 2003-06-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US20030143851A1 (en) * 1999-12-28 2003-07-31 Cadien Kenneth C. Abrasives for chemical mechanical polishing
US20030129838A1 (en) * 1999-12-28 2003-07-10 Cadien Kenneth C. Abrasives for chemical mechanical polishing
US20020193050A1 (en) * 2000-12-22 2002-12-19 Sujit Sharan Apparatus for enhanced rate chemcial mechanical polishing with adjustable selectivity
US20030013306A1 (en) * 2001-07-13 2003-01-16 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US20030098446A1 (en) * 2001-10-26 2003-05-29 Lothar Puppe Composition for the chemical-mechanical polishing of metal and metal/dielectric structures with high selectivity
US20030124862A1 (en) * 2001-12-28 2003-07-03 Fujikoshi Machinery Corp. Method of polishing copper layer of substrate
US20030203705A1 (en) * 2002-04-26 2003-10-30 Yaojian Leng Chemical-mechanical polishing slurry with improved defectivity
US20030228753A1 (en) * 2002-06-06 2003-12-11 Stefan Hau-Riege Method of making a semiconductor device that has copper damascene interconnects with enhanced electromigration reliability
US20040116052A1 (en) * 2002-10-03 2004-06-17 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
US20040266183A1 (en) * 2003-06-30 2004-12-30 Miller Anne E. Surfactant slurry additives to improve erosion, dishing, and defects during chemical mechanical polishing of copper damascene with low K dielectrics
US20050090104A1 (en) * 2003-10-27 2005-04-28 Kai Yang Slurry compositions for chemical mechanical polishing of copper and barrier films

Cited By (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11760059B2 (en) 2003-05-19 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Method of room temperature covalent bonding
US11289372B2 (en) 2005-08-11 2022-03-29 Invensas Bonding Technologies, Inc. 3D IC method and device
US11011418B2 (en) 2005-08-11 2021-05-18 Invensas Bonding Technologies, Inc. 3D IC method and device
US11515202B2 (en) 2005-08-11 2022-11-29 Adeia Semiconductor Bonding Technologies Inc. 3D IC method and device
US10777533B2 (en) 2012-08-30 2020-09-15 Invensas Bonding Technologies, Inc. Heterogeneous device
US11631586B2 (en) 2012-08-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Heterogeneous annealing method
US11205600B2 (en) 2014-03-12 2021-12-21 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11935907B2 (en) 2014-12-11 2024-03-19 Adeia Semiconductor Technologies Llc Image sensor device
US11056390B2 (en) 2015-06-24 2021-07-06 Invensas Corporation Structures and methods for reliable packages
US11710718B2 (en) 2015-07-10 2023-07-25 Adeia Semiconductor Technologies Llc Structures and methods for low temperature bonding using nanoparticles
US11264345B2 (en) 2015-08-25 2022-03-01 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US11830838B2 (en) 2015-08-25 2023-11-28 Adeia Semiconductor Bonding Technologies Inc. Conductive barrier direct hybrid bonding
US10607937B2 (en) 2015-12-18 2020-03-31 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10896902B2 (en) 2016-01-13 2021-01-19 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10879226B2 (en) 2016-05-19 2020-12-29 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US11658173B2 (en) 2016-05-19 2023-05-23 Adeia Semiconductor Bonding Technologies Inc. Stacked dies and methods for forming bonded structures
US11837596B2 (en) 2016-05-19 2023-12-05 Adeia Semiconductor Bonding Technologies Inc. Stacked dies and methods for forming bonded structures
US10998265B2 (en) 2016-09-30 2021-05-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US10879207B2 (en) 2016-12-21 2020-12-29 Invensas Bonding Technologies, Inc. Bonded structures
US11670615B2 (en) 2016-12-21 2023-06-06 Adeia Semiconductor Bonding Technologies Inc. Bonded structures
US10546832B2 (en) 2016-12-21 2020-01-28 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US11348801B2 (en) 2016-12-28 2022-05-31 Invensas Bonding Technologies, Inc. Processing stacked substrates
US11367652B2 (en) 2016-12-28 2022-06-21 Invensas Bonding Technologies, Inc. Microelectronic assembly from processed substrate
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10879210B2 (en) 2017-02-09 2020-12-29 Invensas Bonding Technologies, Inc. Bonded structures
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US11715730B2 (en) 2017-03-16 2023-08-01 Adeia Semiconductor Technologies Llc Direct-bonded LED arrays including optical elements configured to transmit optical signals from LED elements
US11088099B2 (en) 2017-03-17 2021-08-10 Invensas Bonding Technologies, Inc. Multi-metal contact structure in microelectronic component
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US11894326B2 (en) 2017-03-17 2024-02-06 Adeia Semiconductor Bonding Technologies Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11417576B2 (en) 2017-03-21 2022-08-16 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11257727B2 (en) 2017-03-21 2022-02-22 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10985133B2 (en) 2017-04-21 2021-04-20 Invensas Bonding Technologies, Inc. Die processing
US11742315B2 (en) 2017-04-21 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Die processing
US10515925B2 (en) 2017-04-21 2019-12-24 Invensas Bonding Technologies, Inc. Die processing
US10714449B2 (en) 2017-04-21 2020-07-14 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10748824B2 (en) 2017-05-11 2020-08-18 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US11652083B2 (en) 2017-05-11 2023-05-16 Adeia Semiconductor Bonding Technologies Inc. Processed stacked dies
US11908739B2 (en) 2017-06-05 2024-02-20 Adeia Semiconductor Technologies Llc Flat metal features for microelectronics applications
US11387214B2 (en) 2017-06-15 2022-07-12 Invensas Llc Multi-chip modules formed using wafer-level processing of a reconstituted wafer
US11552041B2 (en) 2017-09-24 2023-01-10 Adeia Semiconductor Bonding Technologies Inc. Chemical mechanical polishing for hybrid bonding
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
WO2019060304A1 (en) * 2017-09-24 2019-03-28 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11694925B2 (en) 2017-10-06 2023-07-04 Adeia Semiconductor Bonding Technologies Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11600542B2 (en) 2017-12-22 2023-03-07 Adeia Semiconductor Bonding Technologies Inc. Cavity packages
US11948847B2 (en) 2017-12-22 2024-04-02 Adeia Semiconductor Bonding Technologies Inc. Bonded structures
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11855064B2 (en) 2018-02-15 2023-12-26 Adeia Semiconductor Bonding Technologies Inc. Techniques for processing devices
US11037919B2 (en) 2018-02-15 2021-06-15 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11860415B2 (en) 2018-02-26 2024-01-02 Adeia Semiconductor Bonding Technologies Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11804377B2 (en) 2018-04-05 2023-10-31 Adeia Semiconductor Bonding Technologies, Inc. Method for preparing a surface for direct-bonding
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11515279B2 (en) 2018-04-11 2022-11-29 Adeia Semiconductor Bonding Technologies Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11791307B2 (en) 2018-04-20 2023-10-17 Adeia Semiconductor Bonding Technologies Inc. DBI to SI bonding for simplified handle wafer
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11955393B2 (en) 2018-05-14 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Structures for bonding elements including conductive interface features
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11916054B2 (en) 2018-05-15 2024-02-27 Adeia Semiconductor Bonding Technologies Inc. Stacked devices and methods of fabrication
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US10998292B2 (en) 2018-06-13 2021-05-04 Invensas Bonding Technologies, Inc. Offset pads over TSV
US11955445B2 (en) 2018-06-13 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Metal pads over TSV
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11728313B2 (en) 2018-06-13 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Offset pads over TSV
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11862604B2 (en) 2018-06-22 2024-01-02 Adeia Semiconductor Inc. Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11837582B2 (en) 2018-07-06 2023-12-05 Adeia Semiconductor Bonding Technologies Inc. Molded direct bonded and interconnected stack
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11764189B2 (en) 2018-07-06 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Molded direct bonded and interconnected stack
US11894345B2 (en) 2018-08-28 2024-02-06 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11967575B2 (en) 2018-08-29 2024-04-23 Adeia Semiconductor Bonding Technologies Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11756880B2 (en) 2018-10-22 2023-09-12 Adeia Semiconductor Bonding Technologies Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11476213B2 (en) 2019-01-14 2022-10-18 Invensas Bonding Technologies, Inc. Bonded structures without intervening adhesive
US11817409B2 (en) 2019-01-14 2023-11-14 Adeia Semiconductor Bonding Technologies Inc. Directly bonded structures without intervening adhesive and methods for forming the same
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11621246B2 (en) 2019-03-29 2023-04-04 Adeia Semiconductor Technologies Llc Diffused bitline replacement in stacked wafer memory
US11728287B2 (en) 2019-04-12 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11848284B2 (en) 2019-04-12 2023-12-19 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11955463B2 (en) 2019-06-26 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11538781B2 (en) 2020-06-30 2022-12-27 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages including bonded structures
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11929347B2 (en) 2020-10-20 2024-03-12 Adeia Semiconductor Technologies Llc Mixed exposure for large die
WO2022140081A1 (en) * 2020-12-21 2022-06-30 Fujifilm Electronic Materials U.S.A., Inc. Chemical mechanical polishing compositions and methods of use thereof
US11973056B2 (en) 2022-12-22 2024-04-30 Adeia Semiconductor Technologies Llc Methods for low temperature bonding using nanoparticles

Similar Documents

Publication Publication Date Title
US20060057945A1 (en) Chemical mechanical polishing process
US6350694B1 (en) Reducing CMP scratch, dishing and erosion by post CMP etch back method for low-k materials
US6946397B2 (en) Chemical mechanical polishing process with reduced defects in a copper process
US6261158B1 (en) Multi-step chemical mechanical polishing
US7172497B2 (en) Fabrication of semiconductor interconnect structures
US6656842B2 (en) Barrier layer buffing after Cu CMP
JP2001156029A (en) POST Cu CMP POLISHING FOR REDUCED DEFECTS
US8759218B2 (en) Chemical mechanical polishing process
US20010000497A1 (en) Method and apparatus for removing a material layer from a substrate
US6736701B1 (en) Eliminate broken line damage of copper after CMP
CA2456225A1 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
US7238614B2 (en) Methods for fabricating one or more metal damascene structures in a semiconductor wafer
WO1999046081A1 (en) Multi-step chemical mechanical polishing process and device
JP2000235965A (en) Polishing method
US6461226B1 (en) Chemical mechanical polishing of a metal layer using a composite polishing pad
US6436832B1 (en) Method to reduce polish initiation time in a polish process
JP2001044156A (en) Manufacture of semiconductor device and chemical polishing apparatus
US20040023607A1 (en) Method and apparatus for integrated chemical mechanical polishing of copper and barrier layers
US7025661B2 (en) Chemical mechanical polishing process
US6248002B1 (en) Obtaining the better defect performance of the fuse CMP process by adding slurry polish on more soft pad after slurry polish
US6461230B1 (en) Chemical-mechanical polishing method
US6841470B2 (en) Removal of residue from a substrate
JPH08323614A (en) Chemical and mechanical abrasion method and device
US20040043611A1 (en) Method of reducing a defect level after chemically mechanically polishing a copper-containing substrate by rinsing the substrate with an oxidizing solution
US6943113B1 (en) Metal chemical polishing process for minimizing dishing during semiconductor wafer fabrication

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HSU, CHIA-LIN;TSAI, TENG-CHUN;REEL/FRAME:015779/0968

Effective date: 20050314

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION