US20060084281A1 - Novel deposition of high-k MSiON dielectric films - Google Patents

Novel deposition of high-k MSiON dielectric films Download PDF

Info

Publication number
US20060084281A1
US20060084281A1 US11/288,699 US28869905A US2006084281A1 US 20060084281 A1 US20060084281 A1 US 20060084281A1 US 28869905 A US28869905 A US 28869905A US 2006084281 A1 US2006084281 A1 US 2006084281A1
Authority
US
United States
Prior art keywords
source
dielectric film
silicon
metal
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/288,699
Inventor
Ashutosh Misra
Matthew Fisher
Benjamin Jurcik
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/288,699 priority Critical patent/US20060084281A1/en
Publication of US20060084281A1 publication Critical patent/US20060084281A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Definitions

  • Manufacturing of semiconductor devices employs a thin gate dielectric (typically silicon dioxide) between the underlying silicon substrate and the gate electrode.
  • a thin gate dielectric typically silicon dioxide
  • Typical processes for growth of dielectric films include oxidation, chemical vapor deposition and atomic layer deposition processes.
  • the thickness of the gate dielectric needs to shrink proportionally.
  • semiconductor manufacturers have reached the limit to which the thickness of conventional gate dielectric materials can be decreased without compromising the electrical characteristics. Rather than degrading the dielectric properties by using a silicon dioxide dielectric that is only a few atomic layers thick, equivalent dielectric performance can be achieved by substituting the silicon dioxide for a thicker layer of a new material exhibiting a higher dielectric constant.
  • high-k dielectrics new compositions or methods to produce a dielectric film with a higher dielectric constant than silicon dioxide. These “high-k dielectrics” must have a low leakage current through the gate dielectric. Thus, it is desirable to develop new compositions and methods of depositing dielectric films with the required higher dielectric properties so that films with more than one or two layers of atoms can be deposited. Due to the requirements for thin dielectric films, having uniform coverage of material that is very high quality is critical to the performance of the gate dielectric.
  • MSiON metal silicon oxynitride
  • Forming a MSiON dielectric film typically involves feeding a metal source, a silicon source, an oxygen source and a nitrogen source (collectively referred to herein as the “dielectric precursors”) in the proper relative amounts to a deposition device wherein a silicon substrate is held at an elevated temperature.
  • the dielectric precursors are fed to a deposition chamber through a “delivery system.”
  • a “delivery system” is the system of measuring and controlling the amounts of the various dielectric precursors being fed to the deposition chamber.
  • delivery systems are known to one skilled in the art.
  • a “forming” step or steps, as used in this application, is the step or steps wherein materials are deposited on the silicon substrate or wherein the molecular composition or structure of the film on the silicon substrate is modified.
  • the “desired final composition” of the dielectric film is the precise chemical composition and atomic structure of the gate dielectric after the last forming step is complete.
  • Compounds of hafnium, such as hafnium oxides, hafnium silicates and hafnium silicon oxy nitrides are currently the most promising high-k gate dielectric choices.
  • the metal source for the forming process is typically a liquid precursor solution containing the desired metal in a solvent.
  • the silicon sources available in the art prior to the current invention typically use a liquid precursor containing the desired silicon compound in a solvent.
  • a dielectric film may be formed by depositing a metal and silicon on a substrate in a first step followed by a second “post deposition step” wherein the composition or structure of the deposited metal/silicon film is modified to achieve the desired final composition of a MSiON gate dielectric film.
  • a post deposition step is rapid thermal annealing in an environment that is filled of nitrogen or ammonia.
  • control of the film composition is important in dielectric film deposition processes, the fewer the steps, the better the control of the process, and the higher the quality (reflected by dielectric constant, density, contamination, composition and other quality control properties) and conformality (the ability of the film to evenly deposit on all surfaces and shapes of substrate) of the dielectric film.
  • any silicon sources that contain carbon in the ligands can lead to carbon in the film and result in degraded electrical properties.
  • any chlorine incorporated in dielectric films is undesirable due to its harmful effect on the electrical properties of the film and the stability of the chlorine in the film (the stability makes it hard to remove chlorine from the dielectric film).
  • the presence of chlorine in the silicon or metal source results in the generation of chloride based particulates in the reaction chamber and deposits in the exhaust system.
  • M metal
  • Si silicon
  • M/Si metal to silicon
  • M/Si metal to silicon
  • Some processes use a silicon source precursor that also contains some amount of the metal that is to be deposited.
  • the problem encountered is that changes in the metal-containing silicon source precursor feed rate changes the total amount of the metal fed to the process (due to the metal contained in the silicon precursor). This limits the controllability of the deposition process because the silicon feed rate cannot be changed without also affecting the total amount of metal being fed to the deposition chamber.
  • the ratio of M/Si that can be fed is limited by the composition of the metal in the silicon source precursor. Thus a change in desired M/Si ratio can require changing precursor solutions being fed to the process.
  • Vaporizing silicon precursor streams can also lead to problems with film composition control.
  • some processes in the art use a vaporizer to vaporize the liquid silicon source.
  • the vaporized stream is then fed to the deposition chamber.
  • the metal source and the silicon source are supplied in liquid form, they must both be vaporized before being introduced into the deposition chamber.
  • Vaporizing two different streams can lead to variable feed concentrations and formation of silicon or metal residues in the vaporizer that can adversely affect the conformality of the film composition. Differences in vaporization of the silicon and metal sources can also lead to compositional gradients in the dielectric.
  • Bubbling a carrier gas through a liquid precursor can also cause quality problems.
  • a silicon source is fed by bubbling a carrier gas through a liquid silicon source.
  • a vaporizer is not used in these processes because the vapor pressure of the silicon source is high enough to be transported as a vapor in a mixture with the carrier gas.
  • the composition of the stream transporting the silicon source to the deposition chamber can vary with temperature and pressure in the bubbling system. This variability in stream composition leads to variability in the composition of the dielectric film, which is a significant quality control issue.
  • the film should be free of any chlorine and contain as little carbon as possible in the molecular structure. It is also desirable to use a silicon source that is free of any deposition metals so the silicon source feed and the metal source feed may be independently controlled. Finally, it is desirable to have a silicon source that is in the vapor phase at process feed conditions to avoid the need to vaporize a liquid silicon source or bubble a carrier gas through a liquid source.
  • the current invention is directed to methods and compositions that satisfy the need to form a thin MSiON dielectric film with high electrical qualities (large dielectric constant and low leakage current), and high conformality.
  • the current invention avoids using multiple forming steps to assure uniform coverage and high conformality.
  • the current invention provides a film that is free of chlorine and contains a minimum amount of carbon, both of which can degrade the electrical properties of the film.
  • the current invention provides the ability to control the M/Si ratio in MSiON films over a broad range without changing precursor solutions.
  • the current invention avoids the quality and conformality issues that can occur when vaporizing a liquid precursor solution containing multiple components or bubbling a carrier gas through a liquid silicon source.
  • the high-k MSiON dielectric film of the current invention is formed by vaporizing a metal source followed by feeding a plurality of dielectric precursors (dielectric precursors being the vaporized metal source, a silicon source, an oxygen source, and a nitrogen source) to a deposition device, and forming a dielectric film with the desired final composition in a single forming step.
  • dielectric precursors being the vaporized metal source, a silicon source, an oxygen source, and a nitrogen source
  • Feeding of a plurality of dielectric precursors to the deposition device is effectively concurrent.
  • the high-k dielectric film forms on a silicon substrate in a single forming step without using a post deposition step to adjust the composition of the dielectric precursors in the dielectric film.
  • the resulting high-k dielectric film has the desired MSiON composition, is absent chlorine, and the carbon incorporation is minimized to provide the highest quality dielectric properties.
  • the current invention uses a vapor phase silicon precursor in conjunction with a liquid phase metal precursor for the deposition of MSiON films of desired stochiometry.
  • the vapor phase silicon precursor is sufficiently volatile at temperatures above 15° C. to supply the process as a vapor without bubbling a carrier gas through a liquid or heating in a vaporizer. This eliminates the control and quality problems associated with having to vaporize two precursors (a metal containing precursor and a silicon containing precursor) or bubble a carrier gas through a liquid to feed the silicon source.
  • the vapor phase silicon precursor is not coordinated to a metal allowing independent control over feeding of the metal source and the silicon source.
  • the M/Si ratio can be easily varied over a wide range without having to mix new precursor solutions and recalibrate the process to the new precursor mixture.
  • the vapor phase silicon precursor is carbon and chlorine free, eliminating the undesirable effects of carbon and chlorine in the dielectric film.
  • the current inventive method produces a dielectric film of the desired final composition is a single step.
  • the metal source in a MSiON film is typically a liquid precursor solution.
  • the liquid phase precursor is injected into a system that vaporizes it into a gas phase.
  • the vaporized precursor enters the deposition chamber where deposition occurs at an elevated temperature.
  • the silicon source of a MSiON film of the current invention is injected into the deposition chamber effectively concurrent with the vaporized metal precursor.
  • the silicon source is in the vapor phase at process feed conditions. That is, the silicon source flows from the source container through the feed measurement and control system as a vapor without the need to be vaporized or without using a carrier gas. However, a gas phase inert may be used to dilute the silicon mixture if needed to obtain accurate flow measurements.
  • the silicon source does not have any atoms of carbon, chlorine, or deposition metals in the molecular structure of the compound.
  • Preferred silicon sources that are carbon and chlorine free are, but are not limited to, the following compounds or mixtures of the following compounds:
  • oxygen and nitrogen sources are also injected into the deposition chamber concurrently with the vaporized metal source and the silicon source.
  • Preferred oxygen and nitrogen sources are free of carbon and/or chlorine in their molecular structures.
  • the reaction of the dielectric precursors in the deposition chamber leads to the formation of a MSiON film on the silicon substrate.
  • the composition of the dielectric film can be precisely controlled by precisely controlling the flow rates of each of the dielectric precursors independently.
  • the feed rates of the silicon and metal sources are independently controllable, thus the M/Si ratio of the resulting dielectric film is controllable over a wide range without changing the composition of the metal source or the silicon source.
  • the reaction of the dielectric precursors in the deposition chamber forms a dielectric film of the desired final composition in a single reaction step.
  • a post deposition step wherein the composition of the dielectric film is modified by a step after the dielectric precursors are deposited on the substrate.
  • the resulting dielectric film has excellent properties, including a high dielectric constant when combined with a suitable metal in the proper ratio.
  • FIG. 1 is a flow chart of the steps of the method for forming a MSiON dielectric film.
  • FIG. 2 is a flow chart of a Prior Art method for forming a MSiON dielectric film.
  • the present invention is directed to a method of forming and composition of a high-k MSiON dielectric film on semiconductor pieces.
  • the present invention is applicable to chemical vapor deposition and atomic layer deposition processes as well as others known to one skilled in the art.
  • the vaporizing step 1 comprises vaporizing a metal source to form a vaporized metal source.
  • the metal source of one preferred embodiment is a precursor solution in liquid phase, preferably a dialkylamino, an alkoxy, or an inorganic compound of hafnium (Hf), zirconium (Zr), lanthanum (La), yitrium (Y), gadolinium (Gd), europium (Eu), or praseodymium (Pr).
  • Preparing and vaporizing the liquid phase metal precursor solution is carried out in commercially available equipment under appropriate conditions known to one skilled in the art.
  • a silicon source, an oxygen source, and a nitrogen source are fed to a deposition chamber where a silicon substrate (on which deposition is needed) is placed at an elevated temperature.
  • the deposition chamber is typically maintained between about 300 to about 900° C.
  • the surface of the work piece in the deposition chamber will be between about 500 to about 600° C.
  • the feeding of the dielectric precursors is effectively concurrent (atomic layer deposition involves high-speed sequential pulses of feed materials, which for the purposes of this invention is effectively concurrent).
  • the silicon source is controllably injected into the deposition chamber effectively concurrent with the vaporized metal source and the other dielectric precursors or silicon film components.
  • a silicon source is in the vapor phase at process feed conditions. That is, the silicon source of one preferred embodiment has a vapor pressure of greater than approximately 50 torr at 20° C., sufficient to exist in the vapor phase in the feed control system without the need for vaporization or bubbler equipment in the delivery system.
  • Trisilylamine one preferred silicon source, may be stored as a liquid, but has sufficient vapor pressure (greater than 350 torr vapor pressure at 20° C.) to be in the vapor phase in the delivery system without the need to use a vaporizer or bubbler system. Because the silicon source is in the vapor phase, it can be accurately measured and controlled with conventional devices know in the art, and is not affected by deposits in a vaporizer or swings in feed conditions during vaporization of the silicon or metal source.
  • preferred embodiments of the feed step 2 include, but are not limited to, the use a silicon source absent carbon or chlorine in the molecular structure.
  • the dielectric film has a minimum amount of contained carbon and is free of chlorine, resulting in the optimum electrical properties.
  • preferred embodiments of the feed step 2 include, but are not limited to, feeding the oxygen and nitrogen sources into the deposition chamber concurrently with the silicon source. Furthermore, the vaporized metal source is also fed concurrently in the feed step 2 .
  • Various preferred embodiments of the MSiON method use nitrogen sources that are free of carbon and/or chlorine in their molecular structures. It is not required that nitrogen be fed as a separate stream.
  • the nitrogen source can be the same as the metal source, the silicon source, or the oxygen source.
  • Preferred oxygen sources of the current invention are also free of carbon and/or chlorine in their molecular structures. Preferred embodiments include, but are not limited to oxygen, nitrous oxide, or ozone as the oxygen source.
  • the nitrogen source of one preferred embodiment is ammonia.
  • the oxygen and nitrogen sources are fed and controlled with devices known to one skilled in the art.
  • a MSiON film is a hafnium silicon oxynitride film or a zirconium silicon oxynitride film formed by feeding a hafnium or zirconium metal using a mixture of a metal source (such as Hf(DEA) 4 or Zr(DEA) 4 ), trisilylamine, ammonia and nitrous oxide.
  • a metal source such as Hf(DEA) 4 or Zr(DEA) 4
  • the composition of the MSiON dielectric film can be controlled by varying the flow of each of the dielectric precursors independently during the feeding step 2 .
  • the feed rate of the silicon source and the metal source are independently controllable because the silicon source does not contain any deposition metals.
  • the silicon source feed rate can be varied independently of the metal source feed rate to affect the desired metal (M) to silicon (Si) ratio.
  • the metal source feed rate can be varied without affecting the silicon source feed rate, also changing the M/Si ratio. Because the feed rate of the silicon and metal sources are independently controllable, the M/Si ratio of the resulting dielectric film is controllable over a wide range without changing the composition of the metal source or the silicon source.
  • the feeding of the dielectric precursors to the deposition chamber results in the formation of a dielectric film of the desired final composition in a single forming step 3 .
  • a post deposition step wherein the composition or structure of the dielectric film is modified after some or all of the dielectric precursors are deposited on the substrate to achieve the desired final composition.
  • composition and method may be practiced in a process other then chemical vapor deposition or atomic layer deposition.
  • deposition of dielectric films can be accomplished at a variety of temperature and conditions.
  • the invention may include a variety of metal, silicon, oxygen and nitrogen sources known in the art. Therefore, the spirit and scope of the appended claims should not be limited to the description of one of the preferred versions contained herein. The intention of the applicants is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.

Abstract

This disclosure discusses the forming of gate dielectrics in semi conductor devices, and more specifically to forming thin high-k dielectric films on silicon substrates typically using chemical vapor deposition or atomic layer deposition processes. The current invention forms a high-k dielectric film in a single film-forming step using a vapor phase silicon precursor in conjunction with a liquid phase metal precursor, a nitrogen source and an oxygen source for the deposition of a metal silicon oxy nitride (MSiON) film of desired stochiometry. The vapor phase silicon precursor is not coordinated to a metal allowing independent control over feeding of the metal source and the silicon source. Thus, the M/Si ratio can be easily varied over a wide range. Furthermore, the vapor phase silicon precursor, liquid phase metal precursor, nitrogen source and oxygen sources are chlorine free, eliminating the undesirable effects chlorine in the dielectric film and chloride by products in the reaction chamber and exhaust system. Furthermore, the vapor phase silicon precursor, nitrogen source and oxygen sources are carbon free, minimizing the incorporation of carbon in the dielectric film.

Description

    CROSS-REFERENCE
  • This application is related to and claims the benefit of U.S. Provisional Application No. 60/550,908, filed Mar. 5, 2004, entitled “Composition And Method For Deposition Of High-k Dielectric Films.”
  • BACKGROUND
  • Manufacturing of semiconductor devices employs a thin gate dielectric (typically silicon dioxide) between the underlying silicon substrate and the gate electrode. Depositing a thin dielectric film on a silicon substrate forms a gate dielectric. Typical processes for growth of dielectric films include oxidation, chemical vapor deposition and atomic layer deposition processes. As integrated circuit devices shrink, the thickness of the gate dielectric needs to shrink proportionally. However, semiconductor manufacturers have reached the limit to which the thickness of conventional gate dielectric materials can be decreased without compromising the electrical characteristics. Rather than degrading the dielectric properties by using a silicon dioxide dielectric that is only a few atomic layers thick, equivalent dielectric performance can be achieved by substituting the silicon dioxide for a thicker layer of a new material exhibiting a higher dielectric constant. Therefore, new compositions or methods to produce a dielectric film with a higher dielectric constant than silicon dioxide (referred to as “high-k dielectrics”) are required. These “high-k dielectrics” must have a low leakage current through the gate dielectric. Thus, it is desirable to develop new compositions and methods of depositing dielectric films with the required higher dielectric properties so that films with more than one or two layers of atoms can be deposited. Due to the requirements for thin dielectric films, having uniform coverage of material that is very high quality is critical to the performance of the gate dielectric.
  • Of particular interest is the formation of metal silicon oxynitride (“MSiON”) films. Forming a MSiON dielectric film typically involves feeding a metal source, a silicon source, an oxygen source and a nitrogen source (collectively referred to herein as the “dielectric precursors”) in the proper relative amounts to a deposition device wherein a silicon substrate is held at an elevated temperature. The dielectric precursors are fed to a deposition chamber through a “delivery system.” A “delivery system” is the system of measuring and controlling the amounts of the various dielectric precursors being fed to the deposition chamber. Various delivery systems are known to one skilled in the art. Once in the deposition chamber, the dielectric precursors react to deposit a dielectric film on the silicon substrate in a “forming” step. A “forming” step or steps, as used in this application, is the step or steps wherein materials are deposited on the silicon substrate or wherein the molecular composition or structure of the film on the silicon substrate is modified. The “desired final composition” of the dielectric film is the precise chemical composition and atomic structure of the gate dielectric after the last forming step is complete. Compounds of hafnium, such as hafnium oxides, hafnium silicates and hafnium silicon oxy nitrides are currently the most promising high-k gate dielectric choices. The metal source for the forming process is typically a liquid precursor solution containing the desired metal in a solvent. Similarly, the silicon sources available in the art prior to the current invention typically use a liquid precursor containing the desired silicon compound in a solvent.
  • U.S. Patent Publication No. U.S. 2003/0207549, PAJ Patent Application No. 2000272283, U.S. Pat. No. 06,399,208, and U.S. Patent Publication No. 2003/0207549 disclose information relevant to forming dielectric films. However, these references suffer from one or more of the disadvantages discussed below.
  • Some gate dielectric-forming processes require multiple forming steps. For instance, a dielectric film may be formed by depositing a metal and silicon on a substrate in a first step followed by a second “post deposition step” wherein the composition or structure of the deposited metal/silicon film is modified to achieve the desired final composition of a MSiON gate dielectric film. An example of a post deposition step is rapid thermal annealing in an environment that is filled of nitrogen or ammonia. Because control of the film composition is important in dielectric film deposition processes, the fewer the steps, the better the control of the process, and the higher the quality (reflected by dielectric constant, density, contamination, composition and other quality control properties) and conformality (the ability of the film to evenly deposit on all surfaces and shapes of substrate) of the dielectric film.
  • It is known in the art that any silicon sources that contain carbon in the ligands can lead to carbon in the film and result in degraded electrical properties. Furthermore, any chlorine incorporated in dielectric films is undesirable due to its harmful effect on the electrical properties of the film and the stability of the chlorine in the film (the stability makes it hard to remove chlorine from the dielectric film). Also, the presence of chlorine in the silicon or metal source results in the generation of chloride based particulates in the reaction chamber and deposits in the exhaust system. Thus, to achieve the ideal electrical properties and to minimize particulate generation and tool downtime due to exhaust system cleaning, it is desirable to deposit dielectric films from precursors free of carbon or chlorine in the atomic structure.
  • The physical properties of a MSiON dielectric film are affected by the metal (M) to silicon (Si) ratio, or M/Si. It is desirable to be able to control the M/Si ratio over a broad range. Thus, it is important to be able to vary the metal and silicon feed independently to achieve the broadest possible M/Si ratios. Some processes use a silicon source precursor that also contains some amount of the metal that is to be deposited. The problem encountered is that changes in the metal-containing silicon source precursor feed rate changes the total amount of the metal fed to the process (due to the metal contained in the silicon precursor). This limits the controllability of the deposition process because the silicon feed rate cannot be changed without also affecting the total amount of metal being fed to the deposition chamber. Furthermore, the ratio of M/Si that can be fed is limited by the composition of the metal in the silicon source precursor. Thus a change in desired M/Si ratio can require changing precursor solutions being fed to the process.
  • Vaporizing silicon precursor streams can also lead to problems with film composition control. Referring to FIG. 2, some processes in the art use a vaporizer to vaporize the liquid silicon source. The vaporized stream is then fed to the deposition chamber. When the metal source and the silicon source are supplied in liquid form, they must both be vaporized before being introduced into the deposition chamber. Vaporizing two different streams can lead to variable feed concentrations and formation of silicon or metal residues in the vaporizer that can adversely affect the conformality of the film composition. Differences in vaporization of the silicon and metal sources can also lead to compositional gradients in the dielectric.
  • Bubbling a carrier gas through a liquid precursor can also cause quality problems. In some processes, a silicon source is fed by bubbling a carrier gas through a liquid silicon source. A vaporizer is not used in these processes because the vapor pressure of the silicon source is high enough to be transported as a vapor in a mixture with the carrier gas. In these processes, the composition of the stream transporting the silicon source to the deposition chamber can vary with temperature and pressure in the bubbling system. This variability in stream composition leads to variability in the composition of the dielectric film, which is a significant quality control issue.
  • For the foregoing reasons, it is desirable to form a dielectric film of the final desired composition in a single forming step. Furthermore, the film should be free of any chlorine and contain as little carbon as possible in the molecular structure. It is also desirable to use a silicon source that is free of any deposition metals so the silicon source feed and the metal source feed may be independently controlled. Finally, it is desirable to have a silicon source that is in the vapor phase at process feed conditions to avoid the need to vaporize a liquid silicon source or bubble a carrier gas through a liquid source.
  • SUMMARY
  • The current invention is directed to methods and compositions that satisfy the need to form a thin MSiON dielectric film with high electrical qualities (large dielectric constant and low leakage current), and high conformality. The current invention avoids using multiple forming steps to assure uniform coverage and high conformality. Furthermore, the current invention provides a film that is free of chlorine and contains a minimum amount of carbon, both of which can degrade the electrical properties of the film. In addition, the current invention provides the ability to control the M/Si ratio in MSiON films over a broad range without changing precursor solutions. Finally, the current invention avoids the quality and conformality issues that can occur when vaporizing a liquid precursor solution containing multiple components or bubbling a carrier gas through a liquid silicon source.
  • The high-k MSiON dielectric film of the current invention is formed by vaporizing a metal source followed by feeding a plurality of dielectric precursors (dielectric precursors being the vaporized metal source, a silicon source, an oxygen source, and a nitrogen source) to a deposition device, and forming a dielectric film with the desired final composition in a single forming step. In other words, there is no need for a post deposition step to achieve the desired final composition the dielectric film. Feeding of a plurality of dielectric precursors to the deposition device is effectively concurrent. The high-k dielectric film forms on a silicon substrate in a single forming step without using a post deposition step to adjust the composition of the dielectric precursors in the dielectric film. The resulting high-k dielectric film has the desired MSiON composition, is absent chlorine, and the carbon incorporation is minimized to provide the highest quality dielectric properties.
  • The current invention uses a vapor phase silicon precursor in conjunction with a liquid phase metal precursor for the deposition of MSiON films of desired stochiometry. The vapor phase silicon precursor is sufficiently volatile at temperatures above 15° C. to supply the process as a vapor without bubbling a carrier gas through a liquid or heating in a vaporizer. This eliminates the control and quality problems associated with having to vaporize two precursors (a metal containing precursor and a silicon containing precursor) or bubble a carrier gas through a liquid to feed the silicon source. In addition, the vapor phase silicon precursor is not coordinated to a metal allowing independent control over feeding of the metal source and the silicon source. Thus, the M/Si ratio can be easily varied over a wide range without having to mix new precursor solutions and recalibrate the process to the new precursor mixture. Furthermore, the vapor phase silicon precursor is carbon and chlorine free, eliminating the undesirable effects of carbon and chlorine in the dielectric film. Finally, the current inventive method produces a dielectric film of the desired final composition is a single step.
  • The metal source in a MSiON film is typically a liquid precursor solution. The liquid phase precursor is injected into a system that vaporizes it into a gas phase. The vaporized precursor enters the deposition chamber where deposition occurs at an elevated temperature.
  • The silicon source of a MSiON film of the current invention is injected into the deposition chamber effectively concurrent with the vaporized metal precursor. The silicon source is in the vapor phase at process feed conditions. That is, the silicon source flows from the source container through the feed measurement and control system as a vapor without the need to be vaporized or without using a carrier gas. However, a gas phase inert may be used to dilute the silicon mixture if needed to obtain accurate flow measurements. Furthermore, the silicon source does not have any atoms of carbon, chlorine, or deposition metals in the molecular structure of the compound. Preferred silicon sources that are carbon and chlorine free are, but are not limited to, the following compounds or mixtures of the following compounds:
    Figure US20060084281A1-20060420-C00001
  • The oxygen and nitrogen sources are also injected into the deposition chamber concurrently with the vaporized metal source and the silicon source. Preferred oxygen and nitrogen sources are free of carbon and/or chlorine in their molecular structures.
  • The reaction of the dielectric precursors in the deposition chamber leads to the formation of a MSiON film on the silicon substrate. The composition of the dielectric film can be precisely controlled by precisely controlling the flow rates of each of the dielectric precursors independently. In a MSiON film, the feed rates of the silicon and metal sources are independently controllable, thus the M/Si ratio of the resulting dielectric film is controllable over a wide range without changing the composition of the metal source or the silicon source.
  • The reaction of the dielectric precursors in the deposition chamber forms a dielectric film of the desired final composition in a single reaction step. There is no requirement for a post deposition step wherein the composition of the dielectric film is modified by a step after the dielectric precursors are deposited on the substrate.
  • Because the silicon, oxygen and nitrogen sources in this invention are all carbon and chlorine free, the resulting dielectric film has excellent properties, including a high dielectric constant when combined with a suitable metal in the proper ratio.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a flow chart of the steps of the method for forming a MSiON dielectric film.
  • FIG. 2 is a flow chart of a Prior Art method for forming a MSiON dielectric film.
  • DESCRIPTION
  • The present invention is directed to a method of forming and composition of a high-k MSiON dielectric film on semiconductor pieces. The present invention is applicable to chemical vapor deposition and atomic layer deposition processes as well as others known to one skilled in the art.
  • Referring to the MSiON method of FIG. 1, the vaporizing step 1 comprises vaporizing a metal source to form a vaporized metal source. The metal source of one preferred embodiment is a precursor solution in liquid phase, preferably a dialkylamino, an alkoxy, or an inorganic compound of hafnium (Hf), zirconium (Zr), lanthanum (La), yitrium (Y), gadolinium (Gd), europium (Eu), or praseodymium (Pr). Preparing and vaporizing the liquid phase metal precursor solution is carried out in commercially available equipment under appropriate conditions known to one skilled in the art.
  • Referring again to the MSiON method of FIG. 1, during the feed step 2 a silicon source, an oxygen source, and a nitrogen source (collectively referred to as the dielectric precursors) are fed to a deposition chamber where a silicon substrate (on which deposition is needed) is placed at an elevated temperature. The deposition chamber is typically maintained between about 300 to about 900° C. Preferably the surface of the work piece in the deposition chamber will be between about 500 to about 600° C. The feeding of the dielectric precursors is effectively concurrent (atomic layer deposition involves high-speed sequential pulses of feed materials, which for the purposes of this invention is effectively concurrent).
  • Referring to the MSiON method of FIG. 1, during the feed step 2 of the MSiON method, the silicon source is controllably injected into the deposition chamber effectively concurrent with the vaporized metal source and the other dielectric precursors or silicon film components. In one preferred embodiment, a silicon source is in the vapor phase at process feed conditions. That is, the silicon source of one preferred embodiment has a vapor pressure of greater than approximately 50 torr at 20° C., sufficient to exist in the vapor phase in the feed control system without the need for vaporization or bubbler equipment in the delivery system. Trisilylamine, one preferred silicon source, may be stored as a liquid, but has sufficient vapor pressure (greater than 350 torr vapor pressure at 20° C.) to be in the vapor phase in the delivery system without the need to use a vaporizer or bubbler system. Because the silicon source is in the vapor phase, it can be accurately measured and controlled with conventional devices know in the art, and is not affected by deposits in a vaporizer or swings in feed conditions during vaporization of the silicon or metal source.
  • Still referring to the MSiON method of FIG. 1, preferred embodiments of the feed step 2 include, but are not limited to, the use a silicon source absent carbon or chlorine in the molecular structure. Thus, the dielectric film has a minimum amount of contained carbon and is free of chlorine, resulting in the optimum electrical properties.
  • Still referring to the MSiON method of FIG. 1, preferred embodiments of the feed step 2 include, but are not limited to, feeding the oxygen and nitrogen sources into the deposition chamber concurrently with the silicon source. Furthermore, the vaporized metal source is also fed concurrently in the feed step 2. Various preferred embodiments of the MSiON method use nitrogen sources that are free of carbon and/or chlorine in their molecular structures. It is not required that nitrogen be fed as a separate stream. The nitrogen source can be the same as the metal source, the silicon source, or the oxygen source. Preferred oxygen sources of the current invention are also free of carbon and/or chlorine in their molecular structures. Preferred embodiments include, but are not limited to oxygen, nitrous oxide, or ozone as the oxygen source. The nitrogen source of one preferred embodiment is ammonia. The oxygen and nitrogen sources are fed and controlled with devices known to one skilled in the art.
  • Referring again to the MSiON method of FIG. 1, the deposition and reaction of dielectric precursors in the deposition chamber leads to the formation of a MSiON film on the heated silicon substrate during the forming step 3. One preferred embodiment of a MSiON film is a hafnium silicon oxynitride film or a zirconium silicon oxynitride film formed by feeding a hafnium or zirconium metal using a mixture of a metal source (such as Hf(DEA)4 or Zr(DEA)4), trisilylamine, ammonia and nitrous oxide.
  • Referring again to the MSiON method of FIG. 1, the composition of the MSiON dielectric film can be controlled by varying the flow of each of the dielectric precursors independently during the feeding step 2. Particularly, the feed rate of the silicon source and the metal source are independently controllable because the silicon source does not contain any deposition metals. Thus, the silicon source feed rate can be varied independently of the metal source feed rate to affect the desired metal (M) to silicon (Si) ratio. Similarly, the metal source feed rate can be varied without affecting the silicon source feed rate, also changing the M/Si ratio. Because the feed rate of the silicon and metal sources are independently controllable, the M/Si ratio of the resulting dielectric film is controllable over a wide range without changing the composition of the metal source or the silicon source.
  • Referring to the MSiON method of FIG. 1, the feeding of the dielectric precursors to the deposition chamber results in the formation of a dielectric film of the desired final composition in a single forming step 3. There is no requirement for a post deposition step wherein the composition or structure of the dielectric film is modified after some or all of the dielectric precursors are deposited on the substrate to achieve the desired final composition.
  • Although the present invention has been described in considerable detail with reference to certain preferred versions thereof, other versions are possible. For example, the composition and method may be practiced in a process other then chemical vapor deposition or atomic layer deposition. In addition, the deposition of dielectric films can be accomplished at a variety of temperature and conditions. Furthermore, the invention may include a variety of metal, silicon, oxygen and nitrogen sources known in the art. Therefore, the spirit and scope of the appended claims should not be limited to the description of one of the preferred versions contained herein. The intention of the applicants is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.

Claims (42)

1. A method for forming a MSiON dielectric film comprising the steps of:
vaporizing a metal source to form a vaporized metal source;
feeding a plurality of dielectric precursors to a deposition device, wherein said dielectric precursors comprise said vaporized metal source, a silicon source, an oxygen source, and a nitrogen source; and
forming a dielectric film, wherein said dielectric film is formed with the desired final composition absent a post deposition step.
2. The method of claim 1, wherein said silicon source comprises a molecular structure absent carbon.
3. The method of claim 1, wherein said silicon source comprises a molecular structure absent chlorine.
4. The method of claim 1, wherein said silicon source is the vapor phase in the delivery system.
5. The method of claim 1, absent a step wherein said silicon source is vaporized.
6. The method of claim 1, absent a step wherein said silicon source is delivered by bubbling a gas through a liquid silicon source.
7. The method of claim 1, wherein said silicon source has a vapor pressure of at least about 50 torr at 20° C.
8. The method of claim 1, wherein said silicon source is selected from the group consisting of trisilylamine, disilylamine, silylamine, tridisilylamine, aminodisilylamine, tetrasilyldiamine, disilane, derivatives of disilane, and mixtures thereof.
9. The method of claim 1, wherein said silicon source is trisilylamine.
10. The method of claim 1, wherein said oxygen source comprises a molecular structure absent carbon.
11. The method of claim 1, wherein said oxygen source comprises a molecular structure absent chlorine.
12. The method of claim 1, wherein said oxygen source is selected from the group consisting of oxygen, nitrous oxide, ozone, and mixtures thereof.
13. The method of claim 1, wherein said nitrogen source comprises a molecular structure absent carbon.
14. The method of claim 1, wherein said nitrogen source comprises a molecular structure absent chlorine.
15. The method of claim 1, wherein said nitrogen source is the same as said metal source, said silicon source, or said oxygen source.
16. The method of claim 1, wherein said nitrogen source is ammonia.
17. The method of claim 1, wherein said metal source is selected from the group consisting of a dialkylamino, and alkoxy.
18. The method of claim 1, wherein said metal source is an inorganic compound selected from the group consisting of hafnium (Hf, zirconium (Zr), lanthanum (La), yitrium (Y), gadolinium (Gd), europium (Eu), praseodymium (Pr), and mixtures thereof.
19. The method of claim 1, wherein the amounts of said metal source and said silicon source in said desired final composition of said dielectric film are independently controllable.
20. The method of claim 1, wherein said forming a dielectric film step is completed using a chemical vapor deposition process.
21. The method of claim 1, wherein said forming a dielectric film step is completed using an atomic layer deposition process.
22. A MSiON dielectric film prepared by a process comprising the steps of:
vaporizing a metal source to form a vaporized metal source;
feeding a plurality of dielectric precursors to a deposition device, wherein said dielectric precursors comprise said vaporized metal source, a silicon source, an oxygen source, and a nitrogen source; and
forming a dielectric film, wherein said dielectric film is formed with the desired final composition absent a post deposition step.
23. The dielectric film of claim 22, wherein said silicon source comprises a molecular structure absent carbon.
24. The dielectric film of claim 22, wherein said silicon source comprises a molecular structure absent chlorine.
25. The dielectric film of claim 22, wherein said silicon source is in the vapor phase in the delivery system.
26. The dielectric film of claim 22, absent a step wherein said silicon source is vaporized.
27. The dielectric film of claim 22, absent a step wherein said silicon source is delivered by bubbling a gas through a liquid silicon source.
28. The dielectric film of claim 22, wherein said silicon source has a vapor pressure of at least about 50 torr at 20° C.
29. The dielectric film of claim 22, wherein the source of said silicon is selected from the group consisting of trisilylamine, disilylamine, silylamine, tridisilylamine, aminodisilylamine, tetrasilyldiamine, disilane, derivatives of disilane, and mixtures thereof.
30. The dielectric film of claim 22, wherein the source of said silicon is trisilylamine.
31. The dielectric film of claim 30, wherein said metal source comprises hafnium.
32. The dielectric film of claim 31, wherein said oxygen source comprises nitrous oxide.
33. The dielectric film of claim 32, wherein said nitrogen source comprises ammonia.
34. The dielectric film of claim 22, wherein said oxygen source comprises a molecular structure absent carbon.
35. The dielectric film of claim 22, wherein said oxygen source comprises a molecular structure absent chlorine.
36. The dielectric film of claim 22, wherein said oxygen source is selected from the group consisting of oxygen, nitrous oxide, ozone, and mixtures thereof.
37. The dielectric film of claim 22, wherein said nitrogen source comprises a molecular structure absent carbon.
38. The dielectric film of claim 22, wherein said nitrogen source comprises a molecular structure absent chlorine.
39. The dielectric film of claim 22, wherein said nitrogen source is the same as said metals source or said silicon source, or said oxygen source.
40. The dielectric film of claim 22, wherein said nitrogen source is ammonia.
41. The dielectric film of claim 22, wherein said metal source is selected from the group consisting of a dialkylamino, and alkoxy.
42. The dielectric film of claim 22, wherein said metal source is an inorganic compound selected from the group consisting of hafnium (Hf), zirconium (Zr), lanthanum (La), yitrium (Y), gadolinium (Gd), europium (Eu), praseodymium (Pr), and mixtures thereof.
US11/288,699 2004-03-05 2005-11-28 Novel deposition of high-k MSiON dielectric films Abandoned US20060084281A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/288,699 US20060084281A1 (en) 2004-03-05 2005-11-28 Novel deposition of high-k MSiON dielectric films

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US55090804P 2004-03-05 2004-03-05
US10/939,269 US7098150B2 (en) 2004-03-05 2004-09-10 Method for novel deposition of high-k MSiON dielectric films
US11/288,699 US20060084281A1 (en) 2004-03-05 2005-11-28 Novel deposition of high-k MSiON dielectric films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/939,269 Division US7098150B2 (en) 2004-03-05 2004-09-10 Method for novel deposition of high-k MSiON dielectric films

Publications (1)

Publication Number Publication Date
US20060084281A1 true US20060084281A1 (en) 2006-04-20

Family

ID=34915705

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/939,269 Active US7098150B2 (en) 2004-03-05 2004-09-10 Method for novel deposition of high-k MSiON dielectric films
US10/591,629 Expired - Fee Related US7482286B2 (en) 2004-03-05 2005-02-24 Method for forming dielectric or metallic films
US11/288,699 Abandoned US20060084281A1 (en) 2004-03-05 2005-11-28 Novel deposition of high-k MSiON dielectric films

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/939,269 Active US7098150B2 (en) 2004-03-05 2004-09-10 Method for novel deposition of high-k MSiON dielectric films
US10/591,629 Expired - Fee Related US7482286B2 (en) 2004-03-05 2005-02-24 Method for forming dielectric or metallic films

Country Status (3)

Country Link
US (3) US7098150B2 (en)
JP (1) JP5048476B2 (en)
WO (1) WO2005093126A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050196977A1 (en) * 2004-03-02 2005-09-08 Semiconductor Leading Edge Technologies, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20080251836A1 (en) * 2007-04-16 2008-10-16 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US20110178322A1 (en) * 2010-01-15 2011-07-21 Yoshitaka Hamada Preparation process of trisilylamine
US9887080B2 (en) 2015-12-28 2018-02-06 Samsung Electronics Co., Ltd. Method of forming SiOCN material layer and method of fabricating semiconductor device
US10403494B2 (en) * 2015-03-30 2019-09-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same

Families Citing this family (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4358492B2 (en) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for producing silicon nitride film or silicon oxynitride film by thermal chemical vapor deposition
DE60311016T2 (en) * 2003-07-30 2007-08-02 Infineon Technologies Ag HIGH-K DIELECTRIC FILM, METHOD OF MANUFACTURING THEREOF AND THIS SEMICONDUCTOR ELEMENT
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
JP2006261434A (en) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (en) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7754510B2 (en) * 2007-04-02 2010-07-13 Xerox Corporation Phase-separated dielectric structure fabrication process
US7795614B2 (en) * 2007-04-02 2010-09-14 Xerox Corporation Device with phase-separated dielectric structure
EP2279285B1 (en) * 2008-04-25 2015-02-11 ASM International N.V. Synthesis and use of precursors for ald of tellurium and selenium thin films
EP2494587B1 (en) 2009-10-26 2020-07-15 ASM International N.V. Atomic layer deposition of antimony containing thin films
EP2493963A1 (en) * 2009-10-28 2012-09-05 Dow Corning Corporation Polysilane - polysilazane copolymers and methods for their preparation and use
JP2011243620A (en) * 2010-05-14 2011-12-01 Tokyo Electron Ltd Film formation method and film formation apparatus
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9064694B2 (en) 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102398827B1 (en) 2014-09-23 2022-05-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Carbosilane substituted amine precursors for deposition of si-containing films and methods thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
WO2016094711A2 (en) * 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI706957B (en) 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Catalyst dehydrogenative coupling of carbosilanes with ammonia, amnines and amidines
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017106615A1 (en) * 2015-12-18 2017-06-22 Dow Corning Corporation Synthesis of disilanylamines and polysilanylamines
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI724141B (en) 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 Si-containing film forming compositions and methods of making and using the same
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6941670B2 (en) * 2016-09-09 2021-09-29 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Composition for forming a Group 4 transition metal-containing film for vapor phase growth of a Group 4 transition metal-containing film
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102093227B1 (en) 2017-04-20 2020-03-25 (주)디엔에프 Disilyl amine compound, method for preparing the same and composition for depositing silicon-containing thin film containing the same
WO2018194391A1 (en) * 2017-04-20 2018-10-25 (주)디엔에프 Disilylamine compound, method for preparing same and silicon-containing thin film deposition composition comprising same
KR102548405B1 (en) * 2017-04-20 2023-06-28 (주)디엔에프 composition for depositing silicon-containing thin film containing a disilylamine compound and method for manufacturing a silicon-containing thin film using the same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470398A (en) * 1990-09-25 1995-11-28 Matsushita Electric Industrial Co., Ltd. Dielectric thin film and method of manufacturing same
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6544875B1 (en) * 1999-01-13 2003-04-08 Texas Instruments Incorporated Chemical vapor deposition of silicate high dielectric constant materials
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US20030207549A1 (en) * 2002-05-02 2003-11-06 Jenq Jason Jyh-Shyang Method of forming a silicate dielectric layer
US20040040494A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20070190807A1 (en) * 2004-03-05 2007-08-16 Ashutosh Misra Method for forming dielectric or metallic films

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0521749A (en) * 1990-09-25 1993-01-29 Matsushita Electric Ind Co Ltd Dielectric thin film and manufacture thereof
JP3186872B2 (en) * 1992-11-19 2001-07-11 神港精機株式会社 Film forming method by pulse plasma CVD
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
JP2000272283A (en) 1999-03-29 2000-10-03 Kiyota Engineering:Kk Paper file
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
JP2002053960A (en) 2000-08-04 2002-02-19 Kojundo Chem Lab Co Ltd Cvd raw material composition for depositing zirconium and hafnium silicate film, its production method and method for depositing silicate film using the same
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR101050377B1 (en) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
JP4054215B2 (en) * 2002-05-01 2008-02-27 田中貴金属工業株式会社 Chemical vapor deposition method of raw material compound for CVD and iridium or iridium compound thin film
EP1523763A4 (en) * 2002-07-18 2008-12-24 Aviza Tech Inc Molecular layer deposition of thin films with mixed components
AU2003249254A1 (en) 2002-07-19 2004-02-09 Aviza Technology, Inc. Metal organic chemical vapor deposition and atomic layer deposition of metal oxynitride and metal silicon oxynitride
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470398A (en) * 1990-09-25 1995-11-28 Matsushita Electric Industrial Co., Ltd. Dielectric thin film and method of manufacturing same
US6544875B1 (en) * 1999-01-13 2003-04-08 Texas Instruments Incorporated Chemical vapor deposition of silicate high dielectric constant materials
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US20030207549A1 (en) * 2002-05-02 2003-11-06 Jenq Jason Jyh-Shyang Method of forming a silicate dielectric layer
US20040040494A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20070190807A1 (en) * 2004-03-05 2007-08-16 Ashutosh Misra Method for forming dielectric or metallic films

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050196977A1 (en) * 2004-03-02 2005-09-08 Semiconductor Leading Edge Technologies, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US7510984B2 (en) * 2004-03-02 2009-03-31 Ulvac, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20080251836A1 (en) * 2007-04-16 2008-10-16 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US7851285B2 (en) * 2007-04-16 2010-12-14 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US20110178322A1 (en) * 2010-01-15 2011-07-21 Yoshitaka Hamada Preparation process of trisilylamine
US8461367B2 (en) 2010-01-15 2013-06-11 Shin-Etsu Chemical Co., Ltd. Preparation process of trisilylamine
US10403494B2 (en) * 2015-03-30 2019-09-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9887080B2 (en) 2015-12-28 2018-02-06 Samsung Electronics Co., Ltd. Method of forming SiOCN material layer and method of fabricating semiconductor device

Also Published As

Publication number Publication date
US7482286B2 (en) 2009-01-27
US20070190807A1 (en) 2007-08-16
JP5048476B2 (en) 2012-10-17
US7098150B2 (en) 2006-08-29
JP2007526399A (en) 2007-09-13
WO2005093126A1 (en) 2005-10-06
US20050196970A1 (en) 2005-09-08

Similar Documents

Publication Publication Date Title
US7098150B2 (en) Method for novel deposition of high-k MSiON dielectric films
US7723245B2 (en) Method for manufacturing semiconductor device, and substrate processing apparatus
JP3588334B2 (en) Method for depositing metal metalloid oxides and nitrides with composition gradient
US7470470B2 (en) System and method for forming multi-component dielectric films
US7531467B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US20060110930A1 (en) Direct liquid injection system and method for forming multi-component dielectric films
US20020001674A1 (en) Chemical vapor deposition methods utilizing ionic liquids
US20050255243A1 (en) System and method for forming multi-component dielectric films
CN101014730A (en) System and method for forming multi-component dielectric films
US20060051975A1 (en) Novel deposition of SiON dielectric films
US8092870B2 (en) Preparation of metal oxide thin film via cyclic CVD or ALD
JP2007531256A (en) Method and apparatus for depositing metal oxide etc. by discharging discontinuous precursors
US20090117274A1 (en) Solution based lanthanum precursors for atomic layer deposition
KR20210041843A (en) Novel precursor for metal containing thin film, deposition method of film and semiconductor device of the same
WO2010123531A1 (en) Zirconium precursors useful in atomic layer deposition of zirconium-containing films
TWI251620B (en) Process for CVD of Hf and Zr containing oxynitride films
EP1862566A1 (en) Diethylsilane as a silicone source in the deposition of metal silicate films
TWI389219B (en) Method for forming dielectric or metallic films
WO2003006707A1 (en) Method for bst deposition by cvd
KR20050015757A (en) Method of manufacturing Hf films with improved uniformity in metal organic chemical vapor deposition
Xia et al. One-step growth of HfSiON films

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION