US20060108069A1 - Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers - Google Patents

Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers Download PDF

Info

Publication number
US20060108069A1
US20060108069A1 US10/993,136 US99313604A US2006108069A1 US 20060108069 A1 US20060108069 A1 US 20060108069A1 US 99313604 A US99313604 A US 99313604A US 2006108069 A1 US2006108069 A1 US 2006108069A1
Authority
US
United States
Prior art keywords
electrode plate
ring
hole
processing system
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/993,136
Inventor
James Gernert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Samsung Austin Semiconductor LLC
Original Assignee
Samsung Electronics Co Ltd
Samsung Austin Semiconductor LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd, Samsung Austin Semiconductor LLC filed Critical Samsung Electronics Co Ltd
Priority to US10/993,136 priority Critical patent/US20060108069A1/en
Priority to KR1020050021942A priority patent/KR20060056216A/en
Assigned to SAMSUNG AUSTIN SEMICONDUCTOR, L.P., SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG AUSTIN SEMICONDUCTOR, L.P. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GERNERT, JAMES T.
Publication of US20060108069A1 publication Critical patent/US20060108069A1/en
Assigned to SAMSUNG AUSTIN SEMICONDUCTOR, LLC reassignment SAMSUNG AUSTIN SEMICONDUCTOR, LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SAMSUNG AUSTIN SEMICONDUCTOR, L.P.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F21LIGHTING
    • F21VFUNCTIONAL FEATURES OR DETAILS OF LIGHTING DEVICES OR SYSTEMS THEREOF; STRUCTURAL COMBINATIONS OF LIGHTING DEVICES WITH OTHER ARTICLES, NOT OTHERWISE PROVIDED FOR
    • F21V23/00Arrangement of electric circuit elements in or on lighting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F21LIGHTING
    • F21YINDEXING SCHEME ASSOCIATED WITH SUBCLASSES F21K, F21L, F21S and F21V, RELATING TO THE FORM OR THE KIND OF THE LIGHT SOURCES OR OF THE COLOUR OF THE LIGHT EMITTED
    • F21Y2115/00Light-generating elements of semiconductor light sources
    • F21Y2115/10Light-emitting diodes [LED]

Definitions

  • the present invention generally relates to plasma processing systems and, more specifically, to a plasma reaction chamber with a captive silicon electrode for dry plasma etching of semiconductor wafers.
  • Plasma processing techniques such as dry plasma etching, reactive ion etching, and ion milling techniques, provide numerous advantages over traditional chemical etching of semiconductor wafers.
  • plasma etching has a vertical etch rate that is much greater than the horizontal etch rate. This provides good control over the resulting aspect ratio (i.e., the height to width ratio of the resulting notch) of the etched features.
  • plasma etching forms very fine features with high aspect ratios in very thin films.
  • Reactive ion etching improves this process by using gases that are chemically reactive with the material being etched. Reactive ion etching combines the kinetic etching effects of the plasma particles with the chemical etching effect of the gas.
  • showerhead electrodes that are commonly used in the industry are prohibitively expensive.
  • LAM Research Corporation provides a one-piece showerhead assembly comprising an electrode and a retaining ring.
  • the showerhead assembly is inserted into the top of the etching chamber and costs about $4500. This is a consumable item that greatly increases the cost of using a dry plasma etching system.
  • the plasma processing system comprises: 1) a plasma chamber in which the semiconductor wafer may be mounted; 2) an upper ring capable of being mounted on an upper opening of the plasma chamber, wherein a central portion of the upper ring forms a hole; and 3) an electrode plate having a plurality of vias therethrough.
  • the electrode plate is disposed in the hole in the upper ring, wherein the central portion of the upper ring further forms a shelf for supporting the electrode plate in the hole.
  • the shelf is formed below the hole on the side of the upper ring towards the interior of the plasma chamber.
  • the shelf encircles the hole and projects inward towards a center of the hole.
  • the shelf has an upper surface capable of supporting a perimeter region of a lower surface of the electrode plate when the electrode plate is inserted into the hole.
  • the plasma processing system further comprises a retaining ring disposed on an upper surface of the upper ring, wherein the retaining ring encircles and overlaps the hole and holds the electrode plate in place in the hole.
  • the retaining ring is made of aluminum.
  • the electrode plate is made of a semiconductor material.
  • the plasma processing system further comprises an O-ring capable of forming a gas-tight seal between the retaining ring and the electrode plate.
  • the O-ring is disposed in a groove formed in the retaining ring.
  • the O-ring is disposed in a groove formed in the electrode plate.
  • FIG. 1 illustrates a cross-sectional view of selected portions of a conventional dry plasma etching system according to an exemplary embodiment of the prior art
  • FIG. 2 illustrates a cross-sectional view of selected portions of an improved dry plasma etching system and an improved showerhead electrode assembly according to an exemplary embodiment of the present invention
  • FIG. 3 illustrates in greater detail the cross-sectional view of selected portions of the dry plasma etching system and the improved showerhead electrode assembly in FIG. 2 according to a first exemplary embodiment of the present invention
  • FIG. 4 illustrates in greater detail the cross-sectional view of selected portions of the dry plasma etching system and the improved showerhead electrode assembly according to a second exemplary embodiment of the present invention.
  • FIGS. 1 through 4 discussed below, and the various embodiments used to describe the principles of the present invention in this patent document are by way of illustration only and should not be construed in any way to limit the scope of the invention. Those skilled in the art will understand that the principles of the present invention may be implemented in any suitably arranged plasma processing system.
  • FIG. 1 illustrates a cross-sectional view of selected portions of conventional plasma etching system 100 according to an exemplary embodiment of the prior art.
  • Plasma etching system 100 comprises plasma chamber 105 , which encloses empty space 106 .
  • Semiconductor wafer 115 is mounted on support 120 on the bottom wall (floor) of plasma chamber 105 .
  • Plasma etching system 100 further comprises showerhead electrode assembly 130 and housing 110 .
  • showerhead electrode assembly 130 comprises electrode plate 131 (diagonal line shading) and graphite ring 132 (lattice shading), which are bonded together to form showerhead electrode assembly 130 as a single unit.
  • showerhead electrode assemblies similar to showerhead electrode assembly 130 are well-known to those skilled in the art and may include, for example, the showerhead electrode assemblies provided by LAM Research Corporation as part of the 2300 Exelan® dielectric etch system.
  • Upper ring 107 of plasma chamber 105 comprises inner (or lower) surface 108 and outer (or upper) surface 109 .
  • Upper ring 107 may comprise, for example a ring assembly of quartz and/or silicon carbide and/or ceramic. Upper ring 107 may be removably mounted on the top of plasma chamber 105 to thereby close the chamber. A portion of the center of upper ring 107 forms a circular opening into which showerhead electrode assembly 130 is inserted. The circular opening in upper ring 107 is encircled by retaining ring 135 (dotted shading). When showerhead electrode assembly 130 is inserted into the circular opening, graphite ring 132 is pressed between retaining ring 135 and housing 110 .
  • Graphite ring 132 and retaining ring 135 are mounted on, and held in place by, a plurality of threaded bolts 136 , including exemplary bolts 136 a and 136 b , mounted up to housing 110 .
  • Bolts 136 hold showerhead electrode assembly 130 in place in the circular opening in upper ring 107 and provide a gas-tight seal between housing 110 and graphite ring 132 .
  • Screws 142 including exemplary screws 142 a and 142 b hold upper ring 107 to housing 110 .
  • baffle plates are disposed on top of electrode plate 131 , including exemplary baffle plate 140 . Holes or vias 141 are formed in baffle plate 140 . Similarly, holes or vias 133 are formed in electrode plate 131 . Vias 133 and 141 enable ionizing gas, enclosed in space 111 above baffle plate 140 by housing 110 , to flow through the baffle plates and electrode plate 131 into space 106 enclosed by plasma chamber 105 . The movement of the ionizing gas from space 111 to space 106 is indicated by the dotted arrows pointing downward in FIG. 1 .
  • Vias 141 in baffle plate 140 are not aligned with the vias in the baffle plate below baffle plate 140 .
  • the vias in the lower baffle plate are not aligned with vias 133 in electrode plate 131 .
  • This misalignment forces the ionizing gas to disperse evenly as the gas flows through the two baffle plates and electrode plate 131 .
  • the ionized gas forms plasma in the region above semiconductor wafer 115 in space 106 .
  • An electrical potential is introduced between electrode plate 131 and semiconductor wafer 115 . This electrical potential is adjusted so that charged particles in the plasma are propelled towards the upper surface of semiconductor wafer 115 and collide substantially perpendicularly upon the upper surface of semiconductor wafer 115 .
  • the energy of the impact removes materials in the unmasked regions of the upper surface of semiconductor wafer 115 .
  • the upper components of plasma etching system 100 may be assembled in an upside down position prior to being placed on top of plasma chamber 105 .
  • housing 110 may be placed upside down and the baffle plates are put in place.
  • showerhead electrode assembly 130 is placed on top of the baffle plates.
  • Retaining ring 135 is then placed on top of showerhead electrode assembly 130 and is bolted into housing 110 by bolts 136 .
  • upper ring 107 is placed on top of retaining ring 135 and is bolted to housing 110 by bolts 142 .
  • the assembled upper components are then flipped over and mounted on top of plasma chamber 105 . In that position, upper ring 107 and retaining ring 135 prevent showerhead assembly 130 from dropping into plasma chamber 105 .
  • Electrode plate 131 is worn away throughout the process, thereby requiring replacement.
  • showerhead electrode assembly 130 is therefore a consumable that increases the cost of operating plasma etching system 100 .
  • the present invention improves upon plasma etching system 100 by reducing the cost of showerhead electrode assembly 130 .
  • FIG. 2 illustrates a cross-sectional view of selected portions of improved dry plasma etching system 200 and an improved showerhead electrode assembly according to an exemplary embodiment of the present invention.
  • Plasma etching system 200 comprises plasma chamber 205 , which encloses empty space 206 .
  • Semiconductor wafer 115 is mounted on support 120 on the bottom wall (floor) of plasma chamber 205 .
  • Plasma etching system 200 further comprises a two-piece showerhead electrode assembly and housing 210 .
  • the two-piece showerhead electrode assembly comprises electrode plate 231 (diagonal line shading) and retaining ring 235 (dotted shading) Retaining ring 235 is preferably made from aluminum.
  • Upper ring 207 of plasma chamber 205 comprises inner (or lower) surface 208 and outer (or upper) surface 209 .
  • a portion of the center of upper ring 207 forms a circular opening into which electrode plate 231 is lowered.
  • upper ring 207 also forms circular shelf 250 , which encircles, and projects into, the circular opening in upper ring 207 .
  • the outer perimeter region of the bottom surface of electrode plate 231 rests upon, and is supported by, the upper surface of shelf 250 .
  • Retaining ring 235 (dotted shading) is mounted on housing 210 and is held in place by a plurality of threaded bolts 236 , including exemplary bolts 236 a and 236 b . Electrode plate 231 is then placed in upper ring 207 and upper ring 207 is bolted to housing 210 by a plurality of threaded bolts 242 , including exemplary bolts 242 a and 242 b . Bolts 242 tighten electrode plate 231 between retaining ring 235 and upper ring 207 , providing a gas-tight seal between retaining ring 235 and electrode plate 231 .
  • baffle plates are disposed on top of electrode plate 231 , including exemplary baffle plate 140 . Holes or vias 141 are formed in baffle plate 140 . Similarly, holes or vias 233 are formed in electrode plate 231 . Vias 233 and 141 enable ionizing gas, enclosed in space 211 above baffle plate 140 by housing 210 , to flow through the two baffle plates and electrode plate 231 into space 206 enclosed by plasma chamber 205 . The movement of the ionizing gas from space 211 to space 206 is indicated by the dotted arrows pointing downward in FIG. 2 .
  • vias 141 in baffle plate 140 are not aligned with the vias in the baffle plate below baffle plate 140 .
  • the vias in the lower baffle plate are not aligned with vias 233 in electrode plate 231 .
  • This misalignment forces the ionizing gas to disperse evenly as the gas flows through the baffle plates and electrode plate 231 .
  • the ionized gas forms plasma in the region above semiconductor wafer 115 in space 206 .
  • an electrical potential is introduced between electrode plate 231 and semiconductor wafer 115 . This electrical potential is adjusted so that charged particles in the plasma are propelled towards the upper surface of semiconductor wafer 115 and collide substantially perpendicularly upon the upper surface of semiconductor wafer 115 .
  • the energy of the impact removes materials in the unmasked regions of the upper surface of semiconductor wafer 115 .
  • FIG. 3 illustrates in greater detail the cross-sectional view of selected portions of dry plasma etching system 200 and the improved showerhead electrode assembly according to a first exemplary embodiment of the present invention.
  • O-ring 305 is placed in a groove formed in retaining ring 235 .
  • O-ring 305 forms a seal that captures any process gas and channels the captured gas through vias 233 in silicon electrode plate 231 .
  • the groove in which O-ring 305 is disposed is recessed so that, when compressed, silicon electrode plate 231 contacts retaining ring 235 , which is grounded. Retaining ring 235 is anodized in such a way that silicon electrode plate 231 conducts to a ground plane.
  • FIG. 4 illustrates in greater detail the cross-sectional view of selected portions of dry plasma etching system 200 and the improved showerhead electrode assembly according to a second exemplary embodiment of the present invention.
  • FIG. 4 is identical in most respect to FIG. 3 , except that O-ring 405 is placed in a groove formed in silicon electrode plate 231 .
  • O-ring 405 forms a seal that captures any process gas and channels the captured gas through vias 233 in silicon electrode plate 231 .
  • the groove in which O-ring 405 is disposed is recessed so that, when compressed, silicon electrode plate 231 contacts retaining ring 235 , which is grounded.

Abstract

A plasma processing system for etching a semiconductor wafer comprises: 1) a plasma chamber in which the semiconductor wafer may be mounted; 2) an upper ring capable of being mounted on an upper opening of the plasma chamber, wherein a central portion of the upper ring forms a hole; and 3) an electrode plate having a plurality of vias therethrough. The electrode plate is disposed in the hole in the upper ring, wherein the central portion of the upper ring further forms a shelf for supporting the electrode plate in the hole.

Description

    TECHNICAL FIELD OF THE INVENTION
  • The present invention generally relates to plasma processing systems and, more specifically, to a plasma reaction chamber with a captive silicon electrode for dry plasma etching of semiconductor wafers.
  • BACKGROUND OF THE INVENTION
  • Plasma processing techniques, such as dry plasma etching, reactive ion etching, and ion milling techniques, provide numerous advantages over traditional chemical etching of semiconductor wafers. For example, plasma etching has a vertical etch rate that is much greater than the horizontal etch rate. This provides good control over the resulting aspect ratio (i.e., the height to width ratio of the resulting notch) of the etched features. Thus, plasma etching forms very fine features with high aspect ratios in very thin films.
  • During the plasma etching process, large amounts of energy are added to a gas at relatively low pressure, thereby ionizing the gas. This forms plasma above the masked surface of the substrate (i.e., the semiconductor wafer). An electrical field is established between an electrode at the top of the etching chamber and the semiconductor wafer at the bottom of the etching chamber. The electrical potential of the substrate is adjusted so that charged particles in the plasma are propelled towards the substrate and collide substantially perpendicularly upon the wafer surface. The energy of the impact removes materials in the unmasked regions of the wafer surface. Reactive ion etching improves this process by using gases that are chemically reactive with the material being etched. Reactive ion etching combines the kinetic etching effects of the plasma particles with the chemical etching effect of the gas.
  • The effectiveness of the etching process is greatly affected by the components of the etching chamber. Uniform etching rates may be achieved across the surface of the wafer by evenly distributing the plasma over the wafer surface. U.S. Pat. Nos. 4,595,484, 4,792,378, 4,820,371, and 4,960,488 disclose showerhead electrodes for distributing gas through holes in the electrodes. These patents generally describe gas dispersion disks having an arrangement of apertures tailored to provide a uniform flow of gas vapor to a semiconductor wafer.
  • However, the showerhead electrodes that are commonly used in the industry are prohibitively expensive. For example, LAM Research Corporation provides a one-piece showerhead assembly comprising an electrode and a retaining ring. The showerhead assembly is inserted into the top of the etching chamber and costs about $4500. This is a consumable item that greatly increases the cost of using a dry plasma etching system.
  • Therefore, there is a need in the art for an improved dry plasma etching system that costs less to operate than conventional dry plasma etching systems. In particular, there is a need in the art for an improved dry plasma etching system that uses a less expensive showerhead electrode.
  • SUMMARY OF THE INVENTION
  • To address the above-discussed deficiencies of the prior art, it is a primary object of the present invention to provide a plasma processing system for etching a semiconductor wafer. According to an advantageous embodiment of the present invention, the plasma processing system comprises: 1) a plasma chamber in which the semiconductor wafer may be mounted; 2) an upper ring capable of being mounted on an upper opening of the plasma chamber, wherein a central portion of the upper ring forms a hole; and 3) an electrode plate having a plurality of vias therethrough. The electrode plate is disposed in the hole in the upper ring, wherein the central portion of the upper ring further forms a shelf for supporting the electrode plate in the hole.
  • According to one embodiment of the present invention, the shelf is formed below the hole on the side of the upper ring towards the interior of the plasma chamber.
  • According to another embodiment of the present invention, the shelf encircles the hole and projects inward towards a center of the hole.
  • According to still another embodiment of the present invention, the shelf has an upper surface capable of supporting a perimeter region of a lower surface of the electrode plate when the electrode plate is inserted into the hole.
  • According to yet another embodiment of the present invention, the plasma processing system further comprises a retaining ring disposed on an upper surface of the upper ring, wherein the retaining ring encircles and overlaps the hole and holds the electrode plate in place in the hole.
  • According to a further embodiment of the present invention, the retaining ring is made of aluminum.
  • According to a still further embodiment of the present invention, the electrode plate is made of a semiconductor material.
  • According to a yet further embodiment of the present invention, the plasma processing system further comprises an O-ring capable of forming a gas-tight seal between the retaining ring and the electrode plate.
  • In one embodiment of the present invention, the O-ring is disposed in a groove formed in the retaining ring.
  • In another embodiment of the present invention, the O-ring is disposed in a groove formed in the electrode plate.
  • Before undertaking the DETAILED DESCRIPTION OF THE INVENTION below, it may be advantageous to set forth definitions of certain words and phrases used throughout this patent document: the terms “include” and “comprise,” as well as derivatives thereof, mean inclusion without limitation; the term “or,” is inclusive, meaning and/or; the phrases “associated with” and “associated therewith,” as well as derivatives thereof, may mean to include, be included within, interconnect with, contain, be contained within, connect to or with, couple to or with, be communicable with, cooperate with, interleave, juxtapose, be proximate to, be bound to or with, have, have a property of, or the like. Definitions for certain words and phrases are provided throughout this patent document, those of ordinary skill in the art should understand that in many, if not most instances, such definitions apply to prior, as well as future uses of such defined words and phrases.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention and its advantages, reference is now made to the following description taken in conjunction with the accompanying drawings, in which like reference numerals represent like parts:
  • FIG. 1 illustrates a cross-sectional view of selected portions of a conventional dry plasma etching system according to an exemplary embodiment of the prior art;
  • FIG. 2 illustrates a cross-sectional view of selected portions of an improved dry plasma etching system and an improved showerhead electrode assembly according to an exemplary embodiment of the present invention;
  • FIG. 3 illustrates in greater detail the cross-sectional view of selected portions of the dry plasma etching system and the improved showerhead electrode assembly in FIG. 2 according to a first exemplary embodiment of the present invention; and
  • FIG. 4 illustrates in greater detail the cross-sectional view of selected portions of the dry plasma etching system and the improved showerhead electrode assembly according to a second exemplary embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIGS. 1 through 4, discussed below, and the various embodiments used to describe the principles of the present invention in this patent document are by way of illustration only and should not be construed in any way to limit the scope of the invention. Those skilled in the art will understand that the principles of the present invention may be implemented in any suitably arranged plasma processing system.
  • FIG. 1 illustrates a cross-sectional view of selected portions of conventional plasma etching system 100 according to an exemplary embodiment of the prior art. Plasma etching system 100 comprises plasma chamber 105, which encloses empty space 106. Semiconductor wafer 115 is mounted on support 120 on the bottom wall (floor) of plasma chamber 105. Plasma etching system 100 further comprises showerhead electrode assembly 130 and housing 110. Showerhead electrode assembly 130 comprises electrode plate 131 (diagonal line shading) and graphite ring 132 (lattice shading), which are bonded together to form showerhead electrode assembly 130 as a single unit. Showerhead electrode assemblies similar to showerhead electrode assembly 130 are well-known to those skilled in the art and may include, for example, the showerhead electrode assemblies provided by LAM Research Corporation as part of the 2300 Exelan® dielectric etch system.
  • Upper ring 107 of plasma chamber 105 comprises inner (or lower) surface 108 and outer (or upper) surface 109. Upper ring 107 may comprise, for example a ring assembly of quartz and/or silicon carbide and/or ceramic. Upper ring 107 may be removably mounted on the top of plasma chamber 105 to thereby close the chamber. A portion of the center of upper ring 107 forms a circular opening into which showerhead electrode assembly 130 is inserted. The circular opening in upper ring 107 is encircled by retaining ring 135 (dotted shading). When showerhead electrode assembly 130 is inserted into the circular opening, graphite ring 132 is pressed between retaining ring 135 and housing 110. Graphite ring 132 and retaining ring 135 are mounted on, and held in place by, a plurality of threaded bolts 136, including exemplary bolts 136 a and 136 b, mounted up to housing 110. Bolts 136 hold showerhead electrode assembly 130 in place in the circular opening in upper ring 107 and provide a gas-tight seal between housing 110 and graphite ring 132. Screws 142, including exemplary screws 142 a and 142 b hold upper ring 107 to housing 110.
  • Multiple baffle plates (dotted shading) are disposed on top of electrode plate 131, including exemplary baffle plate 140. Holes or vias 141 are formed in baffle plate 140. Similarly, holes or vias 133 are formed in electrode plate 131. Vias 133 and 141 enable ionizing gas, enclosed in space 111 above baffle plate 140 by housing 110, to flow through the baffle plates and electrode plate 131 into space 106 enclosed by plasma chamber 105. The movement of the ionizing gas from space 111 to space 106 is indicated by the dotted arrows pointing downward in FIG. 1.
  • Vias 141 in baffle plate 140 are not aligned with the vias in the baffle plate below baffle plate 140. Similarly, the vias in the lower baffle plate are not aligned with vias 133 in electrode plate 131. This misalignment forces the ionizing gas to disperse evenly as the gas flows through the two baffle plates and electrode plate 131. The ionized gas forms plasma in the region above semiconductor wafer 115 in space 106. An electrical potential is introduced between electrode plate 131 and semiconductor wafer 115. This electrical potential is adjusted so that charged particles in the plasma are propelled towards the upper surface of semiconductor wafer 115 and collide substantially perpendicularly upon the upper surface of semiconductor wafer 115. The energy of the impact removes materials in the unmasked regions of the upper surface of semiconductor wafer 115.
  • For convenience, the upper components of plasma etching system 100 may be assembled in an upside down position prior to being placed on top of plasma chamber 105. Initially, housing 110 may be placed upside down and the baffle plates are put in place. Next, showerhead electrode assembly 130 is placed on top of the baffle plates. Retaining ring 135 is then placed on top of showerhead electrode assembly 130 and is bolted into housing 110 by bolts 136. Finally, upper ring 107 is placed on top of retaining ring 135 and is bolted to housing 110 by bolts 142. The assembled upper components are then flipped over and mounted on top of plasma chamber 105. In that position, upper ring 107 and retaining ring 135 prevent showerhead assembly 130 from dropping into plasma chamber 105.
  • Electrode plate 131 is worn away throughout the process, thereby requiring replacement. Showerhead electrode assembly 130 is therefore a consumable that increases the cost of operating plasma etching system 100. The present invention improves upon plasma etching system 100 by reducing the cost of showerhead electrode assembly 130.
  • FIG. 2 illustrates a cross-sectional view of selected portions of improved dry plasma etching system 200 and an improved showerhead electrode assembly according to an exemplary embodiment of the present invention. Plasma etching system 200 comprises plasma chamber 205, which encloses empty space 206. Semiconductor wafer 115 is mounted on support 120 on the bottom wall (floor) of plasma chamber 205. Plasma etching system 200 further comprises a two-piece showerhead electrode assembly and housing 210. The two-piece showerhead electrode assembly comprises electrode plate 231 (diagonal line shading) and retaining ring 235 (dotted shading) Retaining ring 235 is preferably made from aluminum.
  • Upper ring 207 of plasma chamber 205 comprises inner (or lower) surface 208 and outer (or upper) surface 209. A portion of the center of upper ring 207 forms a circular opening into which electrode plate 231 is lowered. However, upper ring 207 also forms circular shelf 250, which encircles, and projects into, the circular opening in upper ring 207. The outer perimeter region of the bottom surface of electrode plate 231 rests upon, and is supported by, the upper surface of shelf 250.
  • Retaining ring 235 (dotted shading) is mounted on housing 210 and is held in place by a plurality of threaded bolts 236, including exemplary bolts 236 a and 236 b. Electrode plate 231 is then placed in upper ring 207 and upper ring 207 is bolted to housing 210 by a plurality of threaded bolts 242, including exemplary bolts 242 a and 242 b. Bolts 242 tighten electrode plate 231 between retaining ring 235 and upper ring 207, providing a gas-tight seal between retaining ring 235 and electrode plate 231.
  • As in FIG. 1, baffle plates are disposed on top of electrode plate 231, including exemplary baffle plate 140. Holes or vias 141 are formed in baffle plate 140. Similarly, holes or vias 233 are formed in electrode plate 231. Vias 233 and 141 enable ionizing gas, enclosed in space 211 above baffle plate 140 by housing 210, to flow through the two baffle plates and electrode plate 231 into space 206 enclosed by plasma chamber 205. The movement of the ionizing gas from space 211 to space 206 is indicated by the dotted arrows pointing downward in FIG. 2.
  • As before, vias 141 in baffle plate 140 are not aligned with the vias in the baffle plate below baffle plate 140. Similarly, the vias in the lower baffle plate are not aligned with vias 233 in electrode plate 231. This misalignment forces the ionizing gas to disperse evenly as the gas flows through the baffle plates and electrode plate 231. The ionized gas forms plasma in the region above semiconductor wafer 115 in space 206. As in FIG. 1, an electrical potential is introduced between electrode plate 231 and semiconductor wafer 115. This electrical potential is adjusted so that charged particles in the plasma are propelled towards the upper surface of semiconductor wafer 115 and collide substantially perpendicularly upon the upper surface of semiconductor wafer 115. The energy of the impact removes materials in the unmasked regions of the upper surface of semiconductor wafer 115.
  • FIG. 3 illustrates in greater detail the cross-sectional view of selected portions of dry plasma etching system 200 and the improved showerhead electrode assembly according to a first exemplary embodiment of the present invention. O-ring 305 is placed in a groove formed in retaining ring 235. When retaining ring 235 is pressed down on silicon electrode plate 231, O-ring 305 forms a seal that captures any process gas and channels the captured gas through vias 233 in silicon electrode plate 231. The groove in which O-ring 305 is disposed is recessed so that, when compressed, silicon electrode plate 231 contacts retaining ring 235, which is grounded. Retaining ring 235 is anodized in such a way that silicon electrode plate 231 conducts to a ground plane.
  • FIG. 4 illustrates in greater detail the cross-sectional view of selected portions of dry plasma etching system 200 and the improved showerhead electrode assembly according to a second exemplary embodiment of the present invention. FIG. 4 is identical in most respect to FIG. 3, except that O-ring 405 is placed in a groove formed in silicon electrode plate 231. When retaining ring 235 is pressed down on silicon electrode plate 231, O-ring 405 forms a seal that captures any process gas and channels the captured gas through vias 233 in silicon electrode plate 231. As in FIG. 3, the groove in which O-ring 405 is disposed is recessed so that, when compressed, silicon electrode plate 231 contacts retaining ring 235, which is grounded.
  • Although the present invention has been described with an exemplary embodiment, various changes and modifications may be suggested to one skilled in the art. It is intended that the present invention encompass such changes and modifications as fall within the scope of the appended claims.

Claims (11)

1. A plasma processing system for etching a semiconductor wafer comprising:
a plasma chamber in which said semiconductor wafer may be mounted;
an upper ring capable of being mounted on an upper opening of said plasma chamber, wherein a central portion of said upper ring forms a hole; and
an electrode plate having a plurality of vias therethrough, wherein said electrode plate is disposed in said hole in said upper ring, wherein said central portion of said upper ring further forms a shelf for supporting said electrode plate in said hole.
2. The plasma processing system as set forth in claim 1, wherein said shelf is formed on an interior side in said hole in said upper ring.
3. The plasma processing system as set forth in claim 2, wherein said shelf encircles said hole and projects inward towards a center of said hole.
4. The plasma processing system as set forth in claim 3, wherein said shelf has an upper surface capable of supporting a perimeter region of a lower surface of said electrode plate when said electrode plate is inserted into said hole.
5. The plasma processing system as set forth in claim 4, further comprising a retaining ring disposed on an upper surface of said upper ring, wherein said retaining ring encircles and overlaps said hole and holds said electrode plate in place in said hole.
6. The plasma processing system as set forth in claim 5, wherein said retaining ring is made of aluminum.
7. The plasma processing system as set forth in claim 5, wherein said electrode plate is made of a semiconductor material.
8. The plasma processing system as set forth in claim 5, further comprising an O-ring capable of forming a gas-tight seal between said retaining ring and said electrode plate.
9. The plasma processing system as set forth in claim 8, wherein said O-ring is disposed in a groove formed in said retaining ring.
10. The plasma processing system as set forth in claim 8, wherein said O-ring is disposed in a groove formed in said electrode plate.
11. The plasma processing system as set forth in claim 5, wherein said electrode plate and said hole in said upper ring are circular.
US10/993,136 2004-11-19 2004-11-19 Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers Abandoned US20060108069A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/993,136 US20060108069A1 (en) 2004-11-19 2004-11-19 Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
KR1020050021942A KR20060056216A (en) 2004-11-19 2005-03-16 Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/993,136 US20060108069A1 (en) 2004-11-19 2004-11-19 Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers

Publications (1)

Publication Number Publication Date
US20060108069A1 true US20060108069A1 (en) 2006-05-25

Family

ID=36459875

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/993,136 Abandoned US20060108069A1 (en) 2004-11-19 2004-11-19 Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers

Country Status (2)

Country Link
US (1) US20060108069A1 (en)
KR (1) KR20060056216A (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080090417A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Upper electrode backing member with particle reducing features
US20090079122A1 (en) * 2006-03-08 2009-03-26 Sez Ag Device for fluid treating plate-like articles
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20100000683A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Showerhead electrode
US20100003824A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Clamped showerhead electrode assembly
US20100252197A1 (en) * 2009-04-07 2010-10-07 Lam Reseach Corporation Showerhead electrode with centering feature
US20110024044A1 (en) * 2009-07-30 2011-02-03 Tokyo Electron Limited Electrode for use in plasma processing apparatus and plasma processing apparatus
US20110070740A1 (en) * 2009-09-18 2011-03-24 Lam Research Corporation Clamped monolithic showerhead electrode
US20110083809A1 (en) * 2009-10-13 2011-04-14 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
CN102191502A (en) * 2010-02-04 2011-09-21 东京毅力科创株式会社 Gas shower structure and substrate processing apparatus
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
TWI663674B (en) * 2017-07-25 2019-06-21 漢民科技股份有限公司 Assembly of chamber lid and ceiling for semiconductor processes and film deposition apparatus

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
KR101131898B1 (en) * 2010-09-03 2012-04-03 우범제 Lid Assembly of plasma etching apparatus

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158589A (en) * 1977-12-30 1979-06-19 International Business Machines Corporation Negative ion extractor for a plasma etching apparatus
US4407708A (en) * 1981-08-06 1983-10-04 Eaton Corporation Method for operating a magnetron sputtering apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4595484A (en) * 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4963713A (en) * 1988-01-20 1990-10-16 Tokyo Electron Limited Cooling of a plasma electrode system for an etching apparatus
US4978412A (en) * 1989-04-11 1990-12-18 Tokyo Electron Limited Plasma processing device
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5246529A (en) * 1990-09-07 1993-09-21 Tokyo Electron Limited Plasma processing method
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5690790A (en) * 1996-03-28 1997-11-25 The Procter & Gamble Company Temporary wet strength paper
US5744199A (en) * 1996-10-31 1998-04-28 Dow Corning Corporation Method of sealing openings in structural components of buildings for controlling the passage of smoke
US5766364A (en) * 1996-07-17 1998-06-16 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US20010030024A1 (en) * 2000-03-17 2001-10-18 Anelva Corporation Plasma-enhanced processing apparatus
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6916399B1 (en) * 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158589A (en) * 1977-12-30 1979-06-19 International Business Machines Corporation Negative ion extractor for a plasma etching apparatus
US4407708A (en) * 1981-08-06 1983-10-04 Eaton Corporation Method for operating a magnetron sputtering apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4595484A (en) * 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4963713A (en) * 1988-01-20 1990-10-16 Tokyo Electron Limited Cooling of a plasma electrode system for an etching apparatus
US4978412A (en) * 1989-04-11 1990-12-18 Tokyo Electron Limited Plasma processing device
US5246529A (en) * 1990-09-07 1993-09-21 Tokyo Electron Limited Plasma processing method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US5690790A (en) * 1996-03-28 1997-11-25 The Procter & Gamble Company Temporary wet strength paper
US5766364A (en) * 1996-07-17 1998-06-16 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus
US5744199A (en) * 1996-10-31 1998-04-28 Dow Corning Corporation Method of sealing openings in structural components of buildings for controlling the passage of smoke
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6916399B1 (en) * 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US20010030024A1 (en) * 2000-03-17 2001-10-18 Anelva Corporation Plasma-enhanced processing apparatus
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090079122A1 (en) * 2006-03-08 2009-03-26 Sez Ag Device for fluid treating plate-like articles
US8974631B2 (en) * 2006-03-08 2015-03-10 Lam Research Ag Device for fluid treating plate-like articles
US20110086513A1 (en) * 2006-10-16 2011-04-14 Lam Research Corporation Upper electrode backing member with particle reducing features
US8709202B2 (en) 2006-10-16 2014-04-29 Lam Research Corporation Upper electrode backing member with particle reducing features
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080090417A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Upper electrode backing member with particle reducing features
US9196462B2 (en) 2008-01-10 2015-11-24 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20100003824A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Clamped showerhead electrode assembly
US8313805B2 (en) 2008-07-07 2012-11-20 Lam Research Corporation Clamped showerhead electrode assembly
US20100000683A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Showerhead electrode
US8796153B2 (en) 2008-07-07 2014-08-05 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8414719B2 (en) 2008-07-07 2013-04-09 Lam Research Corporation Clamped monolithic showerhead electrode
US20100252197A1 (en) * 2009-04-07 2010-10-07 Lam Reseach Corporation Showerhead electrode with centering feature
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8536071B2 (en) 2009-04-10 2013-09-17 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20110024044A1 (en) * 2009-07-30 2011-02-03 Tokyo Electron Limited Electrode for use in plasma processing apparatus and plasma processing apparatus
US8858712B2 (en) * 2009-07-30 2014-10-14 Tokyo Electron Limited Electrode for use in plasma processing apparatus and plasma processing apparatus
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US20110070740A1 (en) * 2009-09-18 2011-03-24 Lam Research Corporation Clamped monolithic showerhead electrode
US20110083809A1 (en) * 2009-10-13 2011-04-14 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US9245716B2 (en) 2009-10-13 2016-01-26 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US10262834B2 (en) 2009-10-13 2019-04-16 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
CN102191502A (en) * 2010-02-04 2011-09-21 东京毅力科创株式会社 Gas shower structure and substrate processing apparatus
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
TWI663674B (en) * 2017-07-25 2019-06-21 漢民科技股份有限公司 Assembly of chamber lid and ceiling for semiconductor processes and film deposition apparatus
US10927455B2 (en) 2017-07-25 2021-02-23 Hermes-Epitek Corporation Assembly of chamber lid and ceiling for semiconductor processes and film deposition

Also Published As

Publication number Publication date
KR20060056216A (en) 2006-05-24

Similar Documents

Publication Publication Date Title
US20060108069A1 (en) Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US6838012B2 (en) Methods for etching dielectric materials
US9111968B2 (en) Plasma processing chamber with a grounded electrode assembly
US8796153B2 (en) Clamped monolithic showerhead electrode
EP0826229B1 (en) Electrode clamping assembly and method for assembly and use thereof
EP1989727B1 (en) SEALED ELASTOMER BONDED Si ELECTRODES AND THE LIKE FOR REDUCED PARTICLE CONTAMINATION IN DIELECTRIC ETCH
US7939778B2 (en) Plasma processing chamber with guard ring for upper electrode assembly
JP4819411B2 (en) Plasma processing equipment
KR20040111691A (en) Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
US8628268B2 (en) Cam lock electrode clamp
US20080026589A1 (en) Electrode for plasma processes and method for manufacture and use thereof
US9550194B2 (en) Gas shower structure and substrate processing apparatus
KR960005831A (en) Plasma reactor with improved plasma uniformity by gas addition, reduced chamber diameter and reduced RF wafer pedestal diameter
JP2011171763A (en) Electrode assembly and plasma processing apparatus
US6258204B1 (en) Electrically planar upper electrode cover
KR100510076B1 (en) Plasma Etching Apparatus
KR100686284B1 (en) Upper electrode unit and plasma processing apparatus
KR20000021283A (en) Apparatus for manufacturing semiconductor device with ceramic baffle
JP2004119766A (en) Semiconductor manufacturing apparatus
KR20040051147A (en) Etching apparatus of semiconductor

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GERNERT, JAMES T.;REEL/FRAME:016679/0906

Effective date: 20050516

Owner name: SAMSUNG AUSTIN SEMICONDUCTOR, L.P., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GERNERT, JAMES T.;REEL/FRAME:016679/0906

Effective date: 20050516

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: SAMSUNG AUSTIN SEMICONDUCTOR, LLC, DELAWARE

Free format text: CHANGE OF NAME;ASSIGNOR:SAMSUNG AUSTIN SEMICONDUCTOR, L.P.;REEL/FRAME:047006/0105

Effective date: 20050803