US20060128125A1 - Gate Electrodes and the Formation Thereof - Google Patents

Gate Electrodes and the Formation Thereof Download PDF

Info

Publication number
US20060128125A1
US20060128125A1 US10/707,968 US70796804A US2006128125A1 US 20060128125 A1 US20060128125 A1 US 20060128125A1 US 70796804 A US70796804 A US 70796804A US 2006128125 A1 US2006128125 A1 US 2006128125A1
Authority
US
United States
Prior art keywords
metal
layer
metal layer
processing
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/707,968
Other versions
US7419905B2 (en
Inventor
Dominique Mangelinck
Dongzhi Chi
Syamal Lahiri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agency for Science Technology and Research Singapore
Original Assignee
Agency for Science Technology and Research Singapore
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agency for Science Technology and Research Singapore filed Critical Agency for Science Technology and Research Singapore
Assigned to AGENCY FOR SCIENCE, TECHNOLOGY AND RESEACH reassignment AGENCY FOR SCIENCE, TECHNOLOGY AND RESEACH ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MANGELINCK, DOMINIQUE, CHI, DONGZHI, LAHIRI, SYAMAL KUMAR
Publication of US20060128125A1 publication Critical patent/US20060128125A1/en
Application granted granted Critical
Publication of US7419905B2 publication Critical patent/US7419905B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method of fabricating a gate electrode for a semiconductor comprising the steps of: providing a substrate; providing on the substrate a layer of a first material of thickness tp, the first material being selected from the group consisting of Si, Si1-x—Gex alloy, Ge and mixtures thereof and a layer of metal of thickness tm; and annealing the layers, such that substantially all of the first material and the metal are consumed during reaction with one another.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is the National Stage of International Application No. PCT/SG02/00174, filed Jul. 31, 2002, and which was published in English under PCT Article 21(2) as WO 03/012876 A1 on Feb. 13, 2003. The international application claims priority to Singaporean Application No. 200104614-3, filed Jul. 31, 2001.
  • BACKGROUND OF INVENTION
  • Referring to FIG. 1 of the accompanying drawings, a complementary metal oxide semiconductor (CMOS) transistor comprises an n channel MOS (NMOS) and a p channel MOS (PMOS). Historically, a polycrystalline n+-Si gate is used as a gate electrode both in NMOS and PMOS transistors. For PMOS transistors additional boron implantation into the channel region of the Si substrate is needed to control the threshold voltage because of the low work function of n+-Si. This can produce short channel effects and large sub-threshold currents and thus the PMOS transistor is less scaleable than the NMOS transistor. In order to solve this problem, a dual gate configuration where polycrystalline n+-Si and p+-Si are used as the gate for the NMOS and PMOS transistors, respectively, has been suggested. However, the dual gate CMOS has drawbacks, most notably boron penetration (for PMOS) through the gate oxide and the poly-depletion effect. Instead of using a dual gate, a material with a work function close to the value of the middle of the bandgap of silicon (4.61 eV), can be used for both NMOS and PMOS transistors. A material with such a work function is called a mid-gap material and the process utilising this material for a gate electrode is known as mid-gap CMOS technology.
  • In addition, the contact surface of the gate electrode is actually provided by a silicide layer (TiSi2, CoSi2, PtSi2, PtSi or NiSi) on top of the polycrystalline Si gate (e.g. n+-Si) in current CMOS fabrication processes. At relatively high temperatures (e.g. 600° C.), the silicide film is usually degraded by two phenomena: inversion and agglomeration. Inversion is due to the grain growth of Si during the formation of silicide and occurs when the metal (e.g. Co, Ni) is the diffusing species during the growth of the silicide. This phenomenon results in suicide grains inside Si and at the interface between gate electrode and the silicon oxide layer on the Si wafer as well as large grains of Si at the surface, causing inversion. Agglomeration on the other hand is due to a reduction of the interfacial energy and results in large grains of silicide extended across the polycrystalline Si. These two phenomena limit the use of silicide as a good contact material for the gate electrode. A review of the problems caused by inversion and agglomeration can be found in Colgan EG, Gambino J P, Hong Q Z, MAT SCI ENG R 16 (1996) 43.
  • Several materials, such as Mo, MoSi2, W, WSi2, and TiN, have been proposed as mid-gap materials. However the use of these materials involves complex processing and has other drawbacks. Polycrystalline alloys of Si and Ge with silicide contacts have also been studied but do not alleviate the inversion and agglomeration problems. For the challenge presented in identifying suitable gate electrode materials, please refer to The International Technology Roadmap For Semiconductors: 1999.
  • SUMMARY OF INVENTION
  • It is an object of the present invention to seek to provide a new method of producing a gate electrode compatible with and for use in CMOS applications. More precisely, the present invention seeks to provide a new method of producing a gate electrode which not only has a work function very close to the mid-gap of silicon band gap but also avoids inversion and agglomeration problems encountered in conventional poly-silicon gate processes.
  • A further object of the present invention is to seek to fabricate a gate electrode and source/drain silicide contacts in a single process step using the same mask: a feat impossible in other mid-gap metal gate processes. Accordingly, the present invention provides a method of fabricating a gate electrode for a semiconductor comprising the steps of: providing a substrate; providing on the substrate a layer of a first material of thickness tp, the first material being selected from the group consisting of Si, Si1-x—Gex alloy, Ge and mixtures thereof and a layer of metal of thickness tm; and annealing the layers, such that substantially all of the first material and the metal are consumed during reaction with one another.
  • Preferably, the metal is selected from one of the group consisting of Ni, Pd, Pt, Co, Ti and alloys of these materials including Ni—Pt, Ni—Pd, Ni—Co.
  • Conveniently, the first material layer is applied to the substrate and the metal layer is provided on the first material layer.
  • Advantageously, the thicknesses tp and tm are related by a predetermined ratio of tm/tp.
  • Preferably, the ratio of tm/tp is determined by the particular first material and metal to be annealed.
  • Conveniently, annealing is performed at temperatures ranging from 300 to 900° C.
  • Advantageously, the method further includes the step of depositing a further layer of metal on the gate electrode to increase gate thickness.
  • Preferably, the method further comprises the step of forming source/drain contacts simultaneously with the gate electrode.
  • Conveniently, as much as 5% of the first material and the metal remains following reaction with the metal and the first material.
  • Another aspect of the present invention provides a gate electrode for a semiconductor device comprising a substrate and a gate layer thereon formed by the annealing of a first material with a metal, substantially all of the first material and the metal having been consumed during reaction with one another, the resultant layer comprising the gate electrode.
  • Preferably, the first material is selected from the group consisting of Si, Si1-xGex alloy, Ge and mixtures thereof.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is a schematic cross section through a conventional CMOS transistor;
  • FIGS. 2 (1) to (4) are schematic cross-sections of devices embodying the present invention at different processing stages;
  • FIG. 3 is a graph of sheet resistance as a function of the annealing temperature for two nickel/poly-Si double layer structures on silicon oxide: one with 40 nm Ni on top of 70 nm poly-Si and another with 40 nm Ni on top of a thickness of 150 nm poly-Si; and
  • FIG. 4 is an RBS spectragraph of a nickel/poly-Si double layer structure on silicon dioxide with 40 nm Ni on top of 150 nm poly-Si on silicon oxide annealed at 500° C. and 600° C. illustrating the inversion phenomenon, the peaks on the left hand side comprising Si peaks and the peaks on the right hand side comprising Ni peaks.
  • DETAILED DESCRIPTION
  • According to an embodiment of the present invention, a polycrystalline/amorphous film of Si, Si1-x—Ge alloy, Ge or mixtures thereof is first deposited on a semiconductor substrate having a silicon oxide (SiO2) gate layer thereon. The polycrystalline/amorphous film is deposited by means of chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), or physical vapor deposition (PVD). The thickness tp of the polycrystalline/amorphous film applied ranges from 10 to 110 nm depending on the silicide to be formed. Subsequent processing steps include pattern delineation, spacer formation and ion implantation. Then a metal film (Ni, Pd, Pt, Co, or alloys of these materials such as Ni—Pt, Ni—Pd, Ni—Co) is deposited on the silicon wafer which consists of gate oxide regions (already covered by polycrystalline/amorphous films), bared silicon regions (e.g., source/drain regions), and insulating regions (SiO2, SiNx). The thickness tm of the metal film is usually around 10 to 150 nm. The relative thicknesses of the metal film and the polycrystalline/amorphous film are determined by a ratio tm/tp as explained below with reference to table 1.
  • The ratio of tm/tp should be such that substantially all the polycrystalline/amorphous gate film and the metal film are consumed during the formation of specific suicides (NiSi, Pd2Si, PtSi, COSi or their alloys) or compounds (Ni(Si1-xGex), P2(Si1-xGex), Pt(Si1-xGex), Co(Si1-xGex)2 or their alloys) in subsequent chemical reaction between the metal film and the polycrystalline/amorphous film with no or only a small amount of either of the films remaining. Such a perfect match of the two constituents is very difficult to achieve but the benefits of the invention are still conferred if small amounts of either the metal film or the polycrystalline/amorphous film remain unreacted or not consumed.
  • Any remaining metal film (a small percentage of original film (between 0 to 10%)) on top of the specific silicide or compound already formed in the gate regions is then etched off using an appropriate solvent.
  • There may be in the region of 0 to 10% (preferably only up to 5%) unreacted metal film remaining which needs to be etched off. Similarly, as much as 5% of the polycrystalline/amorphous gate film may not be consumed whilst still conferring the advantages of the present invention.
  • Table 1 below specifies the minimum ratio of thicknesses (tm/tp) of the metal and polycrystalline silicon layers necessary to achieve substantially complete consumption of polycrystalline film during the chemical reaction for different metals. Further information such as melting temperature, resistivity, and work function for the resultant silicide is also given.
    TABLE 1
    Resultant Ratio Melting temp. Resistivity Work function
    Silicide (tm/tp) (° C.) (mW · cm) (eV)
    NiSi 0.54 1000 14-20 4.55
    Pd2Si 1.47 1667 25-35 4.61
    PtSi 0.75 1229 28-35 4.63
    CoSi2 0.27 1326 16-18 4.52
    TiSi2 0.44 1540 13-16 4.38
  • The deposition of the metal film uses conventional techniques, including sputtering, CVD, electron-beam evaporation, or filament evaporation techniques. Specific silicide or compound metal gate formation is then carried out using either conventional furnace or rapid thermal process (RTP) annealing in an inert atmosphere within a suitable temperature range of 300-900° C. so that the whole polycrystalline gate film is consumed during the formation of specific suicides (NiSi, Pd2Si, PtSi, COSi2 or their alloys) or compounds (Ni(Si1-xGex), P2(Si1-xGex), Pt(Si1-xGex), Co(Si1-xGex)2 or their alloys) with no remaining metal film or only small amount of remaining metal film (few percentage of original film) on top of the specific silicide or compound already formed in the gate regions. Unreacted metal films are then etched off using appropriate solvent in a conventional manner. Thus, it will be appreciated that embodiments of the invention provide a novel method of fabricating a metal silicide gate electrode.
  • Some of the benefits provided by embodiments of the invention are as follows: (i) only silicide or compound film with the predetermined metal/polycrystalline (or amorphous) thickness ratio (tm/tp) forms in the gate after the silicidation process, thus eliminating both the driving force for inversion which is the reduction of the grain boundary energy of Si, Si1-xGex, or Ge and the driving force for the formation of silicon-rich silicide (in the case of NiSi, Ni(Pt)Si, and Pd2Si); (ii) all the metal suicides or compounds embodied in the present invention have good thermal stability when they are in contact with SiO2 and thus alleviate thermal stability concerns; and (iii) the same kinds of suicides or compounds which are used for source/drain region contacts can be used as mid-gap metal gate, which means both the formation of a metal gate and a source/drain contact can be done at the same time (eliminating extra process steps which are necessary if other metals (e.g., W) are used as gate materials.
  • EXAMPLE
  • As in the fabrication of a CMOS field effect transistor, the normal process steps are carried out but with a specific additional condition that all the polycrystalline Si film is consumed during the formation of a specific silicide (for example, NiSi, Pd2Si, PtSi, or COSi2) to form a silicide gate electrode. FIG. 2 (1) to (4) illustrate schematic cross sections of the devices at differing processing stages. Polycrystalline films of Si and Si—Ge alloy were deposited by chemical vapour deposition on silicon substrate which comprises gate regions, source/drain regions and insulating regions. A metal film of Ni with a thickness of about 30 nm was then deposited by sputtering. The thickness of polycrystalline Si was about 50 nm. The ratio of metal/polycrystalline film is 3/5, which is larger than the minimum required to completely consume polycrystalline silicon film after NiSi formation, thus ensuring a complete transformation of the poly-Si into NiSi. For comparison purposes (to show the inversion effect in this case), a polycrystalline film with a thickness of about 150 nm was also deposited on silicon substrate followed by the deposition of 30 nm thick Ni deposition. The thickness ratio of metal/polycrystaline film in this case is 1/5, which is smaller than the critical value required for complete consumption of polycrystalline films thus resulting in only partial consumption of polycrystalline film. Annealing of one-minute duration was performed by rapid thermal processing (RTP) in an N2 atmosphere at temperatures ranging from 300 to 900° C. X-ray diffraction (XRD), scanning electron microscopy and Rutherford back scattering (RBS) techniques were used to monitor the form ation, microstructure and orientation of the silicide. The sheet resistance of the films was measured by the four point probe technique and used to deduce the resistivity of the films.
  • Turning now to FIG. 3, this shows sheet resistance values as a function of the annealing temperature for two polycrystalline films: one with a thickness of 50 nm and another with a thickness of 150 nm. When the thickness of the polycrystalline film is large, a large increase in the sheet resistance is observed at temperatures above 500° C. RBS spectra (see FIG. 4) show that at 500° C. the NiSi film is homogenous while NiSi is found deeper in the polycrystalline Si layer at 600° C. indicating that inversion has taken place. This was confirmed by XRD and SEM. The increase in sheet resistance is thus mainly due to inversion. For the sample with a tm/tp ratio of 3/5 (enabling complete consumption of NiSi), the sheet resistance is still low at 800° C.
  • Most of the suicides listed in Table 1 are stable when they are in contact with SiO2 (except TiSi2) and thus methods embodying the present invention increase the thermal stability of the silicide gate. The use of Ti as the metal layer is envisaged but as the resultant silicide, TiSi2, can react with SiO2, its use may not be preferred due to detrimental effects on the stability of the gate oxide, except in special applications.
  • The maximum temperature at which the silicide is stable is close to the melting temperature of the silicide. Table 1 gives the melting temperatures of some silicides, which have been selected for their low resistivity, as well as their work function. The work function of NiSi, Pd2Si and PtSi are advantageously very close to the mid-gap of Si (4.61 eV) and thus may be the materials of choice for the mid-gap CMOS process. As the resistivity of these suicides is also low they can be used also for contact on the source and drain through the self-aligned silicidation process.
  • Following current trends, junctions are becoming progressively more shallow. In order to preserve the integrity of junctions, silicide films are becoming thinner. Thus, the metal film to be deposited on the source and drain cannot be too thick. In a self-aligned silicide process, the metal is deposited simultaneously on the source, the drain and the polycrystalline Si gate and thus the total thickness of the silicide gate oxide will be thin also. This should not interfere with the electronic characteristics of devices created in this manner. However if device design requires a greater gate thickness, then additional process steps should be used to deposit extra metal on polycrystalline silicon gates having greater gate thicknesses.
  • It should be noted that references in the description to polycrystalline Si should be considered as referring to polycrystalline Si and amorphous material. More particularly, the polycrystalline/amorphous material is selected from the group consisting of: Si, Si1-xGex, Ge and mixtures thereof.
  • In the present specification “comprises” means “includes or consists of” and “comprising” means “including or consisting of”.
  • The features disclosed in the foregoing description, or the following claims, or the accompanying drawings, expressed in their specific forms or in terms of a means for performing the disclosed function, or a method or process for attaining the disclosed result, as appropriate, may, separately, or in any combination of such features, be utilised for realising the invention in diverse forms thereof.

Claims (41)

1. A method of fabricating a gate electrode for a semiconductor comprising the steps of: providing a substrate; providing on the substrate a layer of a first material of thickness tp, the first material being selected from the group consisting of Si, Si1-x—Gex alloy, Ge and mixtures thereof and a layer of metal of thickness tm; and annealing the layers, such that substantially all of the first material and the metal are consumed during reaction with one another.
2. The method of claim 1 wherein the metal is selected from one of the group consisting of Ni, Pd, Pt, Co, Ti and alloys of these materials including Ni—Pt, Ni—Pd, Ni—Co.
3. The method of claim 1 wherein the first material layer is applied to the substrate and the metal layer is provided on the first material layer.
4. The method of claim 1 wherein the thicknesses tp and tm are related by a predetermined ratio of tm/tp.
5. The method of claim 4 wherein the ratio of tm/tp is determined by the particular first material and metal to be annealed.
6. The method of claim 1 wherein annealing is performed at temperatures ranging from 300 to 900° C.
7. The method of claim 1 further comprising the step of depositing a further layer of metal on the gate electrode to increase gate thickness.
8. The method of claim 7 comprising forming source/drain contacts simultaneously with the gate electrode.
9. The method of claim 8 wherein as much as 5% of one of the first material and the metal remains following reaction with the other of the metal and the first material.
10. The gate electrode for a semiconductor device comprising a substrate and a gate layer thereon formed by the annealing of a first material with a metal, substantially all of the first material and the metal having been consumed during reaction with one another, the resultant layer comprising the gate electrode.
11. The gate electrode of claim 10, wherein the metal is selected from one of the group consisting of Ni, Pd, Pt, Co, Ti and alloys of these materials including Ni—Pt, Ni—Pd, Ni—Co.
12. The gate electrode of claim 10, wherein the first material is selected from the group consisting of Si, Si Ge alloy, Ge and mixtures thereof.
13. The gate electrode of claim 10 wherein as much as 5% of one of the first material and the metal remains following reaction with the other of the metal and the first material.
14. The gate electrode of claim 13, wherein a layer of metal is provided on the gate layer.
15. The gate electrode of claim 10 wherein the gate electrode is incorporated in a CMOS semiconductor device.
16. A method for forming an integrated circuit comprising:
providing a substrate;
depositing an amorphous polycrystalline first layer on the substrate, the first layer comprising a material having a work function close to the mid-gap of silicon band gap;
patterning the first layer to form a gate electrode of a transistor and forming first and second diffusion regions of the transistor; and
wherein the material of the first layer reduces problems associated with inversion and agglomeration associated with formation of the transistor.
17. The method of claim 16 wherein:
patterning the first layer comprises forming gate electrodes of at least a first PMOS transistor and a first NMOS transistor to form a CMOS integrated circuit; and
the material of the first layer comprises silicon, germanium, alloys or a combination thereof, including Si1-xGex.
18. The method of claim 16 wherein the material of the first layer comprises silicon, germanium, alloys or a combination thereof, including Si1-xGex.
19. The method of claim 16 further comprises:
depositing a metal layer over the substrate after the gate electrode and diffusion regions of the transistor are formed; and
processing the metal layer to cause a reaction between the material of the first layer and metal layer such that substantially all the material of the first layer and portion of the metal layer over the first layer are consumed.
20. The method of claim 19 wherein processing the metal layer comprises annealing or rapid thermal annealing.
21. The method of claim 20 wherein unconsumed first layer is less than or equal to 5% and unreacted metal layer is less than or equal to 10%.
22. The method of claim 19 wherein the processing the metal layer also forms silicide over the diffusion regions.
23. The method of claim 22 wherein processing the metal layer comprises annealing or rapid thermal annealing.
24. The method of claim 23 wherein unconsumed first layer is less than or equal to 5% and unreacted metal layer is less than or equal to 10%.
25. The method of claim 19 wherein a metal material of the metal layer comprises Ni, Pd, Pt, Co, Ti, or a combination or alloys thereof including Ni—Pt, Ni—Pd, and Ni—Co.
26. The method of claim 25 wherein the processing the metal layer also forms silicide over the diffusion regions.
27. The method of claim 26 wherein processing the metal layer comprises annealing or rapid thermal annealing.
28. The method of claim 25 wherein processing the metal layer comprises annealing or rapid thermal annealing.
29. The method of claim 19 wherein the first layer comprises a first thinkness tp and the metal layer comprises a second thickness tm, and wherein a minimum of a ratio of the first and second thickness tp/tm results in consumption or substantially the first and metal layers during processing of the metal layer.
30. The method of claim 29 wherein processing the metal layer comprises annealing.
31. The method of claim 29 wherein the processing the metal layer also forms silicide over the diffusion regions.
32. The method of claim 31 wherein processing the metal layer comprises annealing or rapid thermal annealing.
33. The method of claim 19 further comprises etching remaining portion of unreacted metal layer above the gate electrode after processing the metal layer.
34. The method of claim 33 wherein processing the metal layer comprises annealing.
35. The method of claim 34 wherein the processing the metal layer also forms silicide over the diffusion regions.
36. The method of claim 35 wherein processing the metal layer comprises annealing or rapid thermal annealing.
37. The method of claim 19 wherein the first layer comprises a first thinkness tp and the metal layer comprises a second thickness tm, and wherein a minimum of a ratio of the first and second thickness tp/tm results in consumption or substantially the first and metal layers during processing of the metal layer.
38. The method of claim 37 wherein processing the metal layer comprises annealing.
39. The method of claim 37 wherein the processing the metal layer also forms silicide over the diffusion regions.
40. The method of claim 39 wherein processing the metal layer comprises annealing or rapid thermal annealing.
41. An integrated circuit comprising a transistor having a gate electrode and first and second diffusion regions wherein the gate electrode is formed from an amorphous polycrystalline first layer comprising a material having a work function close to the mid-gap of silicon band gap, the material reduces problems associated with inversion and agglomeration associated with formation of the transistor.
US10/707,968 2001-07-31 2004-01-29 Gate electrodes and the formation thereof Expired - Fee Related US7419905B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
SG200104614A SG107563A1 (en) 2001-07-31 2001-07-31 Gate electrodes and the formation thereof
SG0104614-3 2001-07-31
PCT/SG2002/000174 WO2003012876A1 (en) 2001-07-31 2002-07-31 Gate electrodes and the formation thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/SG2002/000174 Continuation WO2003012876A1 (en) 2001-07-31 2002-07-31 Gate electrodes and the formation thereof

Publications (2)

Publication Number Publication Date
US20060128125A1 true US20060128125A1 (en) 2006-06-15
US7419905B2 US7419905B2 (en) 2008-09-02

Family

ID=20430808

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/707,968 Expired - Fee Related US7419905B2 (en) 2001-07-31 2004-01-29 Gate electrodes and the formation thereof

Country Status (3)

Country Link
US (1) US7419905B2 (en)
SG (1) SG107563A1 (en)
WO (1) WO2003012876A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050106788A1 (en) * 2002-11-20 2005-05-19 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US20080169511A1 (en) * 2004-08-13 2008-07-17 Koninklijke Philips Electronics N.V. Dual Gate Cmos Fabrication
JP2013222881A (en) * 2012-04-18 2013-10-28 Fujitsu Semiconductor Ltd Semiconductor device and manufacturing method of the same
US20170243760A1 (en) * 2016-02-18 2017-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN110391232A (en) * 2018-04-17 2019-10-29 联华电子股份有限公司 Bit line grid and preparation method thereof

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101032028A (en) * 2004-07-27 2007-09-05 新加坡科技研究局 Reliable contacts
US7399702B2 (en) * 2005-02-01 2008-07-15 Infineon Technologies Ag Methods of forming silicide
JP5117740B2 (en) * 2007-03-01 2013-01-16 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4622735A (en) * 1980-12-12 1986-11-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device utilizing self-aligned silicide regions
US5397909A (en) * 1990-10-12 1995-03-14 Texas Instruments Incorporated High-performance insulated-gate field-effect transistor
US5705417A (en) * 1996-06-19 1998-01-06 Vanguard International Semiconductor Corporation Method for forming self-aligned silicide structure
US5851891A (en) * 1997-04-21 1998-12-22 Advanced Micro Devices, Inc. IGFET method of forming with silicide contact on ultra-thin gate
US5937315A (en) * 1997-11-07 1999-08-10 Advanced Micro Devices, Inc. Self-aligned silicide gate technology for advanced submicron MOS devices
US5952701A (en) * 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US6084279A (en) * 1997-03-31 2000-07-04 Motorola Inc. Semiconductor device having a metal containing layer overlying a gate dielectric
US6100173A (en) * 1998-07-15 2000-08-08 Advanced Micro Devices, Inc. Forming a self-aligned silicide gate conductor to a greater thickness than junction silicide structures using a dual-salicidation process
US6204103B1 (en) * 1998-09-18 2001-03-20 Intel Corporation Process to make complementary silicide metal gates for CMOS technology
US6265272B1 (en) * 1998-05-20 2001-07-24 Winbond Electronics Corp. Method of fabricating a semiconductor device with elevated source/drain regions
US6555438B1 (en) * 1998-02-19 2003-04-29 Shye-Lin Wu Method for fabricating MOSFETs with a recessed self-aligned silicide contact and extended source/drain junctions

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0054259B1 (en) * 1980-12-12 1986-08-06 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device of the mis type

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4622735A (en) * 1980-12-12 1986-11-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device utilizing self-aligned silicide regions
US4830971A (en) * 1980-12-12 1989-05-16 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device utilizing self-aligned contact regions
US5397909A (en) * 1990-10-12 1995-03-14 Texas Instruments Incorporated High-performance insulated-gate field-effect transistor
US5705417A (en) * 1996-06-19 1998-01-06 Vanguard International Semiconductor Corporation Method for forming self-aligned silicide structure
US6084279A (en) * 1997-03-31 2000-07-04 Motorola Inc. Semiconductor device having a metal containing layer overlying a gate dielectric
US5851891A (en) * 1997-04-21 1998-12-22 Advanced Micro Devices, Inc. IGFET method of forming with silicide contact on ultra-thin gate
US5952701A (en) * 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5937315A (en) * 1997-11-07 1999-08-10 Advanced Micro Devices, Inc. Self-aligned silicide gate technology for advanced submicron MOS devices
US6555438B1 (en) * 1998-02-19 2003-04-29 Shye-Lin Wu Method for fabricating MOSFETs with a recessed self-aligned silicide contact and extended source/drain junctions
US6265272B1 (en) * 1998-05-20 2001-07-24 Winbond Electronics Corp. Method of fabricating a semiconductor device with elevated source/drain regions
US6100173A (en) * 1998-07-15 2000-08-08 Advanced Micro Devices, Inc. Forming a self-aligned silicide gate conductor to a greater thickness than junction silicide structures using a dual-salicidation process
US6204103B1 (en) * 1998-09-18 2001-03-20 Intel Corporation Process to make complementary silicide metal gates for CMOS technology

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050106788A1 (en) * 2002-11-20 2005-05-19 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US20080169511A1 (en) * 2004-08-13 2008-07-17 Koninklijke Philips Electronics N.V. Dual Gate Cmos Fabrication
US7659154B2 (en) * 2004-08-13 2010-02-09 Nxp B.V. Dual gate CMOS fabrication
JP2013222881A (en) * 2012-04-18 2013-10-28 Fujitsu Semiconductor Ltd Semiconductor device and manufacturing method of the same
US20170243760A1 (en) * 2016-02-18 2017-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522368B2 (en) 2016-02-18 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10796924B2 (en) * 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US11101143B2 (en) 2016-02-18 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and manufacturing method thereof
CN110391232A (en) * 2018-04-17 2019-10-29 联华电子股份有限公司 Bit line grid and preparation method thereof
US10497705B2 (en) * 2018-04-17 2019-12-03 United Microelectronics Corp. Bit line gate and manufacturing method thereof

Also Published As

Publication number Publication date
US7419905B2 (en) 2008-09-02
SG107563A1 (en) 2004-12-29
WO2003012876A1 (en) 2003-02-13

Similar Documents

Publication Publication Date Title
US7517795B2 (en) Stabilization of Ni monosilicide thin films in CMOS devices using implantation of ions before silicidation
US6905922B2 (en) Dual fully-silicided gate MOSFETs
US8154130B2 (en) Self-aligned metal to form contacts to Ge containing substrates and structure formed thereby
TWI420604B (en) Dual metal silicide scheme using a dual spacer process
US6927117B2 (en) Method for integration of silicide contacts and silicide gate metals
US7601577B2 (en) Work function control of metals
US20060163671A1 (en) Silicide cap structure and process for reduced stress and improved gate sheet resistance
US20050158996A1 (en) Nickel salicide processes and methods of fabricating semiconductor devices using the same
JP5157450B2 (en) Semiconductor device and manufacturing method thereof
JP2005019943A (en) Nickel alloy salicide process, method for manufacturing semiconductor device using the same, nickel alloy silicide film formed therefrom and semiconductor device manufactured by using the same
US7638433B2 (en) Semiconductor device and method of fabricating the same
JP2009135227A (en) Semiconductor device manufacturing method and semiconductor device
US8575014B2 (en) Semiconductor device fabricated using a metal microstructure control process
US7419905B2 (en) Gate electrodes and the formation thereof
US20060003534A1 (en) Salicide process using bi-metal layer and method of fabricating semiconductor device using the same
US20060246720A1 (en) Method to improve thermal stability of silicides with additives
KR100679224B1 (en) The semiconductor device and the manufacturing method thereof
US7105439B2 (en) Cobalt/nickel bi-layer silicide process for very narrow line polysilicon gate technology
WO2007055095A1 (en) Semiconductor device and method for manufacturing same
JP3639009B2 (en) Manufacturing method of semiconductor device having Ti silicide layer
JPH09293722A (en) Manufacture of semiconductor device
JPH07142423A (en) Fabrication of semiconductor device
JP2003347312A (en) Manufacturing method of semiconductor device
JP2000101079A (en) Semiconductor device with titanium silicide film and fabrication thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: AGENCY FOR SCIENCE, TECHNOLOGY AND RESEACH, SINGAP

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MANGELINCK, DOMINIQUE;CHI, DONGZHI;LAHIRI, SYAMAL KUMAR;REEL/FRAME:014596/0135;SIGNING DATES FROM 20040220 TO 20040224

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20160902