US20060151808A1 - MOSFET device with localized stressor - Google Patents

MOSFET device with localized stressor Download PDF

Info

Publication number
US20060151808A1
US20060151808A1 US11/034,282 US3428205A US2006151808A1 US 20060151808 A1 US20060151808 A1 US 20060151808A1 US 3428205 A US3428205 A US 3428205A US 2006151808 A1 US2006151808 A1 US 2006151808A1
Authority
US
United States
Prior art keywords
stress
layer
inducing layer
semiconductor material
treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/034,282
Inventor
Chien-Hao Chen
Pang-Yen Tsai
Chie-Chien Chang
Tze-Liang Lee
Shih-Chang Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/034,282 priority Critical patent/US20060151808A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHIE-CHIEN, CHEN, CHIEN-HAO, CHEN, SHIH-CHANG, LEE, TZE-LIANG, TSAI, PANG-YEN
Priority to SG200502948A priority patent/SG124327A1/en
Priority to SG201000145-1A priority patent/SG158867A1/en
Priority to TW094118956A priority patent/TWI265575B/en
Priority to CNB2005100753613A priority patent/CN100452431C/en
Assigned to HENRY SCHEIN, INC. reassignment HENRY SCHEIN, INC. RECORD TO CORRECT THE CONVEYING AND RECEIVING PARTIES RECORDED ON REEL/FRAME 017537/0255 Assignors: CDX LABORATORIES, INC.
Publication of US20060151808A1 publication Critical patent/US20060151808A1/en
Priority to US12/176,655 priority patent/US8557669B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. TO CORRECT AN ERROR MADE IN A PREVIOUSLY RECORDED DOCUMENT THAT ERRONEOUSLY AFFECTS THE IDENTIFIED APPLICATION OR PATENT Assignors: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/10Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding
    • H04N19/102Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the element, parameter or selection affected or controlled by the adaptive coding
    • H04N19/115Selection of the code volume for a coding unit prior to coding
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/10Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding
    • H04N19/102Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the element, parameter or selection affected or controlled by the adaptive coding
    • H04N19/124Quantisation
    • H04N19/126Details of normalisation or weighting functions, e.g. normalisation matrices or variable uniform quantisers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/40Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using video transcoding, i.e. partial or full decoding of a coded input stream followed by re-encoding of the decoded output stream
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/48Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using compressed domain processing techniques other than decoding, e.g. modification of transform coefficients, variable length coding [VLC] data or run-length data
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • the present invention relates generally to semiconductor devices, and more particularly, to metal-oxide-semiconductor field-effect transistors and methods of manufacture.
  • MOSFET metal-oxide-semiconductor field-effect transistors
  • NMOSFET n-channel MOSFET
  • PMOSFET p-channel MOSFET
  • semiconductor alloy layers such as silicon-germanium or silicon-germanium-carbon, are formed below an overlying thin semiconductor layer, wherein the semiconductor alloy layer has a different lattice structure than the overlying semiconductor layer.
  • the difference in the lattice structure imparts strain in the overlying semiconductor layer to increase carrier mobility.
  • strain in the channel is introduced by creating a recess in the substrate in the source/drain regions.
  • a layer of SiGe is epitaxially grown within the recessed regions, thereby introducing strain in the channel.
  • the amount of stress may be increased by increasing the Ge concentration during the growth process.
  • To increase the Ge concentration in the recessed area creates process challenges. For example, increasing the Ge concentration during the epitaxial growth results in a higher density of dislocations and defects in the SiGe layer. Degraded selectivity and deposition process windows are also of concern.
  • embodiments of the present invention which provides a strained semiconductor device to improve the operating characteristics of the semiconductor device and a method of manufacture.
  • a metal-oxide-semiconductor field-effect transistor having localized stressors formed in the source/drain regions.
  • the localized stressors comprise a stress-inducing layer formed in recesses in the source/drain regions.
  • the stress-inducing layer comprises a first semiconductor material and a second semiconductor material. A process is performed on the stress-inducing layer such that the concentration of the second semiconductor material is increased.
  • the stress-inducing layer is formed over a non-recessed region of the source/drain regions.
  • a first method of forming a MOSFET having localized stressors formed in the source/drain regions comprises forming a stress-inducing layer in the source/drain regions (either recessed or non-recessed), wherein the stress-inducing layer comprises a first semiconductor material and a second semiconductor material.
  • a treatment may then be performed.
  • the treatment may be any process, such as an oxidation or a nitridation process, that causes an ambient to react with the first semiconductor material and forces the second semiconductor material further down into the stress-inducing layer.
  • a second method of forming a MOSFET having localized stressors formed in the source/drain regions comprises forming a stress-inducing layer in the source/drain regions (either recessed or non-recessed), wherein the stress-inducing layer comprises a first semiconductor material and a second semiconductor material.
  • a reaction layer is formed over the stress-inducing layer and a treatment is performed to cause the reaction layer to react with the first semiconductor material. As a result, the second semiconductor material is forced further into the stress-inducing layer.
  • FIGS. 1-6 illustrate various process steps of fabricating a MOSFET device having localized stressors positioned over the source/drain regions in accordance with a first method embodiment of the present invention
  • FIGS. 7-8 illustrate various process steps of fabricating a MOSFET device having localized stressors positioned over the source/drain regions in accordance with a second method embodiment of the present invention.
  • FIGS. 1-6 illustrate a method embodiment for fabricating a semiconductor device having a strained channel region in accordance with an embodiment of the present invention.
  • Embodiments of the present invention illustrated herein may be used in a variety of circuits.
  • a wafer 100 having a gate insulator layer 110 and a gate electrode 112 formed on a substrate 114 is shown in accordance with an embodiment of the present invention.
  • the substrate 114 may comprise bulk silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate.
  • SOI semiconductor-on-insulator
  • an SOI comprises a layer of a semiconductor material, such as silicon, formed on an insulator layer.
  • the insulator layer may be, for example, a buried oxide (BOX) layer or a silicon oxide layer.
  • the insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates, such as a multi-layered or gradient substrate may also be used.
  • the gate insulator layer 110 and the gate electrode 112 may be formed and patterned as is known in the art on the substrate 114 .
  • the gate insulator layer 110 is preferably a high-K dielectric material, such as silicon oxide, silicon oxynitride, silicon nitride, an oxide, a nitrogen-containing oxide, a combination thereof, or the like.
  • the gate insulator layer 110 has a relative permittivity value greater than about 4.
  • Other examples of such materials include aluminum oxide, lanthanum oxide, hafnium oxide, zirconium oxide, hafnium oxynitride, or combinations thereof.
  • the gate insulator layer 110 may be formed by any oxidation process, such as wet or dry thermal oxidation in an ambient comprising an oxide, H 2 O, NO, or a combination thereof, or by chemical vapor deposition (CVD) techniques using is tetra-ethyl-ortho-silicate (TEOS) and oxygen as a precursor.
  • the gate insulator layer 110 is about 8 ⁇ to about 50 ⁇ in thickness, but more preferably about 16 ⁇ in thickness.
  • the gate electrode 112 preferably comprises a conductive material, such as a metal (e.g., tantalum, titanium, molybdenum, tungsten, platinum, aluminum, hafnium, ruthenium), a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide), a metal nitride (e.g., titanium nitride, tantalum nitride), doped poly-crystalline silicon, other conductive materials, or a combination thereof.
  • amorphous silicon is deposited and recrystallized to create poly-crystalline silicon (poly-silicon).
  • the gate electrode 112 may be formed by depositing doped or undoped poly-silicon by low-pressure chemical vapor deposition (LPCVD) to a thickness in the range of about 400 ⁇ to about 2500 ⁇ , but more preferably about 1500 ⁇ .
  • LPCVD low-pressure chemical vapor deposition
  • the gate insulator layer 110 and the gate electrode 112 may be patterned by photolithography techniques as is known in the art. Generally, photolithography involves depositing a photoresist material, which is then masked, exposed, and developed. After the photoresist mask is patterned, an etching process may be performed to remove unwanted portions of the gate dielectric material and the gate electrode material to form the gate insulator layer 110 and the gate electrode 112 as illustrated in FIG. 1 . In the preferred embodiment in which the gate electrode material is poly-crystalline silicon and the gate dielectric material is an oxide, the etching process may be a wet or dry, anisotropic or isotropic, etch process, but preferably is an anisotropic dry etch process.
  • FIG. 2 illustrates wafer 100 after a mask 210 has been formed over the gate insulator layer 110 and gate electrode 112 in accordance with an embodiment of the present invention.
  • the mask 210 acts as a mask to prevent epitaxial growth of SiGe along the surface of the gate insulator layer 110 and gate electrode 112 during subsequent processing steps.
  • epitaxial growth of SiGe involves exposing silicon to germanium under specific process conditions. The germanium reacts with exposed silicon to grow SiGe.
  • the gate insulator layer 110 and the gate electrode 112 are frequently formed of silicon, e.g., silicon dioxide and polysilicon, respectively, it may be desired to form a mask over the gate insulator layer 110 and the gate electrode 112 to prevent SiGe growth thereon.
  • the mask 210 may be formed by performing a blanket deposition of silicon nitride via a chemical vapor deposition (CVD) process, a physical vapor deposition process (PVD), an atomic layer deposition process (ALD), or the like. Once deposited, the mask 210 may be patterned via photolithography techniques. Preferably, the mask 210 is about 0 ⁇ to about 1000 ⁇ in thickness. It should be noted that the stressor (formed in subsequent steps) may be formed substantially adjacent to, spaced away from, or underneath the gate electrode.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition process
  • ALD atomic layer deposition process
  • the wafer 100 is shown after an etching process has been performed to create recessed regions 310 in the substrate 114 on either side of the gate electrode 112 in accordance with an embodiment of the present invention. It should be noted that the portion of the substrate 114 located between the recessed regions 310 and below the gate insulator layer 110 and the gate electrode 112 defines the channel region.
  • FIGS. 1-6 illustrates source/drain regions having SiGe epitaxially grown in recessed regions 310 as an example of a preferred embodiment of the present invention.
  • the processes described in FIGS. 1-6 may be applied to elevated-type SiGe regions in the source/drain regions.
  • SiGe is epitaxially grown on the surface of the substrate 114 without forming recesses in the substrate 114 . Thereafter, the same processes described herein may be performed to push the Ge lower, thereby creating an area of higher Ge concentration.
  • the recessed regions 310 may be formed by a plasma etch using chlorine and bromine chemistry.
  • the recessed regions have a depth from about 10 nm to about 200 nm.
  • An optional anneal may be performed to facilitate silicon migration to repair any etch damage as well as to slightly smoothen the silicon surface for the subsequent epitaxy process.
  • FIG. 4 illustrates the wafer 100 after an epitaxial growth of a stress-inducing layer 410 , such as silicon germanium, in the recessed regions 310 in accordance with an embodiment of the present invention.
  • the epitaxy process used to perform the epitaxial growth may be chemical vapor deposition, ultra-high vacuum chemical vapor deposition (UHV-CVD), or molecular beam epitaxy.
  • the epitaxially grown materials may also extend above or below the surface of the substrate 114 .
  • a raised source/drain region is shown for illustrative purposes only.
  • the stress-inducing layer 410 extends from about 0 nm to about 200 nm above the surface of the substrate 114 .
  • the stress-inducing layer 410 is preferably from about 10 nm to about 300 nm in thickness.
  • Other materials, such as SiC, SiGeN, and SiCN, may be used.
  • the stress-inducing layer 410 preferably comprises a first semiconductor material and a second semiconductor material, wherein the presence of the second semiconductor material has a different lattice structure than the first semiconductor material, thereby imparting strain in the channel region.
  • the first semiconductor material may be silicon and the second semiconductor material may be germanium, and therefore, the stress-inducing layer 410 may be a SiGe layer.
  • FIG. 5 illustrates the wafer 100 after performing a treatment to increase the concentration of the second semiconductor material, e.g., Ge, in the lower section of the stress-reducing layer 410 , creating a depletion layer 510 .
  • the treatment may comprise exposing the stress-reducing layer 410 to a gas or liquid ambient under process conditions that causes the gas or liquid ambient to react with the silicon of the SiGe material.
  • the depletion layer 510 will comprise SiX, where X denotes another material, and the Ge from the depletion layer 510 is pushed further down into the stress-inducing layer 410 below the depletion layer 510 , as indicated by the directional arrows in FIG. 5 .
  • the resulting stress-inducing layer 410 below the depletion layer 510 exhibits a gradient concentration of Ge such that the concentration variation from the top to the bottom of the stress-inducing layer 410 is greater than at least about 5%.
  • the treatment may comprise a thermal (furnace/RTP), plasma, UV, implant, or the like treatment in an ambient of an oxidation-based gas, such as O 2 , H 2 O, H 2 , N 2 O, NO, O 3 , or the like at a temperature from about 100° C. to about 1200° C.
  • the depletion layer may comprise a film of Si x O y .
  • the treatment may comprise a thermal (furnace/RTP), plasma, UV, implant, or the like treatment in an ambient of a nitridation-based gas, such as NH 3 , N 2 , NO, N 2 O, or the like at a temperature from about 100° C. to about 1200° C.
  • a nitridation-based gas such as NH 3 , N 2 , NO, N 2 O, or the like at a temperature from about 100° C. to about 1200° C.
  • the depletion layer may comprise a film of Si x N y or Si x O y N z .
  • Other ambients and/or treatments that cause a reaction with the Si and little or no reaction with the Ge may also be used.
  • FIG. 6 illustrates the wafer 100 after the mask 210 has been removed and spacers 610 have been formed in accordance with an embodiment of the present invention.
  • the mask 210 may be removed by a wet dip in hydrofluoric acid or a dry etch process.
  • Spacers 610 which form spacers for performing one or more ion implants to create the source/drain regions, preferably comprise silicon nitride (Si 3 N 4 ), or a nitrogen containing layer other than Si 3 N 4 , such as Si x N y , silicon oxynitride SiO x N y , silicon oxime SiO x N y :H z , or a combination thereof.
  • the spacers 610 are formed from a layer comprising Si 3 N 4 that has been formed using chemical vapor deposition (CVD) techniques using silane and ammonia as precursor gases.
  • CVD chemical vapor deposition
  • the spacers 610 may be patterned by performing an isotropic or anisotropic etch process, such as an isotropic etch process using a solution of phosphoric acid (H 3 PO 4 ). Because the thickness of the layer of Si 3 N 4 is greater in the regions adjacent to the gate electrode 112 , the isotropic etch removes the Si 3 N 4 material on top of the gate electrode 112 and the areas of substrate 114 not immediately adjacent to the gate electrode 112 , leaving the spacer 610 as illustrated in FIG. 6 . In an embodiment, the spacers 610 are from about 1 nm to about 100 nm in width.
  • a portion of the stress-inducing layer 410 may be located underneath a portion of the spacers 610 , dependent upon the desired source/drain implant and electrical characteristics of the resulting source/drain regions.
  • FIGS. 7-8 illustrate a second embodiment of the present invention that utilizes a treatment of an epitaxially-grown SiGe layer in accordance with an embodiment of the present invention.
  • the second embodiment illustrated in FIGS. 7-8 assume a wafer has been prepared in accordance with FIGS. 1-4 , wherein like reference numerals refer to like elements.
  • FIG. 7 illustrates wafer 100 of FIG. 4 after a reaction layer 710 has been formed over the stress-inducing layer 410 .
  • the reaction layer 710 comprises a material that, under specific process conditions, reacts with the silicon in the SiGe, but reacts little or not at all with the Ge. In this manner, the reaction layer 710 can be made to react with the silicon and force the germanium lower into the SiGe layer, thereby increasing the Ge concentration.
  • the reaction layer 710 may comprise Co, Ni, Ti, or the like and may be formed by a CVD process, a PVD process, an ALD process, or the like. Other materials may be used.
  • FIG. 8 illustrates the wafer 100 of FIG. 7 after performing a treatment to cause the reaction layer 710 to react with the stress-inducing layer 410 , thereby creating a reacted layer 810 , in accordance with an embodiment of the present invention.
  • the treatment may be any treatment that causes reaction layer 710 to react with the Si in the stress-inducing layer 410 .
  • the reacted layer 810 is formed and the Ge is pushed lower into the stress-inducing layer 410 , as indicated by the directional arrows in FIG. 8 .
  • the reacted layer 810 is formed in part from a portion of the stress-inducing layer 410 .
  • the volume of the stress-inducing layer 410 decreases while the number of Ge atoms remains relatively constant, thereby increasing the concentration of the Ge within the stress-inducing layer 410 .
  • the treatment may comprise thermal annealing in an ambient comprising, for example, an oxygen-containing gas, a nitrogen-containing gas, a combination thereof, or the like at a temperature between 100° C. and 1200° C.
  • Other treatments such as a rapid-thermal annealing, a laser treatment, UV curing, E-beam curing, implant treatment, a plasma treatment, an ultra-violet radiation treatment, or the like, may also be used.
  • the mask 210 may be removed, spacers may be formed, and other subsequent processing may be performed as described above with reference to FIG. 6 .
  • the resulting stress-inducing layer 410 is a gradient layer having a lattice constant variation between the top and the bottom of the stress-inducing layer 410 of at least 0.2%, wherein the top region exhibits a larger lattice constant than the bottom region. Furthermore, the lattice constant of the substrate in the channel region is less than lower portions of the substrate due to the stress induced by the stress-inducing layer 410 .

Abstract

MOSFETs having localized stressors are provided. The MOSFET has a stress-inducing layer formed in the source/drain regions, wherein the stress-inducing layer comprises a first semiconductor material and a second semiconductor material. A treatment is performed on the stress-inducing layer such that a reaction is caused with the first semiconductor material and the second semiconductor material is forced lower into the stress-inducing layer. The stress-inducing layer may be either a recessed region or non-recessed region. A first method involves forming a stress-inducing layer, such as SiGe, in the source/drain regions and performing a nitridation or oxidation process. A nitride or oxide film is formed in the top portion of the stress-inducing layer, forcing the Ge lower into the stress-inducing layer. Another method embodiment involves forming a reaction layer over the stress-inducing layer and performing a treatment process to cause the reaction layer to react with the stress-inducing layer.

Description

    TECHNICAL FIELD
  • The present invention relates generally to semiconductor devices, and more particularly, to metal-oxide-semiconductor field-effect transistors and methods of manufacture.
  • BACKGROUND
  • Size reduction of metal-oxide-semiconductor field-effect transistors (MOSFET), including reduction of the gate length and gate oxide thickness, has enabled the continued improvement in speed, performance, density, and cost per unit function of integrated circuits over the past few decades. To further enhance transistor performance, MOSFET devices have been fabricated using strained channel regions located in portions of a semiconductor substrate. Strained channel regions allow enhanced carrier mobility to be realized, thereby resulting in increased performance when used for n-channel (NMOSFET) or for p-channel (PMOSFET) devices. Generally, it is desirable to induce a tensile strain in the n-channel of an NMOSFET transistor in the source-to-drain direction to increase electron mobility and to induce a compressive strain in the p-channel of a PMOSFET transistor in the source-to-drain direction to increase hole mobility. There are several existing approaches of introducing strain in the transistor channel region.
  • In one approach, semiconductor alloy layers, such as silicon-germanium or silicon-germanium-carbon, are formed below an overlying thin semiconductor layer, wherein the semiconductor alloy layer has a different lattice structure than the overlying semiconductor layer. The difference in the lattice structure imparts strain in the overlying semiconductor layer to increase carrier mobility.
  • This approach, however, can be difficult to process in addition to presenting junction leakage concerns as a result of the blanket semiconductor alloy layer. The level of germanium in the epitaxially grown semiconductor alloy layer can be difficult to control. In addition, the presence of a blanket semiconductor alloy layer allows an unwanted interface between the source/drain regions to exist, possibly introducing junction leakage
  • In another approach, strain in the channel is introduced by creating a recess in the substrate in the source/drain regions. A layer of SiGe is epitaxially grown within the recessed regions, thereby introducing strain in the channel. The amount of stress may be increased by increasing the Ge concentration during the growth process. To increase the Ge concentration in the recessed area, however, creates process challenges. For example, increasing the Ge concentration during the epitaxial growth results in a higher density of dislocations and defects in the SiGe layer. Degraded selectivity and deposition process windows are also of concern.
  • Therefore, there is a need for an efficient and cost-effective method to induce strain in the channel region such that the performance characteristics of transistors are enhanced.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally reduced, solved or circumvented, and technical advantages are generally achieved, by embodiments of the present invention, which provides a strained semiconductor device to improve the operating characteristics of the semiconductor device and a method of manufacture.
  • In an embodiment of the present invention, a metal-oxide-semiconductor field-effect transistor (MOSFET) having localized stressors formed in the source/drain regions is provided. The localized stressors comprise a stress-inducing layer formed in recesses in the source/drain regions. The stress-inducing layer comprises a first semiconductor material and a second semiconductor material. A process is performed on the stress-inducing layer such that the concentration of the second semiconductor material is increased.
  • In another embodiment of the present invention, the stress-inducing layer is formed over a non-recessed region of the source/drain regions.
  • In another embodiment of the present invention, a first method of forming a MOSFET having localized stressors formed in the source/drain regions is provided. The first method comprises forming a stress-inducing layer in the source/drain regions (either recessed or non-recessed), wherein the stress-inducing layer comprises a first semiconductor material and a second semiconductor material. A treatment may then be performed. The treatment may be any process, such as an oxidation or a nitridation process, that causes an ambient to react with the first semiconductor material and forces the second semiconductor material further down into the stress-inducing layer.
  • In yet another embodiment of the present invention, a second method of forming a MOSFET having localized stressors formed in the source/drain regions is provided. The second method comprises forming a stress-inducing layer in the source/drain regions (either recessed or non-recessed), wherein the stress-inducing layer comprises a first semiconductor material and a second semiconductor material. A reaction layer is formed over the stress-inducing layer and a treatment is performed to cause the reaction layer to react with the first semiconductor material. As a result, the second semiconductor material is forced further into the stress-inducing layer.
  • It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The object and other advantages of this invention are best described in the preferred embodiment with reference to the attached drawings that include:
  • FIGS. 1-6 illustrate various process steps of fabricating a MOSFET device having localized stressors positioned over the source/drain regions in accordance with a first method embodiment of the present invention; and
  • FIGS. 7-8 illustrate various process steps of fabricating a MOSFET device having localized stressors positioned over the source/drain regions in accordance with a second method embodiment of the present invention.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • FIGS. 1-6 illustrate a method embodiment for fabricating a semiconductor device having a strained channel region in accordance with an embodiment of the present invention. Embodiments of the present invention illustrated herein may be used in a variety of circuits. Referring first to FIG. 1, a wafer 100 having a gate insulator layer 110 and a gate electrode 112 formed on a substrate 114 is shown in accordance with an embodiment of the present invention. The substrate 114 may comprise bulk silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate. Generally, an SOI comprises a layer of a semiconductor material, such as silicon, formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer or a silicon oxide layer. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates, such as a multi-layered or gradient substrate may also be used.
  • The gate insulator layer 110 and the gate electrode 112 may be formed and patterned as is known in the art on the substrate 114. The gate insulator layer 110 is preferably a high-K dielectric material, such as silicon oxide, silicon oxynitride, silicon nitride, an oxide, a nitrogen-containing oxide, a combination thereof, or the like. Preferably, the gate insulator layer 110 has a relative permittivity value greater than about 4. Other examples of such materials include aluminum oxide, lanthanum oxide, hafnium oxide, zirconium oxide, hafnium oxynitride, or combinations thereof.
  • In the preferred embodiment in which the gate insulator layer 110 comprises an oxide layer, the gate insulator layer 110 may be formed by any oxidation process, such as wet or dry thermal oxidation in an ambient comprising an oxide, H2O, NO, or a combination thereof, or by chemical vapor deposition (CVD) techniques using is tetra-ethyl-ortho-silicate (TEOS) and oxygen as a precursor. In the preferred embodiment, the gate insulator layer 110 is about 8 Å to about 50 Å in thickness, but more preferably about 16 Å in thickness.
  • The gate electrode 112 preferably comprises a conductive material, such as a metal (e.g., tantalum, titanium, molybdenum, tungsten, platinum, aluminum, hafnium, ruthenium), a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide), a metal nitride (e.g., titanium nitride, tantalum nitride), doped poly-crystalline silicon, other conductive materials, or a combination thereof. In one example, amorphous silicon is deposited and recrystallized to create poly-crystalline silicon (poly-silicon). In the preferred embodiment in which the gate electrode is poly-silicon, the gate electrode 112 may be formed by depositing doped or undoped poly-silicon by low-pressure chemical vapor deposition (LPCVD) to a thickness in the range of about 400 Å to about 2500 Å, but more preferably about 1500 Å.
  • The gate insulator layer 110 and the gate electrode 112 may be patterned by photolithography techniques as is known in the art. Generally, photolithography involves depositing a photoresist material, which is then masked, exposed, and developed. After the photoresist mask is patterned, an etching process may be performed to remove unwanted portions of the gate dielectric material and the gate electrode material to form the gate insulator layer 110 and the gate electrode 112 as illustrated in FIG. 1. In the preferred embodiment in which the gate electrode material is poly-crystalline silicon and the gate dielectric material is an oxide, the etching process may be a wet or dry, anisotropic or isotropic, etch process, but preferably is an anisotropic dry etch process.
  • FIG. 2 illustrates wafer 100 after a mask 210 has been formed over the gate insulator layer 110 and gate electrode 112 in accordance with an embodiment of the present invention. The mask 210 acts as a mask to prevent epitaxial growth of SiGe along the surface of the gate insulator layer 110 and gate electrode 112 during subsequent processing steps. Generally, epitaxial growth of SiGe involves exposing silicon to germanium under specific process conditions. The germanium reacts with exposed silicon to grow SiGe. Because the gate insulator layer 110 and the gate electrode 112 are frequently formed of silicon, e.g., silicon dioxide and polysilicon, respectively, it may be desired to form a mask over the gate insulator layer 110 and the gate electrode 112 to prevent SiGe growth thereon.
  • The mask 210 may be formed by performing a blanket deposition of silicon nitride via a chemical vapor deposition (CVD) process, a physical vapor deposition process (PVD), an atomic layer deposition process (ALD), or the like. Once deposited, the mask 210 may be patterned via photolithography techniques. Preferably, the mask 210 is about 0 Å to about 1000 Å in thickness. It should be noted that the stressor (formed in subsequent steps) may be formed substantially adjacent to, spaced away from, or underneath the gate electrode.
  • Referring now to FIG. 3, the wafer 100 is shown after an etching process has been performed to create recessed regions 310 in the substrate 114 on either side of the gate electrode 112 in accordance with an embodiment of the present invention. It should be noted that the portion of the substrate 114 located between the recessed regions 310 and below the gate insulator layer 110 and the gate electrode 112 defines the channel region.
  • It should also be noted that the process described in FIGS. 1-6 illustrates source/drain regions having SiGe epitaxially grown in recessed regions 310 as an example of a preferred embodiment of the present invention. The processes described in FIGS. 1-6 may be applied to elevated-type SiGe regions in the source/drain regions. In this alternative embodiment, SiGe is epitaxially grown on the surface of the substrate 114 without forming recesses in the substrate 114. Thereafter, the same processes described herein may be performed to push the Ge lower, thereby creating an area of higher Ge concentration.
  • Referring back to FIG. 3, the recessed regions 310 may be formed by a plasma etch using chlorine and bromine chemistry. Preferably, the recessed regions have a depth from about 10 nm to about 200 nm. An optional anneal may be performed to facilitate silicon migration to repair any etch damage as well as to slightly smoothen the silicon surface for the subsequent epitaxy process.
  • FIG. 4 illustrates the wafer 100 after an epitaxial growth of a stress-inducing layer 410, such as silicon germanium, in the recessed regions 310 in accordance with an embodiment of the present invention. The epitaxy process used to perform the epitaxial growth may be chemical vapor deposition, ultra-high vacuum chemical vapor deposition (UHV-CVD), or molecular beam epitaxy. The epitaxially grown materials may also extend above or below the surface of the substrate 114. A raised source/drain region is shown for illustrative purposes only. In a preferred embodiment, the stress-inducing layer 410 extends from about 0 nm to about 200 nm above the surface of the substrate 114. The stress-inducing layer 410 is preferably from about 10 nm to about 300 nm in thickness. Other materials, such as SiC, SiGeN, and SiCN, may be used.
  • The stress-inducing layer 410 preferably comprises a first semiconductor material and a second semiconductor material, wherein the presence of the second semiconductor material has a different lattice structure than the first semiconductor material, thereby imparting strain in the channel region. In an embodiment in which a silicon substrate is used, the first semiconductor material may be silicon and the second semiconductor material may be germanium, and therefore, the stress-inducing layer 410 may be a SiGe layer.
  • FIG. 5 illustrates the wafer 100 after performing a treatment to increase the concentration of the second semiconductor material, e.g., Ge, in the lower section of the stress-reducing layer 410, creating a depletion layer 510. In an embodiment in which the stress-inducing layer 410 comprises SiGe, the treatment may comprise exposing the stress-reducing layer 410 to a gas or liquid ambient under process conditions that causes the gas or liquid ambient to react with the silicon of the SiGe material. As a result of the reaction, the depletion layer 510 will comprise SiX, where X denotes another material, and the Ge from the depletion layer 510 is pushed further down into the stress-inducing layer 410 below the depletion layer 510, as indicated by the directional arrows in FIG. 5. In a preferred embodiment the resulting stress-inducing layer 410 below the depletion layer 510 exhibits a gradient concentration of Ge such that the concentration variation from the top to the bottom of the stress-inducing layer 410 is greater than at least about 5%.
  • In an embodiment, the treatment may comprise a thermal (furnace/RTP), plasma, UV, implant, or the like treatment in an ambient of an oxidation-based gas, such as O2, H2O, H2, N2O, NO, O3, or the like at a temperature from about 100° C. to about 1200° C. In this embodiment, the depletion layer may comprise a film of SixOy.
  • In another embodiment, the treatment may comprise a thermal (furnace/RTP), plasma, UV, implant, or the like treatment in an ambient of a nitridation-based gas, such as NH3, N2, NO, N2O, or the like at a temperature from about 100° C. to about 1200° C. In this embodiment, the depletion layer may comprise a film of SixNy or SixOyNz. Other ambients and/or treatments that cause a reaction with the Si and little or no reaction with the Ge may also be used.
  • FIG. 6 illustrates the wafer 100 after the mask 210 has been removed and spacers 610 have been formed in accordance with an embodiment of the present invention. The mask 210 may be removed by a wet dip in hydrofluoric acid or a dry etch process.
  • Spacers 610, which form spacers for performing one or more ion implants to create the source/drain regions, preferably comprise silicon nitride (Si3N4), or a nitrogen containing layer other than Si3N4, such as SixNy, silicon oxynitride SiOxNy, silicon oxime SiOxNy:Hz, or a combination thereof. In a preferred embodiment, the spacers 610 are formed from a layer comprising Si3N4 that has been formed using chemical vapor deposition (CVD) techniques using silane and ammonia as precursor gases.
  • The spacers 610 may be patterned by performing an isotropic or anisotropic etch process, such as an isotropic etch process using a solution of phosphoric acid (H3PO4). Because the thickness of the layer of Si3N4 is greater in the regions adjacent to the gate electrode 112, the isotropic etch removes the Si3N4 material on top of the gate electrode 112 and the areas of substrate 114 not immediately adjacent to the gate electrode 112, leaving the spacer 610 as illustrated in FIG. 6. In an embodiment, the spacers 610 are from about 1 nm to about 100 nm in width.
  • It should be noted that a portion of the stress-inducing layer 410 may be located underneath a portion of the spacers 610, dependent upon the desired source/drain implant and electrical characteristics of the resulting source/drain regions.
  • FIGS. 7-8 illustrate a second embodiment of the present invention that utilizes a treatment of an epitaxially-grown SiGe layer in accordance with an embodiment of the present invention. The second embodiment illustrated in FIGS. 7-8 assume a wafer has been prepared in accordance with FIGS. 1-4, wherein like reference numerals refer to like elements. Accordingly, FIG. 7 illustrates wafer 100 of FIG. 4 after a reaction layer 710 has been formed over the stress-inducing layer 410.
  • The reaction layer 710 comprises a material that, under specific process conditions, reacts with the silicon in the SiGe, but reacts little or not at all with the Ge. In this manner, the reaction layer 710 can be made to react with the silicon and force the germanium lower into the SiGe layer, thereby increasing the Ge concentration. In an embodiment, the reaction layer 710 may comprise Co, Ni, Ti, or the like and may be formed by a CVD process, a PVD process, an ALD process, or the like. Other materials may be used.
  • FIG. 8 illustrates the wafer 100 of FIG. 7 after performing a treatment to cause the reaction layer 710 to react with the stress-inducing layer 410, thereby creating a reacted layer 810, in accordance with an embodiment of the present invention. The treatment may be any treatment that causes reaction layer 710 to react with the Si in the stress-inducing layer 410. As a result of the reaction, the reacted layer 810 is formed and the Ge is pushed lower into the stress-inducing layer 410, as indicated by the directional arrows in FIG. 8. It should be noted that the reacted layer 810 is formed in part from a portion of the stress-inducing layer 410. Thus, the volume of the stress-inducing layer 410 decreases while the number of Ge atoms remains relatively constant, thereby increasing the concentration of the Ge within the stress-inducing layer 410.
  • In an embodiment, the treatment may comprise thermal annealing in an ambient comprising, for example, an oxygen-containing gas, a nitrogen-containing gas, a combination thereof, or the like at a temperature between 100° C. and 1200° C. Other treatments, such as a rapid-thermal annealing, a laser treatment, UV curing, E-beam curing, implant treatment, a plasma treatment, an ultra-violet radiation treatment, or the like, may also be used.
  • Thereafter, the mask 210 may be removed, spacers may be formed, and other subsequent processing may be performed as described above with reference to FIG. 6.
  • In an embodiment, the resulting stress-inducing layer 410 is a gradient layer having a lattice constant variation between the top and the bottom of the stress-inducing layer 410 of at least 0.2%, wherein the top region exhibits a larger lattice constant than the bottom region. Furthermore, the lattice constant of the substrate in the channel region is less than lower portions of the substrate due to the stress induced by the stress-inducing layer 410.
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

1. A semiconductor device comprising:
a gate electrode formed on a substrate; and
source/drain regions formed on either side of the gate electrode;
wherein the source/drain regions comprise a stress-inducing layer comprising a first semiconductor material and a second semiconductor material, concentration of the second semiconductor material being greater at a top surface than at a bottom surface of the stress-inducing layer.
2. The semiconductor device of claim 1, wherein the first semiconductor material is silicon and the second semiconductor material is germanium.
3. The semiconductor device of claim 1, wherein the concentration of the second semiconductor material is at least 5% greater near the top surface than near the bottom surface of the stress-inducing layer.
4. The semiconductor device of claim 1, wherein the top surface of the stress-inducing layer is raised above a surface of the substrate.
5. The semiconductor device of claim 1, wherein the stress-inducing layer is from about 10 nm to about 300 nm in thickness.
6. The semiconductor device of claim 1, wherein the stress-inducing layer is located in a recess in the substrate.
7. The semiconductor device of claim 1, wherein the stress-inducing layer is an elevated stressor such that the stress-inducing layer is formed on a non-recessed portion of the substrate.
8. The semiconductor device of claim 1, wherein the stress-inducing layer is positioned from about 0 nm to about 300 nm from the gate electrode.
9. The semiconductor device of claim 1, wherein the stress-inducing layer comprises a gradient layer having a varying lattice constant from the top surface to the bottom surface.
10. The semiconductor device of claim 9, wherein the gradient layer has a larger lattice constant near the top surface than the bottom surface.
11. The semiconductor device of claim 9, wherein a first lattice constant near the top surface is greater than 0.2% of a second lattice constant near the bottom surface of the stress-inducing layer.
12. A method of forming a semiconductor device, the method comprising:
forming a gate electrode on a substrate;
forming a stress-inducing layer on either side of the gate electrode, the stress-inducing layer comprising a first semiconductor material and a second semiconductor material; and
performing a treatment in an ambient, the treatment causing the first semiconductor material in the stress-inducing layer to react with the ambient creating a depletion layer in a top portion of the stress-inducing layer, the depletion layer being substantially free of the second semiconductor material.
13. The method of claim 12, wherein the ambient comprises an oxidation-based gas.
14. The method of claim 12, wherein the ambient comprises an oxidation-based gas or a nitridation-based gas.
15. The method of claim 12, wherein the performing the treatment is performed at a temperature from about 100° C. to about 1200° C.
16. The method of claim 12, wherein the treatment comprises a thermal treatment, a plasma treatment, an ultra-violet treatment, an implant, or a combination thereof.
17. A method of forming a semiconductor device, the method comprising:
forming a gate electrode on a substrate;
forming a stress-inducing layer on either side of the gate electrode, the stress-inducing layer comprising a first semiconductor material and a second semiconductor material;
forming a reaction layer over the stress-inducing layer; and
performing a treatment, the treatment causing the reaction layer to react with the first semiconductor material in the stress-inducing layer, thereby creating a reacted layer positioned between the reaction layer and the stress-inducing layer, the reacted layer being at least partially composed of material from the stress-inducing layer, the reacted layer being substantially free of the second semiconductor material.
18. The method of claim 17, wherein the treatment comprises a thermal anneal, a rapid-thermal anneal, an ultra-violet treatment, or an E-beam curing treatment.
19. The method of claim 17, wherein the treatment is performed in an ambient comprising oxygen, nitrogen, or a combination thereof.
20. The method of claim 17, wherein the treatment is performed at a temperature from about 100° C. to about 1200° C.
US11/034,282 2005-01-12 2005-01-12 MOSFET device with localized stressor Abandoned US20060151808A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US11/034,282 US20060151808A1 (en) 2005-01-12 2005-01-12 MOSFET device with localized stressor
SG200502948A SG124327A1 (en) 2005-01-12 2005-05-13 Mosfet device with localized stressor
SG201000145-1A SG158867A1 (en) 2005-01-12 2005-05-13 Mosfet device with localized stressor
TW094118956A TWI265575B (en) 2005-01-12 2005-06-08 MOSFET device with localized stressor
CNB2005100753613A CN100452431C (en) 2005-01-12 2005-06-16 Mosfet device with localized stressor
US12/176,655 US8557669B2 (en) 2005-01-12 2008-07-21 MOSFET device with localized stressor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/034,282 US20060151808A1 (en) 2005-01-12 2005-01-12 MOSFET device with localized stressor

Publications (1)

Publication Number Publication Date
US20060151808A1 true US20060151808A1 (en) 2006-07-13

Family

ID=36652414

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/034,282 Abandoned US20060151808A1 (en) 2005-01-12 2005-01-12 MOSFET device with localized stressor
US12/176,655 Active 2030-01-18 US8557669B2 (en) 2005-01-12 2008-07-21 MOSFET device with localized stressor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/176,655 Active 2030-01-18 US8557669B2 (en) 2005-01-12 2008-07-21 MOSFET device with localized stressor

Country Status (4)

Country Link
US (2) US20060151808A1 (en)
CN (1) CN100452431C (en)
SG (2) SG158867A1 (en)
TW (1) TWI265575B (en)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080096338A1 (en) * 2006-10-19 2008-04-24 Texas Instruments Incorporated Methods and devices employing metal layers in gates to introduce channel strain
US20080142886A1 (en) * 2006-12-18 2008-06-19 Chin-I Liao Treatment method of semiconductor, method for manufacturing mos, and mos structure
US20080258233A1 (en) * 2007-04-23 2008-10-23 Ru-Shang Hsiao Semiconductor Device with Localized Stressor
US20090085062A1 (en) * 2007-09-28 2009-04-02 Been-Yih Jin Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned si to sige conversion processes and structures formed thereby
CN100539182C (en) * 2006-12-29 2009-09-09 台湾积体电路制造股份有限公司 Semiconductor structure and metal oxide semiconductor device
US20100214863A1 (en) * 2009-02-23 2010-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit and methods
US20100232203A1 (en) * 2009-03-16 2010-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US20100244144A1 (en) * 2009-03-31 2010-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US20100258870A1 (en) * 2009-04-14 2010-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20110006390A1 (en) * 2009-07-08 2011-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Sti structure and method of forming bottom void in same
US20110024794A1 (en) * 2009-07-31 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US20110024804A1 (en) * 2009-07-28 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration sige stressor
US20110049613A1 (en) * 2009-09-01 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type finfet, circuits and fabrication method thereof
US20110068405A1 (en) * 2009-09-24 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US20110079829A1 (en) * 2009-10-01 2011-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US20110182098A1 (en) * 2010-01-27 2011-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US20110233679A1 (en) * 2010-03-25 2011-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including finfets and methods for forming the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US20130034950A1 (en) * 2009-12-07 2013-02-07 National Yunlin University Of Science And Technology Method for fabricating p-type polycrystalline silicon-germanium structure
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
USRE47562E1 (en) * 2012-05-11 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
CN111863593A (en) * 2019-04-30 2020-10-30 芯恩(青岛)集成电路有限公司 Stress film with gradient distribution of chemical components, semiconductor device and forming method thereof

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101364545B (en) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 Germanium-silicon and polycrystalline silicon grating construction of strain silicon transistor
US7928474B2 (en) 2007-08-15 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd., Forming embedded dielectric layers adjacent to sidewalls of shallow trench isolation regions
US8394691B2 (en) 2010-06-11 2013-03-12 GlobalFoundries, Inc. Semiconductor devices having stressor regions and related fabrication methods
US8647935B2 (en) 2010-12-17 2014-02-11 International Business Machines Corporation Buried oxidation for enhanced mobility
US10510886B2 (en) * 2017-10-26 2019-12-17 Samsung Electronics Co., Ltd. Method of providing reacted metal source-drain stressors for tensile channel stress

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20040115878A1 (en) * 2002-12-13 2004-06-17 Taiwan Semiconductor Manufacturing Co., Ltd Method for manufacturing a silicon germanium based device with crystal defect prevention
US6759697B2 (en) * 2000-09-11 2004-07-06 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor
US20040157399A1 (en) * 2003-02-12 2004-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Discontinuity prevention for SiGe deposition
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6960781B2 (en) * 2003-03-07 2005-11-01 Amberwave Systems Corporation Shallow trench isolation process
US20050285192A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Structures and methods for manufacturing p-type mosfet withgraded embedded silicon-germanium source-drain and/or extension
US20060081875A1 (en) * 2004-10-18 2006-04-20 Chun-Chieh Lin Transistor with a strained region and method of manufacture
US7060576B2 (en) * 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US20060163672A1 (en) * 2005-01-21 2006-07-27 Chih-Hao Wang High performance CMOS device design
US20060189053A1 (en) * 2005-02-22 2006-08-24 Chih-Hao Wang PMOS transistor with discontinuous CESL and method of fabrication

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6759697B2 (en) * 2000-09-11 2004-07-06 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US20040115878A1 (en) * 2002-12-13 2004-06-17 Taiwan Semiconductor Manufacturing Co., Ltd Method for manufacturing a silicon germanium based device with crystal defect prevention
US20040157399A1 (en) * 2003-02-12 2004-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Discontinuity prevention for SiGe deposition
US6960781B2 (en) * 2003-03-07 2005-11-01 Amberwave Systems Corporation Shallow trench isolation process
US7060576B2 (en) * 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US20050285192A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Structures and methods for manufacturing p-type mosfet withgraded embedded silicon-germanium source-drain and/or extension
US20060081875A1 (en) * 2004-10-18 2006-04-20 Chun-Chieh Lin Transistor with a strained region and method of manufacture
US20060163672A1 (en) * 2005-01-21 2006-07-27 Chih-Hao Wang High performance CMOS device design
US20060189053A1 (en) * 2005-02-22 2006-08-24 Chih-Hao Wang PMOS transistor with discontinuous CESL and method of fabrication

Cited By (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080096338A1 (en) * 2006-10-19 2008-04-24 Texas Instruments Incorporated Methods and devices employing metal layers in gates to introduce channel strain
US7531398B2 (en) * 2006-10-19 2009-05-12 Texas Instruments Incorporated Methods and devices employing metal layers in gates to introduce channel strain
US20080142886A1 (en) * 2006-12-18 2008-06-19 Chin-I Liao Treatment method of semiconductor, method for manufacturing mos, and mos structure
US7858529B2 (en) 2006-12-18 2010-12-28 United Microelectronics Corp. Treatment method of semiconductor, method for manufacturing MOS, and MOS structure
CN100539182C (en) * 2006-12-29 2009-09-09 台湾积体电路制造股份有限公司 Semiconductor structure and metal oxide semiconductor device
US20080258233A1 (en) * 2007-04-23 2008-10-23 Ru-Shang Hsiao Semiconductor Device with Localized Stressor
US8158474B2 (en) 2007-04-23 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with localized stressor
US7825477B2 (en) 2007-04-23 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with localized stressor
TWI411048B (en) * 2007-09-28 2013-10-01 Intel Corp Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned si to sige conversion processes and structures formed thereby
US20090085062A1 (en) * 2007-09-28 2009-04-02 Been-Yih Jin Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned si to sige conversion processes and structures formed thereby
US8288233B2 (en) * 2007-09-28 2012-10-16 Intel Corporation Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned SI to SIGE conversion processes and structures formed thereby
US20100214863A1 (en) * 2009-02-23 2010-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit and methods
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US20100232203A1 (en) * 2009-03-16 2010-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US20100244144A1 (en) * 2009-03-31 2010-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US20100258870A1 (en) * 2009-04-14 2010-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US20110006390A1 (en) * 2009-07-08 2011-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Sti structure and method of forming bottom void in same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US10693003B2 (en) * 2009-07-28 2020-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit transistor structure with high germanium concentration SiGe stressor
US20110024804A1 (en) * 2009-07-28 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration sige stressor
US20170263749A1 (en) * 2009-07-28 2017-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Transistor Structure with High Germanium Concentration SiGe Stressor
US9660082B2 (en) 2009-07-28 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit transistor structure with high germanium concentration SiGe stressor
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US20110024794A1 (en) * 2009-07-31 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US20110049613A1 (en) * 2009-09-01 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type finfet, circuits and fabrication method thereof
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US10355108B2 (en) 2009-09-24 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a fin field effect transistor comprising two etching steps to define a fin structure
US20110068405A1 (en) * 2009-09-24 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US11158725B2 (en) 2009-09-24 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20110079829A1 (en) * 2009-10-01 2011-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US8557688B2 (en) * 2009-12-07 2013-10-15 National Yunlin University Of Science And Technology Method for fabricating P-type polycrystalline silicon-germanium structure
US20130034950A1 (en) * 2009-12-07 2013-02-07 National Yunlin University Of Science And Technology Method for fabricating p-type polycrystalline silicon-germanium structure
US9922827B2 (en) 2010-01-14 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor structure
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US20110182098A1 (en) * 2010-01-27 2011-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8482073B2 (en) 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US20110233679A1 (en) * 2010-03-25 2011-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including finfets and methods for forming the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9450097B2 (en) 2010-04-28 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping Fin field-effect transistors and Fin field-effect transistor
US9209280B2 (en) 2010-04-28 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US10510887B2 (en) 2010-05-06 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US9564529B2 (en) 2010-05-06 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US11855210B2 (en) 2010-05-06 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US9147594B2 (en) 2010-05-06 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US11251303B2 (en) 2010-05-06 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US10998442B2 (en) 2010-05-06 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US9716091B2 (en) 2010-10-13 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US9209300B2 (en) 2010-10-13 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US8809940B2 (en) 2010-10-13 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin held effect transistor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9893160B2 (en) 2010-10-19 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US9026959B2 (en) 2010-11-12 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8806397B2 (en) 2010-11-12 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US9184088B2 (en) 2011-01-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a shallow trench isolation (STI) structures
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
USRE47562E1 (en) * 2012-05-11 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
CN111863593A (en) * 2019-04-30 2020-10-30 芯恩(青岛)集成电路有限公司 Stress film with gradient distribution of chemical components, semiconductor device and forming method thereof

Also Published As

Publication number Publication date
CN1805151A (en) 2006-07-19
CN100452431C (en) 2009-01-14
SG124327A1 (en) 2006-08-30
TWI265575B (en) 2006-11-01
US20100015814A1 (en) 2010-01-21
SG158867A1 (en) 2010-02-26
US8557669B2 (en) 2013-10-15
TW200625466A (en) 2006-07-16

Similar Documents

Publication Publication Date Title
US8557669B2 (en) MOSFET device with localized stressor
US9722082B2 (en) Methods and apparatus for doped SiGe source/drain stressor deposition
US7612389B2 (en) Embedded SiGe stressor with tensile strain for NMOS current enhancement
US7608515B2 (en) Diffusion layer for stressed semiconductor devices
US7494884B2 (en) SiGe selective growth without a hard mask
US7838887B2 (en) Source/drain carbon implant and RTA anneal, pre-SiGe deposition
US7052964B2 (en) Strained channel transistor and methods of manufacture
US7825477B2 (en) Semiconductor device with localized stressor
US8404538B2 (en) Device with self aligned stressor and method of making same
US7605407B2 (en) Composite stressors with variable element atomic concentrations in MOS devices
US8253177B2 (en) Strained channel transistor
US20040173815A1 (en) Strained-channel transistor structure with lattice-mismatched zone
US20080128765A1 (en) MOSFET Device With Localized Stressor
US20060234455A1 (en) Structures and methods for forming a locally strained transistor
US20060275975A1 (en) Nitridated gate dielectric layer
US9564488B2 (en) Strained isolation regions
US7687861B2 (en) Silicided regions for NMOS and PMOS devices
US8440539B2 (en) Isolation trench processing for strain control

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, CHIEN-HAO;TSAI, PANG-YEN;CHANG, CHIE-CHIEN;AND OTHERS;REEL/FRAME:016179/0134

Effective date: 20050110

AS Assignment

Owner name: HENRY SCHEIN, INC., NEW YORK

Free format text: RECORD TO CORRECT THE CONVEYING AND RECEIVING PARTIES RECORDED ON REEL/FRAME 017537/0255;ASSIGNOR:CDX LABORATORIES, INC.;REEL/FRAME:017575/0686

Effective date: 20060427

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: TO CORRECT AN ERROR MADE IN A PREVIOUSLY RECORDED DOCUMENT THAT ERRONEOUSLY AFFECTS THE IDENTIFIED APPLICATION OR PATENT;ASSIGNOR:TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.;REEL/FRAME:032410/0067

Effective date: 20050110