US20060157079A1 - Method for cleaning substrate surface - Google Patents

Method for cleaning substrate surface Download PDF

Info

Publication number
US20060157079A1
US20060157079A1 US11/385,391 US38539106A US2006157079A1 US 20060157079 A1 US20060157079 A1 US 20060157079A1 US 38539106 A US38539106 A US 38539106A US 2006157079 A1 US2006157079 A1 US 2006157079A1
Authority
US
United States
Prior art keywords
gas
plasma
processing gas
oxide layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/385,391
Inventor
Jeong-ho Kim
Gil-Gwang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PSK Inc
Original Assignee
Kim Jeong-Ho
Gil-Gwang Lee
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2001-0001018A external-priority patent/KR100404956B1/en
Priority claimed from KR1020010079425A external-priority patent/KR100573929B1/en
Priority claimed from US10/038,553 external-priority patent/US20020124867A1/en
Application filed by Kim Jeong-Ho, Gil-Gwang Lee filed Critical Kim Jeong-Ho
Priority to US11/385,391 priority Critical patent/US20060157079A1/en
Publication of US20060157079A1 publication Critical patent/US20060157079A1/en
Assigned to PSK, INC. reassignment PSK, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: APL CO. LTD.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Definitions

  • the present invention relates generally to a surface cleaning apparatus and method using plasma, and in particular, to a surface cleaning apparatus and method for, using plasma, removing a native oxide layer resulting from reaction between oxygen in the air and a silicon, silicide or metal surface in fabrication of an integrated circuit such as semiconductor device or TFT (Thin Film Transistor) LCD (Liquid Crystal Display), an oxide layer chemically formed on the silicon surface during processing, a damaged portion on the silicon surface, or contaminants on the silicon surface and the sidewalls of a contact hole.
  • TFT Thin Film Transistor
  • LCD Liquid Crystal Display
  • metalization is needed to connect devices formed on an underlying silicon substrate by a metal layer in fabrication of an integrated circuit such as a semiconductor, TFT LCD, or FPD (Flat Panel Display).
  • Formation of a contact hole is requisite for the metalization.
  • the contact hole is formed usually by dry-etching an oxide layer using plasma.
  • the underlying silicon, silicide or metal surface is exposed.
  • a damaged portion is formed due to ion impact from the plasma, and contaminants including materials dissociated from etching gases and etched materials stick to a silicon surface and sidewalls. Because the damaged portion and contaminants may increase contact resistance or leakage current that is deadly adverse to device characteristics, they are removed by dry cleaning or wet cleaning during fabrication of an integrated circuit.
  • a native oxide layer is formed due to reaction between oxygen in the air and the silicon, silicide or metal surface. Therefore, the native oxide layer should also be removed by dry cleaning or wet cleaning before deposition of a conductive material after the contact hole is formed.
  • an oxide layer can be chemically formed by reaction between the silicon surface and a mixed solution of H 2 O 2 , HSO 4 , and deionized water used for post-etch processing.
  • the oxide layer influences subsequent steps and deteriorates electrical connection characteristics, thereby degrading the characteristics of a resulting semiconductor or TFT LCD circuit.
  • a self-aligned contact has recently been used widely.
  • SAC self-aligned contact
  • an etch stopper layer made of a silicon nitride layer is exposed.
  • either sidewalls formed of a silicon oxide layer or the nitride layer should not be etched during surface cleaning in order to prevent short between an electrode surrounded by the nitride film and a conductive material filling the contact hole as well as minimizing leakage current.
  • a metal is used for a gate electrode or bit lines of a memory when necessary.
  • the top portion of the metal is exposed during etching a contact hole.
  • contaminants on the metal and sidewalls must be removed and much attention should be paid to the removal because etched metal components are contained in the contaminants and are difficult to remove.
  • FIG. 1 is a schematic view of a conventional fluorine acid spray apparatus.
  • the conventional fluorine acid spray apparatus is comprised of a fluorine acid solution 10 , a heating chamber 20 , a substrate 30 , a substrate mount 40 , a fluorine solution tank 50 filled with a fluorine acid solution 60 , and fluorine acid supply pipes 70 and 80 .
  • oxygen is preliminarily removed by forming a fluorine layer on a silicon surface to react with the oxygen.
  • a fluorine acid layer is formed on a silicon surface by generating fluorine acid vapor using the fluorine acid spray apparatus and hardened by heat.
  • Oxygen introduced into an etching device to etch the fluorine acid layer-having substrate or oxygen remaining in the etching device reacts chemically with the fluorine acid layer on the surface of the substrate and thus the oxygen is removed.
  • UV (UltraViolet) light and ozone (O 3 ) can be used for surface cleaning. That is, a silicon surface is oxidized by reaction between silicon and O 3 dissociated by UV light and the oxide layer is removed by wet etch. However, oxidation takes a long time, leading to slow processing accurately.
  • FIG. 2 is a schematic view of a conventional plasma etching apparatus.
  • plasma is generated in a plasma generator 100 by introducing H 2 and N 2 through a first processing gas inlet 90 and then NF 3 is introduced through a second processing gas inlet 110 , thereby etching a silicon substrate 120 in a chamber 140 with gases exhausted through an outlet 130 .
  • the NF 3 gas as a main processing gas accelerates plasma dissociation and activation, so that excess fluorine atoms and ions involved in the etching are generated.
  • the silicon surface is over-etched deeper than a damaged portion, or a BPSG (Borophosphosilicate glass) oxide layer or a nitride layer that must avoid etching may also be etched. This is due to a a low selection ratio between native oxide etch rate and etch rate of silicon, BPSG or nitride when NF 3 is used.
  • an object of the present invention to provide a surface cleaning apparatus and method using plasma, for removing a native oxide layer or a chemical oxide layer formed on a silicon surface before growth of a gate oxide layer for the purpose of preventing deterioration of the characteristics of the gate oxide layer.
  • STI shallow trench insulation
  • HSGs hemispherical grains
  • the surface cleaning apparatus using plasma has a chamber that can be maintained in a vacuum state, a substrate mount in the chamber, for mounting a silicon substrate, a first processing gas inlet for introducing a carrier gas into the chamber to generate and maintain plasma, a plasma generator for forming plasma out of the first processing gas, a filter between the plasma generator and the substrate mount, for passing only radicals to the substrate, and a second processing gas inlet between the plasma generator and the filter, for introducing a second processing gas into the chamber.
  • the plasma generator uses a microwave generator as an energy source for plasma generation.
  • a wall heat jacket is also provided for maintaining the walls of the chamber at a predetermined temperature to concentrate the radicals on the surface of the substrate to achieve a high etch rate by preventing deposition of the radicals and formation of a byproduct layer with the radicals.
  • chamber wall temperature between 50° C. and 120° C. makes surface cleaning speed 2-3 times more than normal cleaning environments.
  • the filter is grounded, or a grid or baffle with a square-wave or sine-wave AC (alternating current) voltage applied is used as the filter.
  • a third processing gas inlet is further provided for introducing a conditioning gas into the chamber to maintain a constant and controlled environment of the chamber after processing each wafer.
  • a polymer layer is first formed on the oxide layer.
  • the polymer layer and the oxide layer are removed by annealing wherein the components of the polymer layer are decomposed and combined with the components of the oxide layer and excited and removed, and the damaged portion of the surface of the silicon substrate is removed.
  • plasma is formed by introducing a first processing gas containing H 2 and N 2 , only radicals are passed to the silicon substrate by filtering the plasma, and a second processing gas containing a halogen element is introduced.
  • the second processing gas is HF.
  • the polymer layer and the oxide layer are removed by annealing using a UV lamp or IR lamp, or by annealing in a heat chamber.
  • the annealing gas is H 2 .
  • Flow of H 2 during annealing reduces time to remove the polymer layer and the oxide layer and at the same time passivates the surface of silicon, silicide or metal with hydrogen bonds, which extends the allowable time period prior to subsequent step.
  • Preferable flow rate of H 2 is 10 sccm and 5,000 sccm.
  • the damaged portion of the silicon substrate surface is removed by annealing in a heat chamber.
  • the damaged portion removal step is performed in-situ in the same chamber as the polymer layer and the oxide layer are removed.
  • a substrate mount for mounting a silicon substrate
  • a first processing gas inlet for introducing a carrier gas for generation and maintenance of plasma
  • a plasma generator for passing only radicals to the substrate
  • a second processing gas inlet the first processing gas is introduced into the chamber
  • plasma is formed out of the first processing gas in the plasma generator
  • a second processing gas is introduced into the chamber
  • a third processing gas is introduced into the chamber to maintain the environment of the chamber constant after processing each wafer.
  • the first processing gas contains H 2 and N 2 .
  • the filter is grounded or receives an AC voltage.
  • the second processing gas contains a halogen element.
  • the second processing gas is HF.
  • the third processing gas contains at least one of H, F, O and N containing gas.
  • the annealing gas is at least one of H 2 , N 2 or Ar.
  • FIG. 1 is a schematic view of a conventional fluorine acid spray device
  • FIG. 2 is a schematic view of a conventional plasma etching apparatus:
  • FIG. 3 is a schematic view of an embodiment of plasma etching apparatus according to the present invention.
  • FIG. 4 is a schematic view of another embodiment of the plasma etching apparatus according to the present invention.
  • FIGS. 5A to 5 E are sectional views sequentially illustrating an embodiment of a process of removing a native oxide layer and a damaged portion of a silicon substrate surface
  • FIGS. 6A and 6B are SEM (Scanning Electro Microscope) images illustrating contact profiles.
  • FIG. 7 is a graph illustrating etch rate and uniformity according to a N 2 flow rate at constant H 2 flow rate.
  • FIG. 8 is a graph illustrating etch rate and uniformity according to a H 2 flow rate at constant N 2 flow rate.
  • FIG. 3 illustrates the structure of an embodiment of plasma etching apparatus according to the present invention.
  • the plasma etching apparatus performs dry cleaning using a microwave plasma.
  • a silicon substrate 180 is mounted on a substrate mount 160 under a chamber 140 that can be maintained in a vacuum state
  • a mixture gas of N 2 and H 2 is introduced through a first processing gas inlet 130 .
  • the mixture gas of N 2 and H 2 serves as a carrier gas for generating and maintaining plasma.
  • plasma is generated by operating a microwave source module 110 and a plasma applicator 120 and HF gas is introduced through a second processing gas inlet 190 .
  • a fluorine-containing halogen element, or a halogen compound such as HCl, BCl 3 , or ClF 3 may be a substitute for HF.
  • the plasma passes through a filter 150 , while moving down toward the substrate 180 .
  • the filter 150 being a grounded baffle or grid, absorbs potential so that only radicals reach the silicon substrate 180 .
  • a wall heat jacket 220 maintains the walls of the chamber 140 at an appropriate temperature to prevent the radicals from sticking to the walls of the chamber 140 and forming a byproduct layer and to concentrate the radicals on the surface of the silicon substrate for a high etch rate 180 .
  • H, O, N or F containing gas as a conditioning gas is introduced through a third processing gas inlet 200 to maintain the environment inside the chamber 140 constant and thus increase etch reproducibility after etching.
  • the conditioning gas is H, F, O or N containing gas, or a mixture of the gases.
  • the used processing gases are exhausted through an outlet 170 .
  • FIG. 4 illustrates the structure of another embodiment of the plasma etching apparatus according to the present invention.
  • the plasma etching apparatus performs dry cleaning using a remote plasma.
  • this plasma etching apparatus is similar to the plasma etching apparatus using a microwave plasma except that RF power is supplied to generate plasma.
  • the plasma etching apparatus is well known to those skilled in the field and thus its description is avoided here.
  • FIGS. 5A to 5 E are sectional views sequentially illustrating a process of removing a native oxide layer and a damaged portion of a silicon substrate surface according to an embodiment of the present invention.
  • FIG. 5A illustrates the step of forming an interlayer insulation layer 41 on a silicon substrate 40 and then forming a contact hole by etching the interlayer insulation layer 41 in a contact area.
  • the surface of the silicon substrate 40 exposed in the contact area is damaged during the etching.
  • a damaged portion 42 and a native oxide layer 43 are sequentially formed on the silicon substrate 40 . Since the native oxide layer 43 and the damaged portion 42 increase contact resistance or leakage current and as a result, deteriorates device characteristics, they must be removed.
  • FIG. 5B illustrates the step of forming an NxHyFz polymer layer 44 or a byproduct on the native oxide layer 43 , the interlayer insulation layer 41 and the sidewalls of a contact hole using plasma generated out of H 2 and N 2 gas, and HF gas as a second processing gas.
  • FIG. 5C illustrates the step of removing the polymer layer 44 by annealing.
  • the decomposed component are combined with the components of the underlying native oxide layer 43 to form N 2 O, F, HF, NH 3 , SiF 4 , etc and then removed.
  • Annealing temperature is between 120 and 400° C.
  • the annealing gas is at least one of H 2 , N 2 or Ar. Particularly, annealing using H 2 gas terminates Si substrate surface.
  • the polymer layer or byproduct can be removed by annealing using a UV lamp or IR (InfraRed) lamp radiation.
  • FIG. 5D illustrates the step of performing hydrophobic cleaning on the silicon substrate surface after the native oxide layer 43 is removed by annealing.
  • FIG. 5E illustrates the step of removing the silicon damaged portion 42 in-situ in the same chamber.
  • the silicon damaged portion 42 can be removed using a remote plasma of at least one of HF/H 2 , HF/O 2 , NF 3 /O 2 , SF 6 /O 2 , and CF 4 /O 2 or appropriately combining a gas such as NF 3 , CF 4 , and C 2 F 2 , a gas such as O 2 , CO 2 , NO 2 , and N 2 , and an inert gas such as He, Ne, Xe, and Ar.
  • a remote plasma including Cl, like HCl, ClF 3 and so on.
  • a table shown below is a comparison between the present invention using HF as a second processing gas and the conventional technology using NF 3 as a second processing gas when a mixture gas of H 2 and N 2 . is a first processing gas. As seen from the table, the present invention is much improved in etch rate ( ⁇ /min), silicon substrate roughness (RMS: nm), and metal contamination.
  • FIG. 6A illustrates a contact profile before removing a native oxide layer
  • FIG. 6B illustrates a contact profile during processing the native oxide layer for 60 seconds. There are little changes in the contact profiles in terms of CD and substrate damage.
  • FIG. 7 is a graph illustrating etch rate and uniformity according to a N 2 flow rate at constant H 2 flow rate.
  • a gas pressure is 5 Torr and Microwave power is at 600 W and a flow rate of H 2 is 30 sccm (standard cubic centimeter per minute) and a flow rate of HF (second processing gas) is 48 sccm.
  • N 2 flow is zero, an etch rate of SiO 2 ( ⁇ /min) is negligible.
  • An etch rate of SiO 2 ( ⁇ /min) increases as N 2 flow rate increases. After N 2 flow rate reaches 900 sccm, an etch rate is saturated.
  • FIG. 8 is a graph illustrating etch rate and uniformity according to a H 2 flow rate at constant N 2 flow rate.
  • a gas pressure is 5 Torr and Microwave power is at 600 W and a flow rate of N 2 is 1,800 sccm (standard cubic centimeter per minute) and a flow rate of HF (second processing gas) is 48 sccm. If there is no H 2 flow, an etch rate of SiO 2 ( ⁇ /min) is negligible. An etch rate of SiO 2 ( ⁇ /min) increases as N 2 flow rate increases.
  • surface cleaning speed 2-3 times more than normal cleaning environments is acquired by maintaining chamber wall temperature between 50° C. and 120° C. during whole cleaning process including a step of plasma generating, polymer forming and annealing. Maintaining the chamber wall temperature between 50° C. and 120° C. during whole cleaning process also prevents the formation of contaminant particles on wall.
  • deterioration of the characteristics of a gate oxide layer is prevented by removing a native oxide layer or a chemical oxide layer formed on a silicon surface before growth of the gate oxide layer; (2) the increase of contact resistance and leakage at a contact are prevented by removing a native oxide layer or a chemical oxide layer formed on a silicon surface exposed after etching for a contact hole and a portion of the silicon surface damaged during etching; (3) metal contact resistance is reduced by removing organic contaminants such as polymers existing at the boundary between the sidewalls of a contact and an underlying metal during etching the metal contact hole; (4) good quality epitaxial silicon is grown by removing a native oxide layer or a chemical oxide layer from a silicon surface in an epitaxial silicon growing process; (5) good quality HSGs are grown by removing a native oxide layer or a chemical oxide layer from a silicon surface in an HSG silicon forming process; and (6) process uniformity is improved by introducing a conditioning gas after processing each wafer and thus maintaining the

Abstract

There is provided a surface cleaning apparatus and method using plasma to remove a native oxide layer, a chemical oxide layer, and a damaged portion from a silicon substrate surface, and contaminants from a metal surface. A mixture of H2 and N2 gas is used as a first processing gas. By absorbing potential in a grounded grid or baffle between a plasma generator and a substrate, only radicals are passed to the substrate, and HF gas is used as a second processing gas. Thus a native oxide layer, a chemical oxide layer, or a damaged portion formed on the silicon substrate during etching is removed in annealing step with H2 flow. The environment of a chamber is maintained constant by introducing a conditioning gas after each wafer process. Therefore, process repeatability is improved.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a continuation of co-pending U.S. application Ser. No. 10/850,261, filed May 20, 2004, which is, in turn, a continuation-in-part of co-pending U.S. application Ser. No. 10/831,252, filed Apr. 23, 2004 which is, in turn, a divisional of U.S. application Ser. No. 10/038,553, filed Jan. 4, 2002.
  • PRIORITY
  • This application claims priority to an application entitled Method of Manufacturing Semiconductor Integrated Circuit and Apparatus Thereof filed in the Korean Industrial Property Office on Jan. 8, 2001 and assigned Serial No. 2001-1018, and to an application entitled Apparatus and Method for Dry Surface Cleaning Using Plasma filed in the Korean Industrial Property Office on Dec. 14, 2001 and assigned Serial No. 2001-79425, the contents of both of which are hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to a surface cleaning apparatus and method using plasma, and in particular, to a surface cleaning apparatus and method for, using plasma, removing a native oxide layer resulting from reaction between oxygen in the air and a silicon, silicide or metal surface in fabrication of an integrated circuit such as semiconductor device or TFT (Thin Film Transistor) LCD (Liquid Crystal Display), an oxide layer chemically formed on the silicon surface during processing, a damaged portion on the silicon surface, or contaminants on the silicon surface and the sidewalls of a contact hole.
  • 2. Description of the Related Art
  • In general, metalization is needed to connect devices formed on an underlying silicon substrate by a metal layer in fabrication of an integrated circuit such as a semiconductor, TFT LCD, or FPD (Flat Panel Display). Formation of a contact hole is requisite for the metalization. The contact hole is formed usually by dry-etching an oxide layer using plasma. In the course of forming the contact hole, the underlying silicon, silicide or metal surface is exposed. After the dry etch, a damaged portion is formed due to ion impact from the plasma, and contaminants including materials dissociated from etching gases and etched materials stick to a silicon surface and sidewalls. Because the damaged portion and contaminants may increase contact resistance or leakage current that is deadly adverse to device characteristics, they are removed by dry cleaning or wet cleaning during fabrication of an integrated circuit. Aside from the damaged portion and contaminants, a native oxide layer is formed due to reaction between oxygen in the air and the silicon, silicide or metal surface. Therefore, the native oxide layer should also be removed by dry cleaning or wet cleaning before deposition of a conductive material after the contact hole is formed.
  • In addition, an oxide layer can be chemically formed by reaction between the silicon surface and a mixed solution of H2O2, HSO4, and deionized water used for post-etch processing. The oxide layer influences subsequent steps and deteriorates electrical connection characteristics, thereby degrading the characteristics of a resulting semiconductor or TFT LCD circuit.
  • Along with the decrease of CD (Critical Dimension) in an integrated circuit, a self-aligned contact (SAC) has recently been used widely. During an SAC etch, an etch stopper layer made of a silicon nitride layer is exposed. Thus, either sidewalls formed of a silicon oxide layer or the nitride layer should not be etched during surface cleaning in order to prevent short between an electrode surrounded by the nitride film and a conductive material filling the contact hole as well as minimizing leakage current.
  • Even if the silicon substrate surface underlying the contact hole is not closed, a gate, a polysilicon electrode for a capacitor, or a connection line can be exposed. In this case, a damaged portion, an oxide layer, or contaminants should be removed as in the case where the silicon substrate surface is exposed.
  • A metal is used for a gate electrode or bit lines of a memory when necessary. The top portion of the metal is exposed during etching a contact hole. Similarly, contaminants on the metal and sidewalls must be removed and much attention should be paid to the removal because etched metal components are contained in the contaminants and are difficult to remove.
  • FIG. 1 is a schematic view of a conventional fluorine acid spray apparatus. Referring to FIG. 1, the conventional fluorine acid spray apparatus is comprised of a fluorine acid solution 10, a heating chamber 20, a substrate 30, a substrate mount 40, a fluorine solution tank 50 filled with a fluorine acid solution 60, and fluorine acid supply pipes 70 and 80. To prevent formation of a native oxide layer, oxygen is preliminarily removed by forming a fluorine layer on a silicon surface to react with the oxygen. Specifically, a fluorine acid layer is formed on a silicon surface by generating fluorine acid vapor using the fluorine acid spray apparatus and hardened by heat. Oxygen introduced into an etching device to etch the fluorine acid layer-having substrate or oxygen remaining in the etching device reacts chemically with the fluorine acid layer on the surface of the substrate and thus the oxygen is removed.
  • Despite the advantage of simplicity in device configuration and concept, however, the above conventional technology has a shortcoming in that it is difficult to effectively control fine process parameters.
  • UV (UltraViolet) light and ozone (O3) can be used for surface cleaning. That is, a silicon surface is oxidized by reaction between silicon and O3 dissociated by UV light and the oxide layer is removed by wet etch. However, oxidation takes a long time, leading to slow processing accurately.
  • FIG. 2 is a schematic view of a conventional plasma etching apparatus. plasma is generated in a plasma generator 100 by introducing H2 and N2 through a first processing gas inlet 90 and then NF3 is introduced through a second processing gas inlet 110, thereby etching a silicon substrate 120 in a chamber 140 with gases exhausted through an outlet 130.
  • The NF3 gas as a main processing gas accelerates plasma dissociation and activation, so that excess fluorine atoms and ions involved in the etching are generated. As a result, the silicon surface is over-etched deeper than a damaged portion, or a BPSG (Borophosphosilicate glass) oxide layer or a nitride layer that must avoid etching may also be etched. This is due to a a low selection ratio between native oxide etch rate and etch rate of silicon, BPSG or nitride when NF3 is used.
  • Another feature in which an inert gas such as Ar as a first processing gas shows a slow etch rate.
  • Therefore, it is required to provide improved cleaning method other than a conventional wet or dry etching method as described above.
  • SUMMARY OF THE INVENTION
  • It is, therefore, an object of the present invention to provide a surface cleaning apparatus and method using plasma, for removing a native oxide layer or a chemical oxide layer formed on a silicon surface before growth of a gate oxide layer for the purpose of preventing deterioration of the characteristics of the gate oxide layer.
  • It is also an object of the present invention to provide a surface cleaning apparatus and method using plasma, for removing a native oxide layer/a chemical oxide layer, a damaged portion, and contaminants from a silicon surface exposed during etching an oxide layer before salicidation (CoSi or NiSi formation) in order to prevent the increase of contact resistance and leakage current.
  • It is also an object of the present invention to provide a surface cleaning apparatus and method using plasma, for removing a native oxide layer/a chemical oxide layer, a damaged portion, and contaminants from a silicon surface exposed during etching an oxide layer for formation of a contact hole in order to prevent the increase of contact resistance and leakage current.
  • It is also an object of the present invention to provide a surface cleaning apparatus and method using plasma, for removing a native oxide layer and contaminants from a silicide surface exposed during etching a oxide layer for formation of a contact hole in order to prevent the increase of contact resistance and leakage current.
  • It is also an object of the present invention to provide a surface cleaning apparatus and method using plasma, for removing contaminants such as polymers on the sidewalls of a contact hole and the upper surface of an underlying metal layer when the contact hole is etched for connection to the metal layer.
  • It is also an object of the present invention to provide a surface cleaning apparatus and method using plasma, for removing a native oxide layer/a chemical oxide layer, a damaged portion, and contaminants from an etched silicon surface before the formation of STI (shallow trench insulation) in order to prevent the increase of leakage current.
  • It is a further object of the present invention to provide a surface cleaning apparatus and method using plasma, for growing good quality epitaxial silicon by removing a native oxide layer or a chemical oxide layer from a silicon surface prior to the epitaxial silicon growing process in fabrication of an integrated circuit.
  • It is still another object of the present invention to provide a surface cleaning apparatus and method using plasma, for growing good quality hemispherical grains (HSGs) by removing a native oxide layer or a chemical oxide layer from an underlying silicon surface in an HSG silicon formation process.
  • It is yet another object of the present invention to provide a surface cleaning apparatus and method using plasma, for improving process uniformity.
  • The foregoing and other objects of the present invention can be achieved by providing a surface cleaning apparatus and method using plasma. According to one aspect of the present invention, the surface cleaning apparatus using plasma has a chamber that can be maintained in a vacuum state, a substrate mount in the chamber, for mounting a silicon substrate, a first processing gas inlet for introducing a carrier gas into the chamber to generate and maintain plasma, a plasma generator for forming plasma out of the first processing gas, a filter between the plasma generator and the substrate mount, for passing only radicals to the substrate, and a second processing gas inlet between the plasma generator and the filter, for introducing a second processing gas into the chamber.
  • Preferably, the plasma generator uses a microwave generator as an energy source for plasma generation.
  • Preferably, a wall heat jacket is also provided for maintaining the walls of the chamber at a predetermined temperature to concentrate the radicals on the surface of the substrate to achieve a high etch rate by preventing deposition of the radicals and formation of a byproduct layer with the radicals. Particularly, chamber wall temperature between 50° C. and 120° C. makes surface cleaning speed 2-3 times more than normal cleaning environments.
  • Preferably, the filter is grounded, or a grid or baffle with a square-wave or sine-wave AC (alternating current) voltage applied is used as the filter.
  • More preferably, a third processing gas inlet is further provided for introducing a conditioning gas into the chamber to maintain a constant and controlled environment of the chamber after processing each wafer.
  • According to another aspect of the present invention, in the surface cleaning method using plasma, for removing a damaged portion and an unwanted oxide layer formed during etching for a contact hole on a silicon substrate having at least one layer including an insulation layer, a polymer layer is first formed on the oxide layer. The polymer layer and the oxide layer are removed by annealing wherein the components of the polymer layer are decomposed and combined with the components of the oxide layer and excited and removed, and the damaged portion of the surface of the silicon substrate is removed.
  • Preferably, in the polymer layer formation step, plasma is formed by introducing a first processing gas containing H2 and N2, only radicals are passed to the silicon substrate by filtering the plasma, and a second processing gas containing a halogen element is introduced.
  • Preferably, the second processing gas is HF.
  • Preferably, the polymer layer and the oxide layer are removed by annealing using a UV lamp or IR lamp, or by annealing in a heat chamber.
  • Preferably, the annealing gas is H2. Flow of H2 during annealing reduces time to remove the polymer layer and the oxide layer and at the same time passivates the surface of silicon, silicide or metal with hydrogen bonds, which extends the allowable time period prior to subsequent step. Preferable flow rate of H2 is 10 sccm and 5,000 sccm.
  • Preferably, the damaged portion of the silicon substrate surface is removed by annealing in a heat chamber.
  • More preferably, the damaged portion removal step is performed in-situ in the same chamber as the polymer layer and the oxide layer are removed.
  • According to a further aspect of the present invention, in the surface cleaning method using plasma for fabrication of an integrated circuit in a surface cleaning apparatus having a chamber that can be maintained in a vacuum state, a substrate mount for mounting a silicon substrate, a first processing gas inlet for introducing a carrier gas for generation and maintenance of plasma, a plasma generator, a filter for passing only radicals to the substrate, and a second processing gas inlet, the first processing gas is introduced into the chamber, plasma is formed out of the first processing gas in the plasma generator, a second processing gas is introduced into the chamber, and then a third processing gas is introduced into the chamber to maintain the environment of the chamber constant after processing each wafer.
  • Preferably, the first processing gas contains H2 and N2.
  • Preferably, the filter is grounded or receives an AC voltage.
  • Preferably, the second processing gas contains a halogen element.
  • More preferably, the second processing gas is HF.
  • Preferably, the third processing gas contains at least one of H, F, O and N containing gas.
  • Preferably, the annealing gas is at least one of H2, N2 or Ar.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other objects, features and advantages of the present invention will become more apparent from the following detailed description when taken in conjunction with the accompanying drawings in which:
  • FIG. 1 is a schematic view of a conventional fluorine acid spray device;
  • FIG. 2 is a schematic view of a conventional plasma etching apparatus:
  • FIG. 3 is a schematic view of an embodiment of plasma etching apparatus according to the present invention;
  • FIG. 4 is a schematic view of another embodiment of the plasma etching apparatus according to the present invention;
  • FIGS. 5A to 5E are sectional views sequentially illustrating an embodiment of a process of removing a native oxide layer and a damaged portion of a silicon substrate surface; and
  • FIGS. 6A and 6B are SEM (Scanning Electro Microscope) images illustrating contact profiles.
  • FIG. 7 is a graph illustrating etch rate and uniformity according to a N2 flow rate at constant H2 flow rate.
  • FIG. 8 is a graph illustrating etch rate and uniformity according to a H2 flow rate at constant N2 flow rate.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Preferred embodiments of the present invention will be described herein below with reference to the accompanying drawings. In the following description, well-known functions or constructions are not described in detail since they would obscure the invention in unnecessary detail.
  • FIG. 3 illustrates the structure of an embodiment of plasma etching apparatus according to the present invention. The plasma etching apparatus performs dry cleaning using a microwave plasma. Referring to FIG. 3, after a silicon substrate 180 is mounted on a substrate mount 160 under a chamber 140 that can be maintained in a vacuum state, a mixture gas of N2 and H2 is introduced through a first processing gas inlet 130. The mixture gas of N2 and H2 serves as a carrier gas for generating and maintaining plasma. Subsequently, plasma is generated by operating a microwave source module 110 and a plasma applicator 120 and HF gas is introduced through a second processing gas inlet 190. A fluorine-containing halogen element, or a halogen compound such as HCl, BCl3, or ClF3 may be a substitute for HF. The plasma passes through a filter 150, while moving down toward the substrate 180. The filter 150, being a grounded baffle or grid, absorbs potential so that only radicals reach the silicon substrate 180. A heater 210 for annealing, where in the damaged portion of the silicon substrate surface is removed by annealing in a heat chamber. A wall heat jacket 220 maintains the walls of the chamber 140 at an appropriate temperature to prevent the radicals from sticking to the walls of the chamber 140 and forming a byproduct layer and to concentrate the radicals on the surface of the silicon substrate for a high etch rate 180. H, O, N or F containing gas as a conditioning gas is introduced through a third processing gas inlet 200 to maintain the environment inside the chamber 140 constant and thus increase etch reproducibility after etching. The conditioning gas is H, F, O or N containing gas, or a mixture of the gases.
  • The used processing gases are exhausted through an outlet 170.
  • FIG. 4 illustrates the structure of another embodiment of the plasma etching apparatus according to the present invention. The plasma etching apparatus performs dry cleaning using a remote plasma. Referring to FIG. 4, this plasma etching apparatus is similar to the plasma etching apparatus using a microwave plasma except that RF power is supplied to generate plasma. The plasma etching apparatus is well known to those skilled in the field and thus its description is avoided here.
  • FIGS. 5A to 5E are sectional views sequentially illustrating a process of removing a native oxide layer and a damaged portion of a silicon substrate surface according to an embodiment of the present invention.
  • FIG. 5A illustrates the step of forming an interlayer insulation layer 41 on a silicon substrate 40 and then forming a contact hole by etching the interlayer insulation layer 41 in a contact area. The surface of the silicon substrate 40 exposed in the contact area is damaged during the etching. As a result, a damaged portion 42 and a native oxide layer 43 are sequentially formed on the silicon substrate 40. Since the native oxide layer 43 and the damaged portion 42 increase contact resistance or leakage current and as a result, deteriorates device characteristics, they must be removed.
  • FIG. 5B illustrates the step of forming an NxHyFz polymer layer 44 or a byproduct on the native oxide layer 43, the interlayer insulation layer 41 and the sidewalls of a contact hole using plasma generated out of H2 and N2 gas, and HF gas as a second processing gas. By grounding a grid or baffle for filtering the plasma, or applying AC voltage, only radicals in the plasma reach the substrate surface.
  • FIG. 5C illustrates the step of removing the polymer layer 44 by annealing. As the components of the polymer layer 44 are decomposed by annealing, the decomposed component are combined with the components of the underlying native oxide layer 43 to form N2O, F, HF, NH3, SiF4, etc and then removed. Annealing temperature is between 120 and 400° C. Preferably, the annealing gas is at least one of H2, N2 or Ar. Particularly, annealing using H2 gas terminates Si substrate surface. Instead of heat annealing, the polymer layer or byproduct can be removed by annealing using a UV lamp or IR (InfraRed) lamp radiation.
  • FIG. 5D illustrates the step of performing hydrophobic cleaning on the silicon substrate surface after the native oxide layer 43 is removed by annealing.
  • FIG. 5E illustrates the step of removing the silicon damaged portion 42 in-situ in the same chamber. The silicon damaged portion 42 can be removed using a remote plasma of at least one of HF/H2, HF/O2, NF3/O2, SF6/O2, and CF4/O2 or appropriately combining a gas such as NF3, CF4, and C2F2, a gas such as O2, CO2, NO2, and N2, and an inert gas such as He, Ne, Xe, and Ar. When removing the silicon damaged portion of a silicon substrate caused during processing including metal etching such as etching for formation of a metal contact window, it is more effective to use a remote plasma including Cl, like HCl, ClF3 and so on.
  • A table shown below is a comparison between the present invention using HF as a second processing gas and the conventional technology using NF3 as a second processing gas when a mixture gas of H2 and N2. is a first processing gas. As seen from the table, the present invention is much improved in etch rate (Å/min), silicon substrate roughness (RMS: nm), and metal contamination.
    Items HF NF3 Reference
    Etch Rate (Å/min) 42 30
    Selectivity of Oxide to >8 >6
    Nitride (or Si-sub)
    SI Surface Roughness 0.2803 0.3623 0.1043
    (RMS: nm)
    Metal Al 7.91E+10 4.38E+12 3.44E+09
    Contamination Cr 1.79E+09 5.36E+09 1.79E+09
    (/cm3) Fe 3.98E+10 1.43E+11 1.66E+09
    Ni 1.60E+09 9.61E+09 1.60E+09
  • FIG. 6A illustrates a contact profile before removing a native oxide layer and FIG. 6B illustrates a contact profile during processing the native oxide layer for 60 seconds. There are little changes in the contact profiles in terms of CD and substrate damage.
  • FIG. 7 is a graph illustrating etch rate and uniformity according to a N2 flow rate at constant H2 flow rate. Here, a gas pressure is 5 Torr and Microwave power is at 600 W and a flow rate of H2 is 30 sccm (standard cubic centimeter per minute) and a flow rate of HF (second processing gas) is 48 sccm. If N2 flow is zero, an etch rate of SiO2 (Å/min) is negligible. An etch rate of SiO2 (Å/min) increases as N2 flow rate increases. After N2 flow rate reaches 900 sccm, an etch rate is saturated. Similar trends can be found from pressure in the range of 0.1 Torr to 50 Torr, Microwave power in the range of 100 W to 2000 W, HF flow in the range of 5 sccm to 500 sccm. Uniformity improves as N2 flow rate increases and at 1,800 sccm of N2 flow rate it is about 10% with the current process kit.
  • FIG. 8 is a graph illustrating etch rate and uniformity according to a H2 flow rate at constant N2 flow rate. Here, a gas pressure is 5 Torr and Microwave power is at 600 W and a flow rate of N2 is 1,800 sccm (standard cubic centimeter per minute) and a flow rate of HF (second processing gas) is 48 sccm. If there is no H2 flow, an etch rate of SiO2 (Å/min) is negligible. An etch rate of SiO2 (Å/min) increases as N2 flow rate increases. Similar trends can be found from pressure in the range of 0.1 Torr to 50 Torr, Microwave power in the range of 100 W to 2000 W, HF flow in the range of 5 sccm to 500 sccm. Uniformity improves as H2 flow rate increases with the current process kit.
  • Out of FIG. 7 and FIG. 8, it is found that in order to obtain greater than 50 (Å/min) etch rate of SiO2 a combination of at least 500 sccm flow rate of N2 and at least a 20 sccm flow rate of H2 is preferable.
  • Particularly, surface cleaning speed 2-3 times more than normal cleaning environments is acquired by maintaining chamber wall temperature between 50° C. and 120° C. during whole cleaning process including a step of plasma generating, polymer forming and annealing. Maintaining the chamber wall temperature between 50° C. and 120° C. during whole cleaning process also prevents the formation of contaminant particles on wall.
  • In accordance with the present invention as described above, (1) deterioration of the characteristics of a gate oxide layer is prevented by removing a native oxide layer or a chemical oxide layer formed on a silicon surface before growth of the gate oxide layer; (2) the increase of contact resistance and leakage at a contact are prevented by removing a native oxide layer or a chemical oxide layer formed on a silicon surface exposed after etching for a contact hole and a portion of the silicon surface damaged during etching; (3) metal contact resistance is reduced by removing organic contaminants such as polymers existing at the boundary between the sidewalls of a contact and an underlying metal during etching the metal contact hole; (4) good quality epitaxial silicon is grown by removing a native oxide layer or a chemical oxide layer from a silicon surface in an epitaxial silicon growing process; (5) good quality HSGs are grown by removing a native oxide layer or a chemical oxide layer from a silicon surface in an HSG silicon forming process; and (6) process uniformity is improved by introducing a conditioning gas after processing each wafer and thus maintaining the environment of a chamber constant.
  • While the invention has been shown and described with reference to certain preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims.

Claims (20)

1-22. (canceled)
23. A method for cleaning native oxides, chemical oxides or damaged portions thereon off a surface of a substrate using plasma, the method comprising the steps in sequence of:
A. introducing a first processing gas which is a mixture of H2 and N2 gas into a first inlet of a cleaning apparatus;
B. generating and maintaining a plasma of said first processing gas in a plasma generating space in said cleaning apparatus.
C. adding a second processing gas of HF gas into a second inlet in said cleaning apparatus in which said second gas is activated to HF radicals by said plasma of first gas;
D. forming a polymer layer of NxHyFz that is a by-product of said first gas, said second gas and said materials on said surface of said substrate; and
E. removing said polymer layer by annealing said substrate to clean said surface of said substrate.
24. The method of claim 23, wherein H2 is used as an annealing gas in step E.
25. The method of claim 23, wherein said H2 gas is introduced in step A at a flow rate of above 20 sccm, said N2 gas is introduced in step A at a flow rate of above 500 sccm, and said plasma is generated using Microwave power in the range of 100 W to 2000 W.
26. The method of claim 25, wherein said HF gas is introduced in step C at a flow rate of 5 sccm to 500 sccm.
27. The method of claim 23, wherein gas pressure of said first processing gas is maintained in a range of 0.1 Torr to 50 Torr.
28. The method of claim 23, wherein said annealing is performed using a UV lamp or IR lamp.
29. The method of claim 23, wherein a gas pressure of 0.1 Torr to 50 Torr is maintained in step A.
30. The method of claim 23, wherein a wall temperature of said cleaning apparatus is maintained at between 50° C. and 120° C.
31. The method of claim 23, wherein H2, N2 or Ar is used as an annealing gas in step E.
32. The method of claim 23, wherein said annealing step E is performed with a flow of H2 gas at 10 sccm and 5,000 sccm at a temperature between 120° C. and 400° C.
33. A surface cleaning method using plasma for removing a native oxide layer formed on a semiconductor substrate during an etching process in which a contact hole is formed in a semiconductor substrate having at least one layer including an insulation layer, the method comprising the steps in sequence of:
A. generating a plasma from a first processing gas which is a mixture of H2 and N2;
B. introducing HF gas into said plasma;
C. contacting said semiconductor substrate with said plasma from step B whereby to form a polymer layer of NxHyFz on said insulation layer and said native oxide layer formed on said substrate; and
D. removing said polymer layer and said natural oxide layer by annealing wherein components of said polymer layer are decomposed and combined with the components of said natural oxide layer and removed.
34. The method of claim 33, wherein said annealing step D is performed using H2, N2 or Ar as an annealing gas.
35. The method of claim 33, wherein said annealing step D is performed with a flow of H2 at 10 sccm and 5,000 sccm at temperature between 120° C. and 400° C.
36. The method of claim 33, wherein said method is performed in a cleaning apparatus in which a wall thereof is maintained at a temperature of between 50° C. and 120° C.
37. A surface cleaning method for cleaning native oxides off a surface of a silicon substrate wafers used for fabrication of an integrated circuit in a surface cleaning apparatus having a chamber that can be maintained in a vacuum state, a substrate mount for mounting said silicon substrate, a first processing gas inlet for introducing a first processing gas into the apparatus, a plasma generator, a filter for passing only radicals to the substrate, a second processing gas inlet for introducing a second processing gas into the apparatus, and a third processing gas inlet for introducing a third processing gas into the apparatus to maintain the environment of the chamber constant after processing each wafer, the method comprising the steps in sequence of:
A. introducing a first processing gas of a mixture of H2 and N2 into said chamber via said first processing gas inlet;
B. forming plasma of said first processing gas using the plasma generator;
C. introducing a second processing gas of HF into said chamber via said second processing gas inlet;
D. forming on said surface of said substrate a polymer layer of NxHyFz that is a by-product of said first gas, said second gas and materials of a surface of said substrate;
E. removing said polymer layer and said natural oxide layer by annealing wherein components of said polymer layer are decomposed and combined with components of said natural oxide layer and removed; and
F. introducing said third processing gas into said chamber to maintain the environment of said chamber.
38. The method of claim 37, wherein said H2 gas is introduced in step A at a flow rate above 20 sccm, said N2 gas is introduced in step A at a flow rate above 500 sccm, said plasma as generated in step B using Microwave power in the range of 100 W to 2000 W, said HF is introduced in step C at a flow rate of 5 sccm to 500 sccm.
39. The method of claim 37, wherein said polymer layer and said natural oxide layer are removed by annealing using a UV lamp or IR lamp.
40. The method of claim 37, further comprising a step E1 of removing a damaged portion of the surface of said substrate after said step E of removing said polymer layer and said natural oxide layer by annealing with a flow of H2.
41. The method of claim 37, wherein a wall temperature of said chamber is maintained at between 50° C. and 120° C.
US11/385,391 2001-01-08 2006-03-21 Method for cleaning substrate surface Abandoned US20060157079A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/385,391 US20060157079A1 (en) 2001-01-08 2006-03-21 Method for cleaning substrate surface

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
KR10-2001-0001018A KR100404956B1 (en) 2001-01-08 2001-01-08 Method of manufacturing semiconductor integrated circuits and apparatus thereof
KR1020010079425A KR100573929B1 (en) 2001-12-14 2001-12-14 Apparatus and method for surface cleaning using plasma
KR2001-1018 2001-12-14
KR2001-79425 2001-12-14
US10/038,553 US20020124867A1 (en) 2001-01-08 2002-01-04 Apparatus and method for surface cleaning using plasma
US10/831,252 US20040194799A1 (en) 2001-01-08 2004-04-23 Apparatus and method for surface cleaning using plasma
US10/850,261 US7111629B2 (en) 2001-01-08 2004-05-20 Method for cleaning substrate surface
US11/385,391 US20060157079A1 (en) 2001-01-08 2006-03-21 Method for cleaning substrate surface

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/850,261 Continuation US7111629B2 (en) 2001-01-08 2004-05-20 Method for cleaning substrate surface

Publications (1)

Publication Number Publication Date
US20060157079A1 true US20060157079A1 (en) 2006-07-20

Family

ID=35428615

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/850,261 Expired - Lifetime US7111629B2 (en) 2001-01-08 2004-05-20 Method for cleaning substrate surface
US11/385,391 Abandoned US20060157079A1 (en) 2001-01-08 2006-03-21 Method for cleaning substrate surface

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/850,261 Expired - Lifetime US7111629B2 (en) 2001-01-08 2004-05-20 Method for cleaning substrate surface

Country Status (4)

Country Link
US (2) US7111629B2 (en)
JP (1) JP2007538397A (en)
CN (1) CN100454496C (en)
WO (1) WO2005114715A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080146002A1 (en) * 2006-12-14 2008-06-19 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having buried gate
US20080220589A1 (en) * 2007-03-05 2008-09-11 Sumco Corporation Method for evaluation of bonded wafer
US20100221895A1 (en) * 2007-11-02 2010-09-02 Canon Anelva Corporation Surface treatment apparatus and surface treatment method
US20120156887A1 (en) * 2009-08-27 2012-06-21 Youhei Ono Vacuum processing apparatus and vacuum processing method
US20120225558A1 (en) * 2011-03-04 2012-09-06 Applied Materials, Inc Methods for contact clean
US20130306599A1 (en) * 2011-02-08 2013-11-21 Ulvac, Inc. Radical etching apparatus and method
US8652970B2 (en) 2009-03-26 2014-02-18 Ulvac, Inc. Vacuum processing method and vacuum processing apparatus
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
KR100814409B1 (en) * 2006-08-14 2008-03-18 삼성전자주식회사 Method of ashing an object and apparatus for performing the same
US7888661B2 (en) * 2008-02-13 2011-02-15 Axcelis Technologies Inc. Methods for in situ surface treatment in an ion implantation system
JP4914902B2 (en) * 2008-05-30 2012-04-11 キヤノンアネルバ株式会社 Method and apparatus for forming silicide
FR2955179B1 (en) * 2010-01-13 2019-11-08 Universite De Bordeaux 1 SENSOR FOR MEASURING INSULIN NEEDS OF A PATIENT AND METHOD FOR MANUFACTURING THE SAME
US8252679B2 (en) * 2010-02-10 2012-08-28 United Microelectronics Corp. Semiconductor process
CN102479923B (en) * 2010-11-30 2014-04-02 中芯国际集成电路制造(北京)有限公司 Manufacturing method of phase change memory
JP2013074093A (en) * 2011-09-28 2013-04-22 Renesas Electronics Corp Reflow pretreatment device and reflow pretreatment method
KR101402231B1 (en) * 2012-10-17 2014-06-02 피에스케이 주식회사 method for treating substrate
US9446467B2 (en) 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
CN104022018A (en) * 2014-06-19 2014-09-03 无锡宏纳科技有限公司 Dry etching plasma damage repair technology
CN104550133B (en) * 2014-12-11 2017-02-22 河北同光晶体有限公司 Method for removing organic pollutants in hollow micro-defect and on surface of wafer of silicon carbide single crystal
US9887160B2 (en) * 2015-09-24 2018-02-06 International Business Machines Corporation Multiple pre-clean processes for interconnect fabrication
KR20180085807A (en) * 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 Cleaning method
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
CN106920753B (en) * 2017-04-25 2020-04-03 京东方科技集团股份有限公司 Thin film transistor, manufacturing method thereof, array substrate and display
US10217626B1 (en) 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
CN110323115B (en) * 2018-03-30 2021-10-22 长鑫存储技术有限公司 Self-cleaning method for semiconductor production equipment and preparation method for grid word line structure
WO2019240930A1 (en) * 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
CN111710606A (en) * 2020-06-30 2020-09-25 度亘激光技术(苏州)有限公司 Substrate processing method

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923559A (en) * 1975-01-13 1975-12-02 Bell Telephone Labor Inc Use of trapped hydrogen for annealing metal-oxide-semiconductor devices
US4304983A (en) * 1980-06-26 1981-12-08 Rca Corporation Plasma etching device and process
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5217501A (en) * 1989-07-25 1993-06-08 Tokyo Electron Limited Vertical wafer heat treatment apparatus having dual load lock chambers
US5284544A (en) * 1990-02-23 1994-02-08 Hitachi, Ltd. Apparatus for and method of surface treatment for microelectronic devices
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5620559A (en) * 1994-03-18 1997-04-15 Fujitsu Limited Hydrogen radical processing
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6029680A (en) * 1995-11-08 2000-02-29 Micron Technology, Inc Method for in situ removal of particulate residues resulting from cleaning treatments
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6333268B1 (en) * 1999-09-17 2001-12-25 Novellus Systems, Inc. Method and apparatus for removing post-etch residues and other adherent matrices
US6342436B1 (en) * 1998-01-04 2002-01-29 Sony Corporation Method of manufacturing semiconductor substrate and method of manufacturing solid-state image-pickup device
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US20020028585A1 (en) * 2000-07-18 2002-03-07 Samsung Electronics Co., Ltd. Method of removing contaminants from integrated circuit substrates using cleaning solutions
US20020036066A1 (en) * 2000-09-25 2002-03-28 Hitachi Kokusai Electric Inc., Method and apparatus for processing substrates
US6410991B1 (en) * 1998-06-15 2002-06-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6544900B2 (en) * 1999-12-23 2003-04-08 Asm America, Inc. In situ dielectric stacks
US6629538B2 (en) * 2001-01-16 2003-10-07 Hitachi, Ltd. Method for cleaning semiconductor wafers in a vacuum environment
US20030217693A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US20050079717A1 (en) * 1999-10-20 2005-04-14 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2972926B2 (en) 1987-08-31 1999-11-08 セイコーエプソン株式会社 Modulation circuit and sound generator
JPH06231124A (en) 1993-01-29 1994-08-19 Toshiba Corp Voice conversion system
JPH0864390A (en) 1994-08-22 1996-03-08 Ryoden Semiconductor Syst Eng Kk Plasma processing device
JPH08162427A (en) 1994-12-01 1996-06-21 Mitsubishi Electric Corp Manufacture of semiconductor device
JP2950785B2 (en) 1996-12-09 1999-09-20 セントラル硝子株式会社 Dry etching method for oxide film
JP3627451B2 (en) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 Surface treatment method and apparatus
JPH11106929A (en) 1997-09-30 1999-04-20 Mitsubishi Electric Corp Plasma treatment system
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100322545B1 (en) 1999-02-10 2002-03-18 윤종용 Method of filling contact hole preceded by pre-dry cleaning for semiconductor device
KR100404956B1 (en) 2001-01-08 2003-11-10 (주)에이피엘 Method of manufacturing semiconductor integrated circuits and apparatus thereof
KR100573929B1 (en) 2001-12-14 2006-04-26 (주)에이피엘 Apparatus and method for surface cleaning using plasma
KR20030049086A (en) * 2001-12-14 2003-06-25 (주)에이피엘 System and method for dry cleaning of substrate

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923559A (en) * 1975-01-13 1975-12-02 Bell Telephone Labor Inc Use of trapped hydrogen for annealing metal-oxide-semiconductor devices
US4304983A (en) * 1980-06-26 1981-12-08 Rca Corporation Plasma etching device and process
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5217501A (en) * 1989-07-25 1993-06-08 Tokyo Electron Limited Vertical wafer heat treatment apparatus having dual load lock chambers
US5284544A (en) * 1990-02-23 1994-02-08 Hitachi, Ltd. Apparatus for and method of surface treatment for microelectronic devices
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5620559A (en) * 1994-03-18 1997-04-15 Fujitsu Limited Hydrogen radical processing
US6029680A (en) * 1995-11-08 2000-02-29 Micron Technology, Inc Method for in situ removal of particulate residues resulting from cleaning treatments
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6342436B1 (en) * 1998-01-04 2002-01-29 Sony Corporation Method of manufacturing semiconductor substrate and method of manufacturing solid-state image-pickup device
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6410991B1 (en) * 1998-06-15 2002-06-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6333268B1 (en) * 1999-09-17 2001-12-25 Novellus Systems, Inc. Method and apparatus for removing post-etch residues and other adherent matrices
US20050079717A1 (en) * 1999-10-20 2005-04-14 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6544900B2 (en) * 1999-12-23 2003-04-08 Asm America, Inc. In situ dielectric stacks
US20020028585A1 (en) * 2000-07-18 2002-03-07 Samsung Electronics Co., Ltd. Method of removing contaminants from integrated circuit substrates using cleaning solutions
US20020036066A1 (en) * 2000-09-25 2002-03-28 Hitachi Kokusai Electric Inc., Method and apparatus for processing substrates
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6629538B2 (en) * 2001-01-16 2003-10-07 Hitachi, Ltd. Method for cleaning semiconductor wafers in a vacuum environment
US20030217693A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080146002A1 (en) * 2006-12-14 2008-06-19 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having buried gate
US7723191B2 (en) * 2006-12-14 2010-05-25 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having buried gate
US20080220589A1 (en) * 2007-03-05 2008-09-11 Sumco Corporation Method for evaluation of bonded wafer
US7799655B2 (en) * 2007-03-05 2010-09-21 Sumco Corporation Method for evaluation of bonded wafer
US20100221895A1 (en) * 2007-11-02 2010-09-02 Canon Anelva Corporation Surface treatment apparatus and surface treatment method
US20100255667A1 (en) * 2007-11-02 2010-10-07 Canon Anelva Corporation Substrate cleaning method for removing oxide film
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
US8652970B2 (en) 2009-03-26 2014-02-18 Ulvac, Inc. Vacuum processing method and vacuum processing apparatus
US20120156887A1 (en) * 2009-08-27 2012-06-21 Youhei Ono Vacuum processing apparatus and vacuum processing method
US20130306599A1 (en) * 2011-02-08 2013-11-21 Ulvac, Inc. Radical etching apparatus and method
US9216609B2 (en) * 2011-02-08 2015-12-22 Ulvac, Inc. Radical etching apparatus and method
CN103443906A (en) * 2011-03-04 2013-12-11 应用材料公司 Methods for contact clean
US8642473B2 (en) * 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
KR20140016911A (en) * 2011-03-04 2014-02-10 어플라이드 머티어리얼스, 인코포레이티드 Methods for contact clean
US20120225558A1 (en) * 2011-03-04 2012-09-06 Applied Materials, Inc Methods for contact clean
KR101956347B1 (en) * 2011-03-04 2019-03-08 어플라이드 머티어리얼스, 인코포레이티드 Methods for contact clean
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure

Also Published As

Publication number Publication date
US7111629B2 (en) 2006-09-26
WO2005114715A8 (en) 2006-12-21
CN1954413A (en) 2007-04-25
WO2005114715A1 (en) 2005-12-01
JP2007538397A (en) 2007-12-27
US20050014375A1 (en) 2005-01-20
CN100454496C (en) 2009-01-21

Similar Documents

Publication Publication Date Title
US7111629B2 (en) Method for cleaning substrate surface
US20020124867A1 (en) Apparatus and method for surface cleaning using plasma
EP0801606B1 (en) Method for treating a surface
KR100322545B1 (en) Method of filling contact hole preceded by pre-dry cleaning for semiconductor device
US6692903B2 (en) Substrate cleaning apparatus and method
KR100316721B1 (en) Method of manufacturing semiconductor device having a silicide layer
US6796314B1 (en) Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
KR101158205B1 (en) Method of etching a high aspect ratio contact
US8129281B1 (en) Plasma based photoresist removal system for cleaning post ash residue
US20060252265A1 (en) Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
JPH09232299A (en) In situ cleaning of cvd apparatus
JPH08153700A (en) Anisotropic etching of electrically conductive coating
US6686292B1 (en) Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer
KR100360399B1 (en) Method of manufacturing semiconductor capacitor having a hemispherical grain layer
US6914208B2 (en) Method for semiconductor wafer etching
KR100573929B1 (en) Apparatus and method for surface cleaning using plasma
US6960531B2 (en) Method of manufacturing electronic device
EP1160849A2 (en) Method of anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
KR100247930B1 (en) Cleaning solution and cleaning method using the same
KR101333831B1 (en) Surface processing method of semiconductor device and surface processing method thereof
KR20030049086A (en) System and method for dry cleaning of substrate
JPH0748482B2 (en) Method for cleaning substrate surface after removal of oxide film
KR100291439B1 (en) Method for Making Semiconductor Element
JP2002289589A (en) Etching method
KR940007053B1 (en) Cleaning method of semiconductor substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: PSK, INC., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:APL CO. LTD.;REEL/FRAME:018463/0318

Effective date: 20060918

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION