US20060166456A1 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
US20060166456A1
US20060166456A1 US11/388,523 US38852306A US2006166456A1 US 20060166456 A1 US20060166456 A1 US 20060166456A1 US 38852306 A US38852306 A US 38852306A US 2006166456 A1 US2006166456 A1 US 2006166456A1
Authority
US
United States
Prior art keywords
layer
insulating layer
forming
source
semiconductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/388,523
Inventor
Makoto Fujiwara
Kazunari Ishimaru
Akira Hokazono
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/388,523 priority Critical patent/US20060166456A1/en
Publication of US20060166456A1 publication Critical patent/US20060166456A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface

Definitions

  • the present invention relates to a semiconductor device having an MIS type field effect transistor and a manufacturing method thereof.
  • an MOS transistor utilizing a fin-shaped semiconductor layer, i.e., a FinFET.
  • the FinFET is superior in suppression of a short channel effect, a low subthreshold slope, high mobility and others as compared with other types of transistors.
  • FIGS. 1 and 2 show a structural example of the FinFET.
  • An insulating layer 2 is formed on a silicon substrate 1 , and a fin-shaped silicon layer 3 is formed on the insulating layer 2 .
  • a so-called SOI substrate is constituted by the silicon substrate 1 , the insulating layer 2 and the silicon layer 3 .
  • a cap insulating layer 4 which is used as a mask when processing the silicon layer 3 is formed on the silicon layer 3 .
  • a gate electrode 6 is formed on each of two side surfaces of the silicon layer 3 in a direction y through a gate insulating layer 5 . In this example, the gate electrode 6 on one side of the silicon layer 3 is separated from that on the other side of the same, but the both gate electrodes 6 may be electrically connected.
  • An area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 7 . Further, in the silicon layer 3 , source/drain areas 8 are formed on both sides of the channel area 7 . A direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1 , i.e., a direction x.
  • a fin in the channel area 7 i.e., a width Wch of the silicon layer 3 in the direction y (width of the channel area) must be set smaller than a gate length Lg.
  • a dimension which can be processed into a minimum level by the lithography technology is usually coordinated with the gate length, it is very hard to form the channel area 7 having a width narrower than the gate length.
  • the width Wch of the channel area 7 is equal to the width of the fin, i.e., the silicon layer 3 in the source/drain area 8 in the direction y.
  • the width Wch of the channel area 7 is reduced in order to suppress the short channel effect, the width of the fin in the source/drain area 8 in the direction y is also decreased.
  • a parasitic resistance of the source/drain area 8 is increased, thereby lowering a drive current.
  • an effective gate width (effective channel width) of the FinFET is twofold of a height h of the fin, i.e., the silicon layer 3 .
  • h the height of the fin
  • connecting a plurality of fins in parallel can suffice.
  • impurities must also be implanted into the side surfaces of the silicon layer 3 in order to form a source/drain area. Therefore, the source/drain area is usually formed by a tilted ion implantation method.
  • parasitic resistances consist of a silicide interface resistance Rc, a silicide sheet resistance Rs, a diffusion layer sheet resistance Rd immediately below the silicide and others, and these resistance-components must be reduced in order to realize a high-speed operation.
  • an MOS transistor utilizing a fin-shaped semiconductor layer i.e., a FinFET has been studied.
  • the FinFET is superior in suppression of the short channel effect, a low subthreshold slope, high mobility and others as compared with other types of transistors.
  • FIG. 5 shows a structural example of the FinFET.
  • An insulating layer 2 is formed on a silicon substrate 1 , and a fin-shaped silicon layer 3 is formed on the insulating layer 2 .
  • a so-called SOI substrate is constituted by the silicon substrate 1 , the insulating layer 2 and the silicon layer 3 .
  • a cap insulating layer 4 used as a mask when processing the silicon layer 3 is formed on the silicon layer 3 .
  • a gate electrode 6 is formed on two side surface of the silicon layer 3 in a direction y through gate insulating layers 5 .
  • the gate electrode on one side of the silicon layer 3 is electrically connected to the gate electrode 3 on the other side of the same so as to cut across the silicon layer 3 , but the both gate electrodes 6 may be electrically separated from each other.
  • Sidewall insulating layers (sidewalls) 9 are formed on side surface of the gate electrode 6 in a direction x.
  • a cap insulating layer 10 used as a mask when processing the gate electrode 6 is formed on the gate electrode 6 .
  • an area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 7 .
  • source/drain areas 8 and source/drain extension areas 8 a are formed on both sides of the channel area 7 .
  • a direction of a current flowing through the channel area 7 is a direction parallel to a surface of the silicon substrate 1 , i.e., the direction x.
  • FIG. 5 shows a silicide process which forms a silicide layer on the source/drain areas formed in the silicon layer 3 .
  • FIG. 6 shows the FinFET which has been subjected to the silicide process.
  • Silicide layers 11 are formed in the silicon layer 3 (source/drain areas 8 ).
  • source/drain areas 8 there occur a problem that a major part of the source/drain areas 8 excluding areas immediately below the sidewall insulating layers 9 is silicided and a parasitic resistance is increased.
  • [2] Proposing a structure and a manufacturing method of a three-dimensional element such as a FinFET in which an effective channel width is controlled by the number of fins connected to each other in parallel rather than heights of the fins, and proposing a structure and a manufacturing method by which an area which is of an electroconductive type different from an electroconductive type of the source/drain area is prevented from partially remaining in the source/drain area.
  • a semiconductor device comprising: a semiconductor substrate; a fin-formed semiconductor layer which is formed on the semiconductor substrate, is long in a first direction and is short in a second direction crossing the first direction; a gate insulating layer formed on side surfaces of the semiconductor layer in the second direction; a gate electrode arranged so as to be adjacent to the gate insulating layer; a channel area formed at a position adjacent to the gate insulating layer in the semiconductor layer; a source/drain extension area formed at a position adjacent to the channel area in the semiconductor layer in the first direction; and a source/drain area formed at a position adjacent to the source/drain extension area in the semiconductor layer in the first direction, wherein a width of the semiconductor layer in the second direction in the channel area is smaller than a width of the semiconductor layer in the second direction in the source/drain area.
  • a semiconductor device comprising: a semiconductor substrate; a plurality of fin-formed first semiconductor layers which are formed on the semiconductor substrate, long in a first direction, short in a second direction crossing the first direction and aligned in the second direction; a second semiconductor layer which connects a plurality of the first semiconductor layers at end portions of a plurality of the first semiconductor layers in the first direction; a gate insulating layer formed on side surfaces of each of a plurality of the first semiconductor layers in the second direction; a gate electrode arranged so as to be adjacent to the gate insulating layer; a channel area formed at a position adjacent to the gate insulating layer in a plurality of the first semiconductor layers; a source/drain extension area formed at a position adjacent to the channel area in the first direction in a plurality of the first semi-conductor layers; and a source/drain area formed at a position adjacent to the source/drain extension area in the first direction in the second semiconductor layer.
  • a semiconductor device comprising: a semiconductor substrate; a fin-formed semiconductor layer which is formed on the semiconductor substrate, is long in a first direction and is short in a second direction crossing the first direction; a gate insulating layer formed on side surfaces of the semiconductor layer in the second direction; a gate electrode arranged so as to be adjacent to the gate insulating layer; a channel area formed at a position adjacent to the gate insulating layer in the semiconductor layer; a source/drain extension area formed at a position adjacent to the channel area in the first direction in the semiconductor layer; a source/drain area formed at a position adjacent to the source/drain extension area in the first direction in the semiconductor layer; and a silicide layer which is formed to a surface portion of the semiconductor layer in the source/drain area but not formed in the entire inner portion of the same.
  • a manufacturing method of a semiconductor device comprising: a step of forming on a semiconductor substrate a fin-formed semiconductor layer which is long in a first direction and short in a second direction crossing the first direction; a step of forming a dummy gate insulating layer on side surfaces of the semiconductor layer in the second direction; a step of forming a dummy gate electrode adjacent to the dummy gate insulating layer; a step of forming a source/drain extension area and a source/drain area in the semiconductor layer; a step of forming an insulating layer covering the semiconductor layer; a step of polishing or etching the insulating layer and thereby exposing surfaces of the dummy gate insulating layer and the dummy gate electrode; a step of removing the dummy gate insulating layer and the dummy gate electrode; a step of oxidizing the semiconductor layer at a part where the dummy gate insulating layer had been formed and forming an oxide layer;
  • a manufacturing method of a semiconductor device comprising: a step forming on a semiconductor substrate a plurality of fin-formed first semiconductor layers which are long in a first direction and short in a second direction crossing the first direction, and a second semiconductor layer which connects end portions of a plurality of the first semiconductor layers in the first direction; a step of forming a dummy gate insulating layer on side surfaces of a plurality of the first semiconductor layers in the second direction; a step of forming a dummy gate electrode adjacent to the dummy gate insulating layer; a step of forming a source/drain extension area in a plurality of the first semiconductor layers; a step of forming a source/drain area in the second semiconductor layer; a step of forming an insulating layer covering the first and second semiconductor layers; a step of polishing or etching the insulating layer and thereby exposing surfaces of the dummy gate insulating layer and the dummy gate electrode; a
  • a manufacturing method of a semiconductor device comprising: a step of forming a cap insulating layer on a semiconductor layer on a first insulating layer; a step of etching the semiconductor layer by using the cap insulating layer as a mask, and forming the semiconductor layer into a fin shape which is long in a first direction and short in a second direction crossing the first direction; a step of forming a second insulating layer covering the semiconductor layer; a step of polishing or etching the second insulating layer and thereby exposing a surface of the cap insulating layer; a step of etching the cap insulating layer by isotropic etching and thereby reducing a size of the cap insulating layer; a step of forming a first resist having a slit whose width is smaller than a width of the semiconductor layer in the first direction; a step of etching the semiconductor layer by using the cap insulating layer and the first resist as masks, and making
  • a manufacturing method of a semiconductor device comprising: a step of forming on a semiconductor substrate a fin-shaped semiconductor layer which is long in a first direction and short in a second direction crossing the first direction; a step of forming a gate insulating layer on side surfaces of the semiconductor layer in the second direction; a step of forming a gate electrode adjacent to the gate insulating layer; a step of forming a source/drain extension area in the semiconductor layer by tilted ion implantation; a step of forming a sidewall insulating layer on sidewalls of the gate electrode; a step of forming a source/drain area by a combination of tilted ion implantation and vertical ion implantation or by vertical ion implantation; and a step of forming a silicide layer on the gate electrode and a surface of the source/drain area, wherein conditions of silicidation are set so as not to form the silicide layer in the entire inner portion of
  • a manufacturing method of a semiconductor device comprising: a step of forming on a semiconductor substrate a fin-shaped first semiconductor layer which is long in a first direction and short in a second direction crossing the first direction, a silicidation stopper on the first semiconductor layer, and a second semiconductor layer on the silicidation stopper; a step of forming a gate insulating layer on side surface of the first semiconductor layer in the second direction; a step of forming a gate electrode adjacent to the gate insulating layer; a step of forming a source/drain extension area in the first semiconductor layer; a step of forming a sidewall insulating layer on sidewalls of the gate electrode; a step of growing an epitaxial layer on surfaces of the first and second semiconductor layers by a selected growth, and coupling the epitaxial layer from the first layer with the epitaxial layer from the second semiconductor layer; a step of forming a source/drain area in the first semiconductor layer; and a step of
  • FIG. 1 is a view showing an example of a conventional FinFET
  • FIG. 2 is a cross-sectional view taken along the line II-II in FIG. 1 ;
  • FIG. 3 is a view showing an example of a conventional transistor
  • FIG. 4 is a view showing the example of the conventional transistor
  • FIG. 5 is a view showing an example of a conventional FinFET
  • FIG. 6 is a view showing the example of the conventional FinFET
  • FIG. 7 is a view showing an example of a conventional transistor
  • FIG. 8 is a view showing an outline of an example of the present invention.
  • FIG. 9 is a view showing an outline of an example of the present invention.
  • FIG. 10 is a view showing an outline of an example of the present invention.
  • FIG. 11 is a view showing an outline of an example of the present invention.
  • FIG. 12 is a view showing an outline of an example of the present invention.
  • FIG. 13 is a view showing an outline of an example of the present invention.
  • FIG. 14 is a view showing an outline of an example of the present invention.
  • FIG. 15 is a view showing a FinFET according to a first embodiment of the present invention.
  • FIG. 16 is a cross-sectional view taken along the line XVI-XVI in FIG. 15 ;
  • FIG. 17 is a view showing a first example of a manufacturing method according to the first embodiment
  • FIG. 18 is a cross-sectional view taken along the line XVIII-XVIII in FIG. 17 ;
  • FIG. 19 is a view showing the first example of the manufacturing method of the first embodiment
  • FIG. 20 is a cross-sectional view taken along the line XX-XX in FIG. 19 ;
  • FIG. 21 is a view showing the first example of the manufacturing method according to the first embodiment
  • FIG. 22 is a cross-sectional view taken along the line XXII-XXII in FIG. 21 ;
  • FIG. 23 is a view showing the first example of the manufacturing method according to the first embodiment.
  • FIG. 24 is a cross-sectional view taken along the line XXIV-XXIV in FIG. 23 ;
  • FIG. 25 is a view showing the first example of the manufacturing method according to the first embodiment.
  • FIG. 26 is a view showing the first example of the manufacturing method according to the first embodiment
  • FIG. 27 is a view showing the first example of the manufacturing method according to the first embodiment
  • FIG. 28 is a cross-sectional view taken along the line XXVIII-XXVIII in FIG. 27 ;
  • FIG. 29 is a view showing the first example of the manufacturing method according to the first embodiment.
  • FIG. 30 is a cross-sectional view taken along the line XXX-XXX in FIG. 29 ;
  • FIG. 31 is a view showing a second example of the manufacturing method according to the first embodiment.
  • FIG. 32 is a cross-sectional view taken along the line XXXII-XXXII in FIG. 31 ;
  • FIG. 33 is a view showing the second example of the manufacturing method according to the first embodiment.
  • FIG. 34 is a cross-sectional view taken along the line XXXIV-XXXIV in FIG. 33 ;
  • FIG. 35 is a view showing the second example of the manufacturing method according to the first embodiment.
  • FIG. 36 is a cross-sectional view taken along the line XXXVI-XXXVI in FIG. 35 ;
  • FIG. 37 is a view showing the second example of the manufacturing method according to the first embodiment.
  • FIG. 38 is a cross-sectional view taken along the line XXXVIII-XXXVIII in FIG. 37 ;
  • FIG. 39 is a view showing the second example of the manufacturing method according to the first embodiment.
  • FIG. 40 is a cross-sectional view taken along the line XL-XL in FIG. 39 ;
  • FIG. 41 is a view showing the second example of the manufacturing method according to the first embodiment.
  • FIG. 42 is a cross-sectional view taken along the line XLII-XLII in FIG. 41 ;
  • FIG. 43 is a view showing a FinFET according to a second embodiment of the present invention.
  • FIG. 44 is a cross-sectional view taken along the line XLIV-XLIV in FIG. 43 ;
  • FIG. 45 is a view showing an example of the manufacturing method according to the second embodiment.
  • FIG. 46 is a view showing the example of the manufacturing method according to the second embodiment.
  • FIG. 47 is a view showing the example of the manufacturing method according to the second embodiment.
  • FIG. 48 is a view showing the example of the manufacturing method according to the second embodiment.
  • FIG. 49 is a view showing the example of the manufacturing method according to the second embodiment.
  • FIG. 50 is a view showing a structural example 1 of a FinFET according to a third embodiment of the present invention.
  • FIG. 51 is a cross-sectional view taken along the line LI-LI in FIG. 50 ;
  • FIG. 52 is a view showing a structural example 2 of the FinFET according to the third embodiment of the present invention.
  • FIG. 53 is a cross-sectional view taken along the line LIII-LIII in FIG. 52 ;
  • FIG. 54 is a view showing a structural example 3 of the FinFET according to the third embodiment of the present invention.
  • FIG. 55 is a cross-sectional view taken along the line LV-LV in FIG. 54 ;
  • FIG. 56 is a view showing a first example of the manufacturing method according to the third embodiment.
  • FIG. 57 is a view showing the first example of the manufacturing method according to the third embodiment.
  • FIG. 58 is a cross-sectional view taken along the line LVIII-LVIII in FIG. 57 ;
  • FIG. 59 is a view showing the first example of the manufacturing method according to the third embodiment.
  • FIG. 60 is a cross-sectional view taken along the line LX-LX in FIG. 59 ;
  • FIG. 61 is a view showing the first example of the manufacturing method according to the third embodiment.
  • FIG. 62 is a cross-sectional view taken along the line LXII-LXII in FIG. 61 ;
  • FIG. 63 is a view showing the first example of the manufacturing method according to the third embodiment.
  • FIG. 64 is a cross-sectional view taken along the line LVIV-LVIV in FIG. 63 ;
  • FIG. 65 is a view showing a second example of the manufacturing method according to the third embodiment.
  • FIG. 66 is a cross-sectional view taken along the line LXVI-LXVI in FIG. 65 ;
  • FIG. 67 is a view showing the second example of the manufacturing method according to the third embodiment.
  • FIG. 68 is a cross-sectional view taken along the line LXVIII-LXVIII in FIG. 67 ;
  • FIG. 69 is a view showing the second example of the manufacturing method according to the third embodiment.
  • FIG. 70 is a cross-sectional view taken along the line LXX-LXX in FIG. 69 ;
  • FIG. 71 is a view showing the second example of the manufacturing method according to the third embodiment.
  • FIG. 72 is a cross-sectional view taken along the line LXXII-LXXII in FIG. 71 ;
  • FIG. 73 is a view showing a third example of the manufacturing method according to the third embodiment.
  • FIG. 74 is a view showing the third example of the manufacturing method according to the third embodiment.
  • FIG. 75 is a view showing the third example of the manufacturing method according to the third embodiment.
  • FIG. 76 is a view showing the third example of the manufacturing method according to the third embodiment.
  • FIG. 77 is a view showing the third example of the manufacturing method according to the third embodiment.
  • FIG. 78 is a view showing the third example of the manufacturing method according to the third embodiment.
  • FIG. 79 is a view showing the third example of the manufacturing method according to the third embodiment.
  • Examples of the present invention are roughly constituted by the following three.
  • a width of a fin in a channel area in a direction y i.e., a width Wch of the channel area is set smaller than a gate length Lg, thereby suppressing the short channel effect.
  • a width Wsd of the fin in a source/drain area is set larger than a width Wch of the channel area or a width Wext of the fin in a source/drain extension area, and suppression of the short channel effect is thereby realized simultaneously with an increase in drive current due to a reduction in a parasitic resistance (Wch ⁇ Wext ⁇ Wsd).
  • an effective channel width of the three-dimensional element such as a FinFET is controlled by the number of fins connected to each other in parallel in place of heights of the fins.
  • a plurality of transistors having different effective channel widths are formed in an LSI.
  • the effective channel width of each transistor is adjusted by only the heights of the fins, the fins on a silicon substrate have different heights, and processing of the fins becomes complicated.
  • flattening the top face of the fin is difficult, and it is hard to correctly perform subsequent processing steps including photolithography.
  • the three-dimensional element such as a FinFET
  • a structure and a manufacturing method by which its effective channel width is 2 ⁇ h ⁇ n there are proposed a structure and a manufacturing method by which its effective channel width is 2 ⁇ h ⁇ n.
  • one transistor is constituted by n (n is a natural number) fins connected to each other in parallel and all n fins have the same height h.
  • an implantation angle ⁇ of tilted ion implantation for forming the source/drain area is determined as an angle with respect to a plane vertical to a surface of the semiconductor substrate, e.g., a range of 0° ⁇ 45° or preferably 10° ⁇ 30°, and an area which is of an electroconductive type different from an electroconductive type of the source/drain area is prevented from partially remaining in the source/drain area.
  • the source/drain area (indicated by hatching) 8 in the entire fin by only the conventional tilted ion implantation as shown in FIG. 12 , whereas the source/drain area can be readily formed in the entire fin according to the above-described method.
  • tilted ion implantation (implantation angle ⁇ falls within a range of 0° ⁇ 90°) may be combined with a vertical ion implantation (implantation angle is 0°) as shown in FIGS. 13 and 14 besides the above method.
  • the ion implantation method and the implantation angle for forming the source/drain extension area do not have to be the same as the ion implantation method and the implantation angle for forming the source/drain area. They may be the same or different from each other.
  • the source/drain extension area may be formed by the tilted ion implantation method, and the source/drain area may be formed by the vertical ion implantation method or a combination of the vertical ion implantation method and the tilted ion implantation method.
  • germanium (Ge), silicon (Si) or the like is ion-implanted into a source/drain formation planned area, and the source/drain formation planned area is amorphousized. Additionally, after ion implantation for forming the source/drain area, low-temperature annealing at approximately 600° C. is carried out, thereby suppressing impurity diffusion to a minimum level.
  • conditions of a silicide process are determined so as to leave a silicon layer with a sufficient thickness immediately below a silicide layer. For example, a width of a fin-shaped silicon layer (shorter width), a thickness of a high-melting point metal layer deposited on the silicide layer, a time of silicidation and other are controlled.
  • an elevated source/drain process is adopted, and the silicon layer is selectively grown on the fin-shaped silicon layer.
  • a width of the silicon layer is substantially increased, and the increased silicon layer functions as consumption silicon in silicidation. Therefore, the silicon layer with a sufficient thickness can be left immediately below the silicide layer.
  • a silicidation stopper e.g., silicon dioxide
  • silicidation stopper used to avoid excessive silicidation of the silicon layer is provided in order to prevent a large part or all of the source/drain area from being silicided.
  • this stopper suppresses silicidation of the silicon layer, the silicon layer with a sufficient thickness can be left immediately below the silicon layer.
  • Adopting such a structure or a manufacturing method can suppress an increase in a diffusion layer sheet resistance immediately below silicide, thereby contributing to a reduction in a parasitic resistance.
  • the first embodiment concerns a structure and a manufacturing method of a FinFET which realize suppression of the short channel effect, an increase in a drive current due to a reduction in a parasitic resistance, and others.
  • FIGS. 15 and 16 show a structure of the FinFET according to the first embodiment of the present invention.
  • FIG. 16 is a cross-sectional view taken along the line XVI-XVI in FIG. 15 .
  • An insulating layer 2 is formed on a silicon substrate 1 , and a fin-shaped silicon layer 3 is formed on the insulating layer 2 .
  • a so-called SOI substrate is constituted by the silicon substrate 1 , the insulating layer 2 and the silicon layer (fin) 3 .
  • a cap insulating layer 4 used as a mask when processing the silicon layer 3 is formed on the insulating layer 2 .
  • a gate electrode 6 is formed on each of two side surfaces of the silicon layer 3 in a direction y through a gate insulating layer 5 . Although the gate electrode 6 on one side of the silicon layer 3 is separated from that on the other side of the same in this example, they may be electrically connected to each other so as to cut across the silicon layer 3 .
  • a sidewall insulating layer (sidewall) 9 is formed on each side surface of the gate electrode 6 in a direction x.
  • An area in the silicon layer 3 sandwiched by the gate electrode 6 is a channel area 7 .
  • source/drain areas 8 and source/drain extension areas 8 a are formed on both sides of the channel area 7 .
  • a direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1 , i.e., a direction x.
  • Silicide layers 11 are formed on the source/drain areas 8 .
  • the silicide layers 11 are formed on the source/drain areas 8 exposed at an opening portion of an insulating layer 12 , i.e., three side surfaces and a top surface of the silicon layer 3 .
  • a twofold of a height h of the silicon layer 3 corresponds to an effective gate width (effective channel width). Furthermore, a width (shorter one) of the silicon layer 3 is not fixed, but is gradually increased from a central portion to an end portion on three stages.
  • a width Wext of the silicon layer 3 in the source/drain extension area 8 a is larger than a width of the silicon layer 3 in the channel area, i.e., a width Wch of the channel area.
  • a width Wsd of the silicon layer 3 in the source/drain area 8 is larger than the width Wext of the silicon layer 3 in the source/drain extension area 8 a.
  • an impurity concentration of the source/drain area 8 can be made sufficiently high and deep, thereby greatly reducing the parasitic resistance.
  • the width Wch of the channel area can be set smaller than the gate length Lg, thereby effectively suppressing the short channel effect.
  • the width Wch of the channel area is set smaller than the gate length Lg by a special method in this example. Therefore, even if a dimension which can be processed into a minimum level by the lithography technique is coordinated with the gate length Lg, the silicon layer 3 can be formed with a width equal to or larger than that dimension.
  • FIGS. 15 and 16 An example of a manufacturing method for realizing the structure shown in FIGS. 15 and 16 will now be described.
  • a silicon substrate 1 is prepared, and a buried insulating layer (e.g., silicon dioxide) 2 is formed in this silicon substrate 1 .
  • a silicon layer (fin) 3 is formed on the buried insulating layer 2 , this silicon layer 3 is originally a part of the silicon substrate 1 .
  • a cap insulating layer 4 as a mask material is formed on the silicon substrate 1 (silicon layer 3 in the drawing) by, e.g., LPCVD. Then, the cap insulating layer 4 is patterned by the photolithography and RIE, and the silicon substrate 1 (silicon layer 3 in the drawing) is etched with this cap insulating layer 4 being used as a mask by RIE. As a result, the silicon layer 3 is formed on the buried insulating layer 2 .
  • a substrate having the insulating layer embedded in the silicon substrate from the beginning e.g., an SIMOX substrate may be used as the SOI substrate.
  • the cap insulating layer 4 is constituted by a stacked structure of, e.g., silicon dioxide and silicon nitride. Further, the silicon layer 3 is formed in such a manner that a width W 1 at a part which will be a source/drain area later becomes larger than a width W 2 at a part which will be a channel area later in order to reduce a parasitic resistance.
  • the SOI structure may be alternatively realized by forming the fin on a regular silicon substrate by the photolithography and RIE and then embedding the insulating layer, for example.
  • a dummy gate insulating layer 5 A is formed on each side surface of the silicon layer 3 by, e.g., LPCVD, and then a polysilicon layer (dummy gate electrode 6 A in the drawing) is formed on each dummy gate insulating layer 5 A. Furthermore, a top face of the polysilicon layer is made substantially the same as a top face of the cap insulating layer 4 (flattening) by using the cap insulating layer 4 as a stopper, for example.
  • the polysilicon layer is processed by the photolithography and RIE, and the dummy gate electrode 6 A having such a gate length Lg as shown in the drawing is formed.
  • impurities are implanted to a surface area of the silicon layer 3 by tilted ion implantation (implantation angle ⁇ is, e.g., 10° ⁇ 30°), thereby forming source/drain extension areas 8 a .
  • implantation angle ⁇ is, e.g., 10° ⁇ 30°
  • silicon nitride which completely covers the silicon layer 3 is formed on the buried insulating layer 2 by, e.g., LPCVD.
  • this silicon nitride is etched by RIE, and sidewall insulating layers (sidewalls) 9 are formed.
  • the sidewall insulating layer 9 is formed on each of sidewalls of the dummy gate electrode 6 A and sidewalls of the silicon layer 3 , various conditions may be set in such a manner that it is formed on only the sidewalls of the dummy gate electrode 6 A, for example.
  • impurities are again implanted into the silicon layer 3 by tilted ion implantation (low angle) or vertical ion implantation (implantation angle ⁇ is 0°), thereby forming source/drain areas 8 .
  • the ion implantation for forming the source/drain areas 8 e.g., germanium (Ge) or silicon (Si) may be ion-implanted into the source/drain formation planned areas so that the source/drain formation planned areas are amorphousized.
  • germanium (Ge) or silicon (Si) may be ion-implanted into the source/drain formation planned areas so that the source/drain formation planned areas are amorphousized.
  • SPE Solid Phase Epitaxial regrowth
  • the epitaxial growth may be carried out in order to form an epitaxial layer on the surface of the silicon layer 3 .
  • insulating layers e.g., silicon dioxide
  • the insulating layers 12 are polished by CMP with the cap insulating layer 4 being used as a stopper, and top faces of the insulating layers 12 are made substantially the same as the top face of the cap insulating layer 4 (flattening), for example.
  • each dummy gate electrode 6 A As a result, the top face of each dummy gate electrode 6 A (see FIGS. 21 and 22 ) is exposed. Thereafter, when the dummy gate electrodes 6 A and the dummy gate insulating layers 5 A are removed, slit-shaped holes are formed at those parts, and the surface of the silicon layer 3 is partially exposed. Then, when the exposed silicon layer 3 is oxidized by thermal oxidation, silicon dioxide layers 13 are formed so as to consume the silicon layer 3 .
  • a width Wch of the channel area 7 is smaller than a width Wext of the fin in the source/drain extension areas 8 a . That is, assuming that Wsd is a width of the fin in the source/drain areas 8 , a relationship of Wch ⁇ Wext ⁇ Wsd can be obtained.
  • the width Wch of the channel area 7 can be readily made smaller than the gate length Lg without using the photolithography, which can contribute to suppression of the short channel effect.
  • gate insulating layers (silicon dioxide) 5 are formed.
  • polysilicon layers are formed so as to completely fill the slit-shaped holes formed to the insulating layers 12 by the LPCVD method.
  • the polysilicon layers are polished or etched back by CMP, self-aligned gate electrodes 6 are formed in the channel area 7 in the silicon layer 3 .
  • impurities are implanted into the gate electrodes 6 by the ion implantation method, and a thermal process for activation of the impurities is conducted.
  • a metal such as tungsten (W) or molybdenum (Mo) may be used in place of polysilicon.
  • W tungsten
  • Mo molybdenum
  • the cap insulating layer 4 , the sidewall insulating layers 9 and the insulating layers 12 are partially etched by the photolithography and RIE, an opening portion which reaches each source/drain area 8 is formed on each source/drain area 8 .
  • a metal layer consisting of, e.g., nickel (Ni), cobalt (Co) or titanium (Ti) is formed on the entire upper portions of the insulating layers 2 and 12 including this opening portion, and a thermal process is performed.
  • metal silicide layers 11 are formed on each of top faces and side surfaces of the source/drain areas 8 and the top faces of the gate electrodes 6 . Furthermore, thereafter, the metal layer which has not been converted into the metal silicide layer 11 is removed.
  • Such a FinFET as shown in FIGS. 15 and 16 is completed by the above-described steps.
  • a silicon substrate 1 is prepared, and a buried insulating layer (e.g., silicon dioxide) 2 is formed in the silicon substrate 1 .
  • a buried insulating layer e.g., silicon dioxide
  • the same thing as that in the example 1 can be applied to a silicon layer (fin) 3 on the buried insulating layer 2 . That is, although the silicon layer 3 is formed on the buried insulating layer 2 in the drawing, this silicon layer 3 is originally a part of the silicon substrate 1 .
  • a cap insulating layer (e.g., silicon nitride) 4 as a mask material is formed on the silicon substrate 1 (silicon layer 3 in the drawing) by LPCVD. Then, the cap insulating layer 4 is patterned by the photolithography and RIE, and the silicon substrate 1 (silicon layer 3 in the drawing) is etched with this cap insulating layer 4 being used as a mask by RIE. As a result, the silicon layer 3 is formed on the buried insulating layer 2 .
  • an insulating layer 14 which completely covers the silicon layer 3 is formed on the buried insulating layer 2 . Moreover, the insulating layer 14 is polished by CMP with the cap insulating layer 4 being used as a stopper, and a surface of the cap insulating layer 4 is made substantially the same as a surface of the insulating layer 14 .
  • a substrate having an insulating layer embedded in the silicon substrate from the beginning e.g., an SIMOX substrate may be used as the SOI substrate.
  • the SOI substrate e.g., an SIMOX substrate
  • the SOI structure may be alternatively realized by forming the fin on a regular silicon substrate by the photolithography and RIE and then embedding the insulating layer.
  • the cap insulating layer 4 is etched by isotropic etching. As a result, a top face and side surfaces of the cap insulating layer 4 are isotropically etched, and a size of the cap insulating layer 4 is reduced.
  • a resist layer 15 is formed by the photolithography.
  • the resist layer 15 is patterned so as to have an opening on the upper portion of a channel area and a source/drain extension area of the silicon layer 3 .
  • a width of the silicon layer 3 at a central portion becomes smaller than a width of the same at an end portion.
  • the central portion of the silicon layer 3 has a width Wext of the fin at a part where the source/drain extension area is formed, and the end portion of the silicon layer 3 has a width Wsd of the fin at a part where the source/drain area is formed (Wext ⁇ Wsd).
  • the cap insulating layer 4 is again etched by isotropic etching. As a result, the top face and the side surfaces of the cap insulating layer 4 are isotropically etched, and the size of the cap insulating layer 4 is further reduced. Thereafter, a resist layer 16 is formed by the photolithography. The resist layer 16 is patterned so as to have an opening at the upper portion of the channel area of the silicon layer 3 .
  • the width of the silicon layer 3 at the central part is further narrowed as shown in FIGS. 41 and 42 .
  • the central portion of the silicon layer 3 has a width Wch of the fin at a part where the channel area is formed, and a relationship of Wch ⁇ Wext ⁇ Wsd can be obtained.
  • the SOI substrate consisting of the silicon substrate 1 , the buried insulating layer 2 and the silicon layer 3 having the above-described relationship is completed.
  • the second embodiment relates to a structure and a manufacturing method which facilitate formation of a fin and also facilitate subsequent processing of members using the photolithography or the like by controlling an effective channel width of the FinFET based on the number of fins connected to each other in parallel in place of heights of the fins.
  • this embodiment relates to a structure and a manufacturing method which prevent an area which is of an electroconductive type different from an electroconductive type of a source/drain area from partially remaining in the source/drain area in the FinFET having such a structure that a plurality of fins are connected to each other in parallel.
  • FIGS. 43 and 44 show a structure of a FinFET according to a second embodiment of the present invention.
  • FIG. 44 is a cross-sectional view taken along the like XLIV-XLIV in FIG. 43 .
  • An insulating layer 2 is formed on a silicon substrate 1 , and a fin-shaped silicon layer 3 is formed on the insulating layer 2 .
  • a so-called SOI substrate is constituted by the silicon substrate 1 , the insulating layer 2 and the silicon layer 3 .
  • the silicon layer 3 is constituted by a plurality of channel/extension portions (fins) and two source/drain portions provided in common with these channel/extension portions.
  • the source/drain portion of the silicon layer 3 exists at each of both ends of a plurality of the channel/extension portions in a direction x, the channel/extension portions being aligned in a direction y.
  • These channel/extension portions (fins) and the source/drain portions are electrically connected to each other, and they form a ladder shape on the whole when seen from a direction z.
  • heights of a plurality of the fins constituting one FinFET are the same. Therefore, processing of the fins is very easy. Further, since irregularities of the insulating layer formed on the upper portions of the fins can be eliminated and the insulating layer can be flattened, subsequent processing of members using the photolithography or the like can be correctly performed.
  • an effective channel width of the FinFET is controlled by the number of fins connected to each other in parallel. That is, by changing the number of the fins connected to each other in parallel, a plurality of FinFETs having different effective channel widths can be formed in one LSI.
  • Cap insulating layer 4 used as masks when processing the silicon layer 3 are formed on a plurality of the channel/extension portions (fins) of the silicon layer 3 .
  • Gate electrodes 6 are formed on two side surfaces of each channel/extension portion of the silicon layer 3 in the direction y through gate insulating layers 5 .
  • the device of this example has a structure that a plurality of the fins are connected to each other in parallel. Therefore, it is realistic that the gate electrode 6 on one side of each of a plurality of fins is electrically connected with the gate electrode 6 on the other side so as to cut across the silicon layer 3 .
  • Sidewall insulating layers (sidewalls) 9 are formed on side surfaces of the gate electrodes 6 in the direction x. Areas in the silicon layer 3 sandwiched by the gate electrodes 6 are channel areas 7 . Furthermore, in the silicon layer 3 , source/drain areas 8 and source/drain extension areas 8 a are formed on the both sides of the channel areas 7 . A direction of a current flowing through each channel area 7 is a direction parallel to the surface of the silicon substrate 1 , i.e., the direction x.
  • Silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8 , respectively.
  • the silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8 exposed to the opening portions of the insulating layers 12 , i.e., the side surfaces and the top face of the silicon layer 3 exposed to the opening portions of the insulating layers 12 .
  • a width of each fin in the direction y is not fixed, but it is gradually increased from the central portion toward the end portion on three stages.
  • a width Wext of the silicon layer (fin) 3 in the source/drain extension area 8 a is larger than a width of the silicon layer (fin) 3 in the channel area, i.e., a width Wch of the channel area.
  • a width Wsd of the silicon layer 3 in the source/drain area 8 is larger than a width Wext of the silicon layer (fin) 3 in the source/drain extension area 8 a.
  • an impurity concentration of the source/drain area 8 can be set sufficiently high and deep, thereby greatly reducing a parasitic resistance.
  • the width Wch of the channel area can be set smaller than the gate length Lg, thereby effectively suppressing the short channel effect.
  • the source/drain area 8 is formed in the entire silicon layer 3 . That is, an area which is of an electroconductive type opposite to an electroconductive type of the source/drain area 8 does not partially remain in the source/drain area 8 .
  • FIGS. 43 and 44 An example of a manufacturing method for realizing a structure shown in FIGS. 43 and 44 will now be described.
  • a silicon layer (fin) 3 is formed on a buried insulating layer (e.g., silicon dioxide) 2 on a silicon substrate 1 , for example.
  • This silicon layer 3 is formed in the following manner for instance.
  • oxygen ions are implanted into the silicon substrate, and a thermal process is conducted, thereby forming the buried insulating layer 2 in the silicon substrate.
  • a cap insulating layer as a mask material is formed on the silicon substrate by LPCVD.
  • the cap insulating layer is patterned by the photolithography and RIE, and the silicon substrate is etched by RIE with this cap insulating layer being used as a mask.
  • the ladder-shaped silicon layer (fin) 3 is formed on the buried insulating layer 2 .
  • the silicon layer 3 is formed in such a manner that a width at a part which will be the source/drain area later is larger than a width W 2 at a part which will be the channel/extension area later in order to reduce a parasitic resistance.
  • dummy gate insulating layers 5 A are formed on side surfaces of the silicon layer 3 by, e.g., thermal oxidation, and polysilicon layers (dummy gate electrodes 6 A in the drawing) are subsequently formed on the dummy gate insulating layers 5 A.
  • the top faces of the polysilicon layers are made substantially the same as the top face of the cap insulating layer 4 by CMP (flattening) with the cap insulating layer 4 being used as a stopper, for example.
  • the polysilicon layers are processed by the photolithography and RIE, and dummy gate electrodes 6 A having a gate length Lg such as shown in the drawing are formed.
  • impurities are implanted into the surface area of the silicon layer 3 by tilted ion implantation (implantation angle 0 is, e.g., 10° ⁇ 30°), and source/drain extension areas 8 a are formed.
  • silicon nitride which completely covers the silicon layer 3 is formed on the buried insulating layer 2 by, e.g., LPCVD. Then, this silicon nitride is etched by RIE, and sidewall insulating layers (sidewalls) are formed.
  • the sidewall insulating layers are respectively formed on sidewalls of the dummy gate electrodes 6 A and sidewalls of the silicon layer 3 , various conditions may be set in such a manner that the sidewall insulating layers are formed on only the sidewalls of the dummy gate electrodes 6 A.
  • the source/drain areas 8 are amorphousized.
  • the impurities when the impurities are activated by performing low-temperature annealing at approximately 600° C. (SPE (Solid Phase Epitaxial regrowth)), diffusion of the impurities constituting the source/drain areas 8 is suppressed, and hence diffusion of the impurities from the source/drain areas 8 to the source/drain extension areas 8 a can be suppressed to the minimum level.
  • SPE Solid Phase Epitaxial regrowth
  • the epitaxial growth may be carried out in order to form an epitaxial layer on the surface of the silicon layer 3 .
  • insulating layers e.g., silicon dioxide
  • the insulating layers 12 are polished by CMP with the cap insulating layer 4 being used as a mask and the top faces of the insulating layers 12 are made substantially the same as the top face of the cap insulating layer 4 (flattening), for example.
  • the top faces of the dummy gate electrodes 6 A are exposed. Thereafter, when the dummy gate electrodes 6 A and the dummy gate insulating layers 5 A are removed, slit-like holes are formed at these parts, and the surface of the silicon layer 3 is partially exposed. Then, when the exposed silicon layer 3 is oxidized by thermal oxidation, silicon dioxide layers 13 are formed so as to bite into the silicon layer 3 .
  • the width Wch of the channel area 7 is smaller than the width Wext of the fin in the source/drain extension area 8 a . That is, assuming that Wsd is a width of the fin in the source/drain area 8 , a relationship of Wch ⁇ Wext ⁇ Wsd can be obtained.
  • the width Wch of the channel area 7 can be readily made smaller than the gate length Lg without using the photolithography, which can contribute to suppression of the short channel effect.
  • the third embodiment relates to a structure and a manufacturing method by which a large part or all of a source/drain area is not silicided in a FinFET having a silicide layer on the source/drain area.
  • FIGS. 50 and 51 show a structural example 1 of a FinFET according to the third embodiment of the present invention. It is to be noted that FIG. 51 is a cross-sectional view taken along the line LI-LI in FIG. 50 .
  • An insulating layer 2 is formed on a silicon substrate 1 , and a fin-shaped silicon layer 3 which is long in a direction x and short in a direction y is formed on the insulating layer 2 .
  • a so-called SOI substrate is constituted by the silicon substrate 1 , the insulating layer 2 and the silicon layer (fin) 3 .
  • Gate electrodes 6 are formed on two side surfaces of the silicon layer 3 in a direction Y through gate insulating layers 5 .
  • the gate electrode 6 on one side of the silicon layer 3 is electrically connected with the gate electrode 6 on the other side of the same so as to cut across the silicon layer 3 , they may be separated from each other.
  • Sidewall insulating layers (sidewalls) 9 are formed on side surfaces of the gate electrode 6 in a direction x.
  • An area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 17 . Furthermore, in the silicon layer 3 , source/drain areas 8 and source/drain extension areas 8 a are formed on the both sides of the channel area 7 . A direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1 , i.e., a direction x.
  • Silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8 .
  • the silicide layers 11 are respectively formed on the top face of the gate electrode 6 and the side surfaces and the top face of the silicon layer 3 .
  • This FinFET is characterized in that the source/drain area 8 having a sufficient thickness exists between the silicide layers 11 formed on the surface of the silicon layer 3 . Since the silicide layer 11 is formed by a reaction of the silicon layer and a metal layer, a thickness of the source/drain area 8 between the silicide layers 11 is determined by a thickness of the silicon layer 3 , a thickness of the metal layer, a temperature and a time of silicidation and others.
  • a thickness of the silicide layer 11 in the direction y is set smaller than 1 ⁇ 2 of the thickness of the silicon layer 3 in the direction y.
  • a design is made in advance in such a manner that the width a from the angular portion of the fin positioned at the farthest end to the angular portion of the coupling part which connects a plurality of the fins is larger than the thickness of the silicide layer in order to prevent the silicide layer from being formed to the fin positioned at the farthest end.
  • FIGS. 52 and 53 show a structural example 2 of the FinFET according to the third embodiment of the present invention. It is to be noted that FIG. 53 is a cross-sectional view taken along the line LIII-LIII in FIG. 52 .
  • An insulating layer 2 is formed on a silicon substrate 1 , and a silicon layer 3 which is long in a direction x and short in a direction y is formed on the insulating layer 2 .
  • a so-called SOI substrate is constituted by the silicon substrate 1 , the insulating layer 2 and the silicon layer (fin) 3 .
  • Gate electrodes 6 are formed on two side surfaces of the silicon layer 3 in a direction y through gate insulating layers 5 .
  • the gate electrode 6 on one side of the silicon layer 3 is electrically connected with the gate electrode 6 on the other side of the same so as to cut across the silicon layer 3 , they may be separated from each other.
  • Sidewall insulating layers (sidewalls) 9 are formed on side surfaces of the gate electrode 6 in a direction x.
  • An area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 7 . Further, source/drain areas 8 and source/drain extension areas 8 a are formed on both sides of the channel area 7 .
  • a direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1 , i.e., the direction x.
  • Silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8 .
  • the silicide layers 11 are respectively formed on the top faces of the gate electrodes 6 and the side surfaces and top face of the silicon layer 3 .
  • This FinFET is characterized in that the source/drain areas 8 between the silicide layers 11 are widely assured as compared with the FinFET of the structural example 1. That is, in this example, a width of the source/drain area 8 in a direction y is substantially the same as a width of the original silicon (fin) 3 .
  • Such a structure can be readily obtained by selectively growing the silicon layer on the source/drain areas 8 by using, e.g., a so-called elevated source/drain technique and then performing silicidation.
  • widths of the source/drain area 8 and the silicide layer 11 are determined by a width of the silicon layer 3 , a thickness of a metal layer, a temperature and a time of silicidation and others.
  • FIGS. 54 and 55 show a structural example 3 of the FinFET according to the third embodiment of the present invention. It is to be noted that FIG. 55 is a cross-sectional view taken along the line LV-LV in FIG. 54 .
  • An insulating layer 2 is formed on a silicon substrate 1 , and a fin-shaped silicon layer 3 which is long in a direction x and short in a direction y is formed on the insulating layer 2 .
  • a so-called SOI substrate is constituted by the silicon substrate 1 , the insulating layer 2 and the silicon layer (fin) 3 .
  • Gate electrodes 6 are formed on two side surfaces of the silicon layer 3 in a direction y through gate insulating layers 5 .
  • the gate electrode 6 on one side of the silicon layer 3 is electrically connected with the gate electrode 6 on the other side of the same so as to cut across the silicon layer 3 , they may be separated from each other.
  • Sidewall insulating layers (sidewalls) 9 are formed on side surfaces of the gate electrodes 6 in a direction x.
  • An area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 7 .
  • source/drain areas 8 and source/drain extension areas 8 a are formed on both sides of the channel area 7 .
  • a direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1 , i.e., a direction x.
  • Silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8 .
  • the silicide layers 11 are formed on the top faces of the gate electrodes 6 , the side surfaces of the silicon layer 3 and the top face of the silicon layer 3 .
  • a silicidation stopper (e.g., silicon dioxide) 17 used to suppress silicidation of the silicon layer 3 is formed between the silicide layer 11 formed on the upper portion of the top face of the silicon layer 3 and the silicon layer 3 . Therefore, the sufficiently large source/drain areas 8 can be assured between the silicide layers 11 .
  • one FinFET has one fin in the examples 1 to 3
  • one FinFET may be constituted by, e.g., a plurality of fins connected to each other in parallel.
  • This example concerns a manufacturing method for realizing the structure shown in FIGS. 50 and 51 .
  • a silicon substrate 1 is prepared, and a buried insulating layer (e.g., silicon dioxide) 2 is formed in the silicon substrate 1 .
  • a silicon layer (fin) 3 is formed on the buried insulating layer 2 in the drawing, this silicon layer 3 is originally a part of the silicon substrate 1 .
  • a cap insulating layer 4 as a mask material is formed on the silicon substrate 1 (silicon layer 3 in the drawing) by, e.g., LPCVD. Then, the cap insulating layer 4 is patterned by the photolithography and RIE, and the silicon substrate 1 is etched by RIE with this cap insulating layer 4 being used as a mask. As a result, the silicon layer 3 is formed on the buried insulating layer 2 .
  • the cap insulating layer 4 is constituted by a stacked structure of silicon dioxide and silicon nitride.
  • the fin (silicon layer 3 ) is formed on the assumption of the SOI substrate in this example. However, in place of this structure, an insulating layer may be formed on a regular silicon substrate, a silicon layer may further formed on the insulating layer, and then a fin may be formed by the photolithography and RIE.
  • gate insulating layers 5 are formed on side surfaces of the silicon layer 3 by, e.g., thermal oxidation, and then polysilicon layers (gate electrodes 6 in the drawing) are formed on the gate insulating layers 5 by LPCVD. Further, a cap insulating layer (e.g., silicon dioxide, silicon nitride and others) 13 A is formed on the polysilicon layers by LPCVD.
  • a cap insulating layer e.g., silicon dioxide, silicon nitride and others
  • the cap insulating layer 13 A is patterned by, e.g., the photolithography and RIE. Furthermore, the polysilicon layers are processed by RIE with the cap insulating layer 13 A being used as a mask, and a gate electrode 6 having a gate length Lg such as shown in the drawing is formed.
  • CMP may be executed immediately after the polysilicon layer which is a base of the gate electrode 6 is formed in order to flatten the polysilicon layer.
  • a metal such as tungsten (W) or molybdenum (Mo) may be used in place of polysilicon.
  • W tungsten
  • Mo molybdenum
  • impurities are implanted into the surface area of the silicon layer 3 by tilted ion implantation (implantation angle 0 is, e.g., 10° ⁇ 30°), and the impurities are activated by a thermal process, thereby forming source/drain extension areas 8 a .
  • the source/drain extension areas 8 a are formed on, e.g., side surfaces of the silicon layer 3 in a direction y.
  • the cap insulating layer 4 on the silicon layer 3 and the cap insulating layer 13 A on the gate electrode 6 are respectively removed.
  • the cap insulating layers 4 and 13 A are formed of silicon nitride
  • the cap insulating layers 4 and 13 A are removed by using a phosphoric acid heated to approximately 160° C.
  • the cap insulating layers 4 and 13 A are formed of silicon dioxide
  • the cap insulating layers 4 and 13 A are removed by a hydrofluoric acid.
  • silicon nitride which completely covers the silicon layer 3 is formed on the buried insulating layer 2 by, e.g., LPCVD. Then, this silicon nitride is etched by RIE, and sidewall insulating layers (sidewalls) 9 are formed.
  • an etching time is adjusted in such a manner that the sidewall insulating layers 9 are formed on only the sidewalls of the gate electrode 6 and they are not formed on the sidewalls of the silicon layer 3 .
  • impurities are again implanted into the silicon layer 3 by vertical ion implantation at a low angle (implantation angle ⁇ is, e.g., 0° ⁇ 100) or vertical ion implantation (implantation angle ⁇ is 0°), and the impurities are activated by a thermal process, thereby forming source/drain areas 8 .
  • the source/drain areas 8 are formed in the entire silicon layer 3 except the channel area 7 .
  • a metal layer which covers the top face and the side surfaces of the silicon layer 3 and the top face of the gate electrode 6 e.g., a metal layer consisting of nickel (Ni), cobalt (Co), titanium (Ti), palladium (Pd) or the like is formed, and a thermal process is performed.
  • metal silicide layers 11 are respectively formed on the top faces and the side surfaces of the source/drain areas 8 and the top faces of the gate electrodes 6 . Furthermore, thereafter, the metal layers which have not been converted into the metal silicide layers 11 are removed.
  • a thickness of the silicon layer 3 when performing such silicidation, a thickness of the silicon layer 3 , a thickness of the metal layers and conditions of silicidation (a time, a temperature and others) are controlled in such a manner that all of the silicon layer 3 excluding the channel area 7 is not converted into the silicide layers 11 .
  • This example relates to a manufacturing method for realizing a structure shown in FIGS. 52 and 53 .
  • a silicon layer (fin) 3 , gate insulating layers 5 and a gate electrode 6 are formed by the same method as that of the above-described example 1.
  • the gate electrode 6 may be constituted by either polysilicon or a metal (see FIGS. 56 to 51 ).
  • impurities are implanted into the surface area of the silicon layer 3 by tilted ion implantation (implantation angle 0 is, e.g., 10° ⁇ 30°), and the impurities are activated by a thermal process, thereby forming source/drain extension areas 8 a .
  • the source/drain extension areas 8 a are formed on, e.g., the side surfaces of the silicon layer 3 in a direction y.
  • cap insulating layers 4 on the silicon layer 3 and a cap insulating layer 13 A on the gate electrode 6 are respectively removed.
  • the gap insulating layers 4 and 13 A are constituted by silicon nitride
  • the cap insulating layers 4 and 13 A are removed by a phosphoric acid.
  • the cap insulating layers 4 and 13 A are constituted by silicon dioxide
  • the cap insulating layers 4 and 13 A are removed by a hydrofluoric acid.
  • silicon nitride which completely covers the silicon layer 3 is formed on the buried insulating layer 2 by, e.g., LPCVD. Then, this silicon nitride is etched by RIE, thereby forming sidewall insulating layers (sidewalls) 9 .
  • an etching time is adjusted in such a manner that the sidewall insulating layers 9 are formed on only the sidewalls of the gate electrode 6 and not formed on the sidewalls of the silicon layer 3 .
  • a semiconductor layer 15 A formed of, e.g., silicon or silicon germanium is selectively grown on the exposed surface of the silicon layer (fin) 3 in advance in such a manner that all of the source/drain areas are not silicided in silicidation, and a thickness of the silicon layer 3 is increased.
  • the semiconductor layer 15 A formed of silicon, silicon germanium or the like may be selectively formed on the gate electrode 6 simultaneously with formation of the counterpart on the silicon layer 3 .
  • a selective growth of silicon can be readily carried out by heating the silicon substrate in a hydrogen atmosphere in a temperature range of, e.g., 700° C. to 900° C. and supplying a reactant gas such as SiH4, SiH2Cl2, SiHCl3 or HCl to the silicon substrate together with hydrogen.
  • a reactant gas such as SiH4, SiH2Cl2, SiHCl3 or HCl
  • a selective growth of silicon germanium can be readily executed by heating the silicon substrate in a hydrogen atmosphere in a temperature range of, e.g., 550° C. to 850° C. and supplying a reactant gas such as GeH4, GeH2Cl2, GeHCl3 or HCl to the silicon substrate together with hydrogen.
  • a reactant gas such as GeH4, GeH2Cl2, GeHCl3 or HCl
  • a technique which forms the semiconductor layer 15 A on the silicon layer 3 by the selective growth and increases a thickness of the silicon layer (fin) 3 is referred to as an elevated source/drain technique.
  • impurities are implanted into the silicon layer 3 by tilted ion implantation at a low angle (implantation angle ⁇ is, e.g., 0° ⁇ 10°) or vertical ion implantation (implantation angle ⁇ is 0°), and the impurities are activated by a thermal process, thereby forming source/drain areas 8 .
  • implantation angle ⁇ is, e.g., 0° ⁇ 10°
  • vertical ion implantation implantation angle ⁇ is 0°
  • the source/drain areas 8 are formed in the entire silicon layer 3 except the channel area 7 .
  • a metal layer which covers the top face and the side surfaces of the silicon layer 3 and the top face of the gate electrode 6 e.g., a metal layer consisting of nickel (Ni), cobalt (Co), titanium (Ti), palladium (Pd) or the like is formed, and a thermal process is performed.
  • metal silicide layers 11 are respectively formed on the top face and the side surfaces of the source/drain areas 8 and the top face of the gate electrode 6 . Additionally, thereafter, the metal layers which have not been converted into the metal silicide layers 11 are removed.
  • a thickness of the silicide layer 11 is controlled based on a thickness of the silicon layer 3 , a thickness of the metal layer and conditions (a time, a temperature and others) of silicidation.
  • This example relates to a manufacturing method for realizing a structure illustrated in FIGS. 54 and 55 .
  • an SOI structure consisting of, e.g., a silicon substrate 1 , a buried insulating layer (e.g., silicon dioxide) 2 and a silicon layer 3 is formed.
  • a cap insulating layer 4 as a mask material is formed on the silicon substrate 1 (silicon layer 3 in the drawing) by, e.g., LPCVD. Then, the cap insulating layer 4 is patterned by the photolithography and RIE, and the silicon substrate 1 is etched by RIE with this cap insulating layer 4 being used as a mask. As a result, the silicon layer (fin) 3 is formed on the buried insulating layer 2 , thereby obtaining the SOI structure.
  • the gate insulating layer, the gate electrode, source/drain extension area, the source/drain area and others are formed. Further, the cap insulating layer 4 on the silicon layer 3 is removed.
  • a metal layer 16 A which covers the surface of the silicon layer (source/drain areas) 3 e.g., a metal layer consisting of nickel (Ni), cobalt (Co), titanium (Ti), palladium (Pd) or the like is formed, and a thermal process is performed.
  • metal silicide layers 11 are respectively formed on the top face and the side surfaces of the silicon layer (source/drain areas) 3 . It is to be noted that the metal layers which have not been converted into the metal silicide layers 11 are removed.
  • silicidation proceeds from the top face and the side surfaces of the silicon layer 3 toward the inside of the same. Therefore, it is hard to control an amount of the silicon layer 3 which is left after silicidation, and that amount is very small. This increases a sheet resistance of the silicon layer (source/drain areas) 3 between the silicide layers 11 , which prevents a high-speed operation.
  • a silicon substrate 1 is prepared, and a buried insulating layer (e.g., silicon dioxide) 2 is formed in this silicon substrate 1 .
  • a buried insulating layer e.g., silicon dioxide
  • the silicon layer (fin) 3 is formed on the buried insulating layer 2 , this silicon layer 3 is originally a part of the silicon substrate 1 .
  • a silicidation stopper (e.g., silicon dioxide, silicon nitride or the like) 17 , a polysilicon layer 18 and a cap insulating layer 4 as a mask material are sequentially formed on the silicon substrate 1 (silicon layer 3 in the drawing) by LPCVD. Furthermore, thereafter, the cap insulating layer 4 , the polysilicon layer (which may be alternatively an amorphous silicon layer) 18 and the silicidation stopper 17 are patterned by the photolithography and RIE, and the silicon substrate 1 is etched by RIE with the cap insulating layer 4 being used as a mask. Consequently, the silicon layer (fin) 3 is formed on the buried insulating layer 2 .
  • a silicidation stopper e.g., silicon dioxide, silicon nitride or the like
  • a gate insulating layer, a gate electrode, source/drain extension areas, source/drain areas and others are formed.
  • epitaxial layers (a silicon layer, a silicon germanium layer or the like) 15 A are grown on the side surfaces of the silicon layer 3 and the polysilicon layer 18 by the selective growth like the example 2.
  • the epitaxial layers 15 A grow from the side surfaces of the silicon layer 3 and from the side surfaces of the polysilicon layer 18 . That is, the epitaxial layer 15 A growing from the silicon layer 3 and the epitaxial layer 15 A growing from the polysilicon layer 18 are coupled and integrated with each other on the side surfaces of the silicidation stopper 17 .
  • the cap insulating layer 4 on the polysilicon layer 18 is removed.
  • the cap insulating layer 4 is constituted by silicon nitride
  • the cap insulating layer 4 is removed by a phosphoric acid heated to approximately 160° C.
  • the cap insulating layer 4 is constituted by silicon dioxide
  • the cap insulating layer 4 is removed by a hydrofluoric acid.
  • impurities are implanted into the silicon layer 3 and the epitaxial layers 15 A by tilted ion implantation at a low angle (implantation angle ⁇ is, e.g., 0° ⁇ 10°) or vertical ion implantation (implantation angle ⁇ is 0°), and the impurities are activated by a thermal process, thereby forming the source/drain areas.
  • implantation angle ⁇ is, e.g., 0° ⁇ 10°
  • vertical ion implantation implantation angle ⁇ is 0°
  • a metal layer which covers the side surfaces of the epitaxial layers 15 A and the top face of the polysilicon layer 18 e.g., a metal layer consisting of nickel (Ni), cobalt (Co), titanium (Ti), palladium (Pd) or the like is formed, and a thermal process is performed.
  • the metal silicide layer 11 is formed on the side surfaces of the silicon layer (source/drain areas) 3 . Additionally, thereafter, the metal layers which have not been converted into the metal silicide layers 11 are removed.
  • the epitaxial layer 15 A functions as consumption silicon in silicidation, the silicon layer (source/drain areas) 3 with a sufficient width can be left in the area between the silicide layers 11 .
  • the epitaxial layer 15 A is formed so as to surround the silicidation stopper 17 . That is, the silicon layer 3 and the polysilicon layer 18 are coupled with each other through the epitaxial layer 15 A.
  • the silicide layer 11 is also formed on the upper portion and the side surfaces of the silicon layer (fin) 3 so as to surround the silicon layer (fin) 3 , and hence a sheet resistance of the silicide layer 11 can be reduced. Furthermore, achieving contact on the top face is enabled by this process.
  • the FinFET according to the examples of the present invention is superior in suppression of the short channel effect since the width of the channel area is smaller than the gate length. Moreover, increasing the width of the source/drain extension area and the width of the source/drain area can reduce a parasitic resistance. Since the width of the source/drain extension area is equal to or larger than the gate length, processing of the fin by the photolithography and RIE is enabled.
  • the source/drain extension area By making the width of the source/drain extension area smaller than the width of the source/drain area, the source/drain extension area which overlaps the end portion of the gate electrode can be formed shallow.
  • the width of the source/drain area is larger than the width of the source/drain extension area, the source/drain area has a high impurity concentration and can be formed at a position deeper than that of the source/drain extension area, thereby reducing the parasitic resistance.
  • a threshold voltage of the FinFET can be controlled by supplying a control signal to one of the gate electrodes positioned on the right and left sides of the fin, for example.
  • the effective gate (channel) width of the FinFET can be adjusted based on the number of the fins connected to each other in parallel rather than heights of the fins. In this case, since the heights of the fins can be made equal to each other, the surface of the insulating layer formed on the upper portions of the fins can be flattened, and subsequent processing of the members can be correctly performed by the photolithography.
  • the source/drain areas By forming the source/drain areas based on a combination of tilted ion implantation and vertical ion implantation, an area which is of an electroconductive type different from that of the source/drain area does not partially remain in the source/drain area.
  • the SOI substrate When forming the FinFET, using the SOI substrate can improve insulation properties between transistors. Moreover, since a plurality of FinFETs (fins) are electrically completely separated from each other by the buried insulating layer, punchthrough between the source and the drain can be suppressed. On the other hand, when the silicon substrate is used, since a plurality of the FinFETs are not separated from each other by an insulating layer with a low thermal conductivity, a degradation in a drive current due to a self-heating effect can be suppressed.
  • the cap insulating layer (mask material) formed on the upper portion of the fin functions as a stopper in flattening processing based on, e.g., CMP. A thickness by which a process margin can be maintained is required in this cap insulating layer.
  • the gate electrode is also formed on the upper portion of the fin, the punchthrough between the source and the drain can be suppressed by forming sufficiently thick cap insulating layer arranged between the top face of the fin and the gate electrode.
  • the parasitic resistance of the source/drain areas is reduced in such a manner all or almost all of the source/drain areas are not converted into the metal silicide layers. Since the heights of all the fins formed on the insulating layer can be set equal to each other, the photolithography and RIE can be facilitated in formation of the fins, formation of the gate electrode and any other processing steps of the members.
  • the process can be simplified by continuously or gradually changing the width of the fin in the channel area, the width of the fin in the source/drain extension area and the width of the fin in the source/drain area, and forming the gate insulating layer and the gate electrode on such a fin.
  • the parasitic resistance can be reduced by forming the metal silicide layers on the gate electrode and the surface of the source/drain area.
  • processes such as a reduction in the width of the fin in the channel area, formation of the gate insulating layer, formation of the gate electrode and others can be performed in the self-alignment manner.
  • the gate electrode of the FinFET is formed of a metal, the gate depletion does not occur, thereby improving a drive current.
  • a fin type MISFET formed on the silicon substrate or the SOI substrate by preventing all of the fin silicon in the source/drain area from being silicided, an increase in the sheet resistance of the diffusion layer immediately below the silicide layer can be suppressed, thus reducing the parasitic resistance.
  • all of the fin silicon in the source/drain area is prevented from being silicided by forming the epitaxial layer by the selective growth and increasing the thickness of the fin, since this epitaxial layer functions as consumption silicon in silicidation, restrictions in the thickness of the fin or the thickness of the silicide layer can be eased.
  • the silicidation stopper consisting of, e.g., silicon dioxide on the upper part of the fin silicon
  • the source/drain area with a sufficient thickness can be left between the silicide layers.
  • the polysilicon layer is also formed on the silicidation stopper, the silicide layer surrounds the fin silicon, thereby further reducing the parasitic resistance.
  • the semiconductor device and its manufacturing method according to the examples of the present invention are useful for a semiconductor integrated circuit having a three-dimensional element (MISFET) such as a FinFET in particular.
  • MISFET three-dimensional element
  • the present invention it is possible to provide a structure and a manufacturing method of a three-dimensional element such as a FinFET in which the width Wch of the channel area is smaller than the gate length Lg, the width Wext of the fin in the source/drain extension area is equal to or larger than the width Wch of the channel area, and the width Wsd of the fin in the source/drain area in the direction y is larger than the width Wch of the channel area and the width Wext of the fin in the source/drain extension area in the direction y.
  • a three-dimensional element such as a FinFET in which the width Wch of the channel area is smaller than the gate length Lg, the width Wext of the fin in the source/drain extension area is equal to or larger than the width Wch of the channel area, and the width Wsd of the fin in the source/drain area in the direction y is larger than the width Wch of the channel area and the width Wext of the fin in the source/drain extension area in the direction y
  • the effective channel width can be controlled based on the number of the fins rather than heights of the fins. Moreover, even if such a structure is adopted, it is possible to provide a structure and a manufacturing method by which an area which is of an electroconductive type different from an electro-conductive type of the source/drain area does not partially remain in the source/drain area.
  • the sheet resistance of the diffusion layer immediately below silicide can be prevented from being increased, thereby suppressing an increase in the parasitic resistance.

Abstract

A semiconductor layer in which a primary part of a FinFET is formed, i.e., a fin has a shape which is long in a direction x and short in a direction y. A width of the fin in the direction y changes on three stages. First, in a channel area between gate electrodes each having a gate length Lg, the width of the fin in the direction y is Wch. Further, the width of the fin in the direction y in a source/drain extension area adjacent to the channel area in the direction x is Wext (>Wch). Furthermore, the width of the fin in the direction y in a source/drain area adjacent to the source/drain extension area in the direction x is Wsd (>Wext).

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is based upon and claims the benefit of priority from prior Japanese Patent Application No. 2003-317259, filed Sep. 9, 2003, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a semiconductor device having an MIS type field effect transistor and a manufacturing method thereof.
  • 2. Description of the Related Art
  • In recent years, as demands for a realization of a semiconductor integrated circuit with low power consumption and high operating speed or the like become rigid, a realization of a low power supply voltage and a fine element is desired. For this reason, in regard to a transistor element, attention is paid to a three-dimensional element in place of a conventional planar type element.
  • As the three-dimensional element, there has been known, e.g., an MOS transistor utilizing a fin-shaped semiconductor layer, i.e., a FinFET. The FinFET is superior in suppression of a short channel effect, a low subthreshold slope, high mobility and others as compared with other types of transistors.
  • FIGS. 1 and 2 show a structural example of the FinFET.
  • An insulating layer 2 is formed on a silicon substrate 1, and a fin-shaped silicon layer 3 is formed on the insulating layer 2. A so-called SOI substrate is constituted by the silicon substrate 1, the insulating layer 2 and the silicon layer 3.
  • A cap insulating layer 4 which is used as a mask when processing the silicon layer 3 is formed on the silicon layer 3. A gate electrode 6 is formed on each of two side surfaces of the silicon layer 3 in a direction y through a gate insulating layer 5. In this example, the gate electrode 6 on one side of the silicon layer 3 is separated from that on the other side of the same, but the both gate electrodes 6 may be electrically connected.
  • An area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 7. Further, in the silicon layer 3, source/drain areas 8 are formed on both sides of the channel area 7. A direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1, i.e., a direction x.
  • In case of operating such a FinFET as a fully depleted type element, in order to suppress a short channel effect, a fin in the channel area 7, i.e., a width Wch of the silicon layer 3 in the direction y (width of the channel area) must be set smaller than a gate length Lg. In each generation of LSI, however, since a dimension which can be processed into a minimum level by the lithography technology is usually coordinated with the gate length, it is very hard to form the channel area 7 having a width narrower than the gate length.
  • Furthermore, in the FinFET shown in FIGS. 1 and 2, the width Wch of the channel area 7 is equal to the width of the fin, i.e., the silicon layer 3 in the source/drain area 8 in the direction y. In this case, when the width Wch of the channel area 7 is reduced in order to suppress the short channel effect, the width of the fin in the source/drain area 8 in the direction y is also decreased. As a result, a parasitic resistance of the source/drain area 8 is increased, thereby lowering a drive current.
  • Moreover, an effective gate width (effective channel width) of the FinFET is twofold of a height h of the fin, i.e., the silicon layer 3. In order to increase the effective gate width, connecting a plurality of fins in parallel can suffice. On the other hand, in case of the FinFET, impurities must also be implanted into the side surfaces of the silicon layer 3 in order to form a source/drain area. Therefore, the source/drain area is usually formed by a tilted ion implantation method.
  • When a plurality of fins are connected to each other in parallel, however, a size of a part connecting a plurality of the fins is large. As a result, in the tilted ion implantation method, impurities based on the ion implantation do not spread in the entire source/drain formation planned area in each fin, and there is a problem that an area which is of an electroconductive type opposite to that of the source/drain area partially remains in the source/drain formation planned area.
  • In case of a planar (flat) transistor, as shown in FIG. 3, parasitic resistances consist of a silicide interface resistance Rc, a silicide sheet resistance Rs, a diffusion layer sheet resistance Rd immediately below the silicide and others, and these resistance-components must be reduced in order to realize a high-speed operation.
  • As shown in FIG. 4, however, in an SOI structure, when a major part of the source/drain area is silicided, the diffusion layer sheet resistance Rd immediately below silicide is increased, and the parasitic resistance becomes large. Additionally, when all of the source/drain area is silicided, the parasitic resistance becomes extremely large. Thus, it is important to sufficiently assure a depth Xd of the diffusion layer immediately below silicide so as to prevent the diffusion layer sheet resistance Rd immediately below silicide from being increased.
  • In recent years, in order to realize high performances and high density of an element, fruition of fine transistors has been advanced, and a control over a current between the source and the drain by a gate electrode is becoming difficult (short channel effect).
  • Thus, nowadays, for example, an MOS transistor utilizing a fin-shaped semiconductor layer, i.e., a FinFET has been studied. The FinFET is superior in suppression of the short channel effect, a low subthreshold slope, high mobility and others as compared with other types of transistors.
  • FIG. 5 shows a structural example of the FinFET.
  • An insulating layer 2 is formed on a silicon substrate 1, and a fin-shaped silicon layer 3 is formed on the insulating layer 2. A so-called SOI substrate is constituted by the silicon substrate 1, the insulating layer 2 and the silicon layer 3.
  • A cap insulating layer 4 used as a mask when processing the silicon layer 3 is formed on the silicon layer 3. A gate electrode 6 is formed on two side surface of the silicon layer 3 in a direction y through gate insulating layers 5. In this example, the gate electrode on one side of the silicon layer 3 is electrically connected to the gate electrode 3 on the other side of the same so as to cut across the silicon layer 3, but the both gate electrodes 6 may be electrically separated from each other.
  • Sidewall insulating layers (sidewalls) 9 are formed on side surface of the gate electrode 6 in a direction x. A cap insulating layer 10 used as a mask when processing the gate electrode 6 is formed on the gate electrode 6.
  • Here, as shown in FIG. 6, an area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 7. Further, in the silicon layer 3, source/drain areas 8 and source/drain extension areas 8 a are formed on both sides of the channel area 7. A direction of a current flowing through the channel area 7 is a direction parallel to a surface of the silicon substrate 1, i.e., the direction x.
  • Usually, in the FinFET shown in FIG. 5, there is adopted a silicide process which forms a silicide layer on the source/drain areas formed in the silicon layer 3. FIG. 6 shows the FinFET which has been subjected to the silicide process. Silicide layers 11 are formed in the silicon layer 3 (source/drain areas 8). In this example, there occur a problem that a major part of the source/drain areas 8 excluding areas immediately below the sidewall insulating layers 9 is silicided and a parasitic resistance is increased.
  • That is, the diffusion layer sheet resistance Rd immediately below silicide is increased, and the parasitic resistance becomes considerably high. Such a problem is also true in, e.g., transistors adopting an SOI structure and a double gate structure such as shown in FIG. 7.
  • Therefore, in the FinFET formed on the SOI substrate, it is necessary to propose a structure by which all of the channel portion is not silicided, reduce the diffusion layer sheet resistance Rd immediately below silicide and decrease the parasitic resistance.
  • As described above, in the prior art, it is hard to make a width of the fin (width of the channel area) in the channel area smaller than the gate length. Assuming the width of the channel area is smaller than the gate length, since this width is equal to the width of the fin in the source/drain area, there occur problems such as an increase in the parasitic resistance, a reduction in the drive current and others.
  • In order to control an effective channel width, when a structure that a plurality of fins are connected to each other in parallel is adopted, an area which is of an electroconductive type different from an electro-conductive type of the source/drain area partially remains in the source/drain area.
  • Furthermore, when a silicide layer is provided on the source/drain area, a major part of the source/drain area is silicided since a width of the fin is small, and the diffusion layer sheet resistance immediately below silicide thereby becomes large.
  • Therefore, the following problems must be examined.
  • [1] Proposing a structure and a manufacturing method of a three-dimensional element such as a FinFET that {circle around (1)} a width of a channel area is smaller than a gate length, {circle around (2)} a width of a fin in a source/drain extension area is equal to or larger than the width of the channel area, and {circle around (3)} the width of the fin in the source/drain area is larger than the width of the channel area or the width of the fin in the source/drain extension area.
  • [2] Proposing a structure and a manufacturing method of a three-dimensional element such as a FinFET in which an effective channel width is controlled by the number of fins connected to each other in parallel rather than heights of the fins, and proposing a structure and a manufacturing method by which an area which is of an electroconductive type different from an electroconductive type of the source/drain area is prevented from partially remaining in the source/drain area.
  • [3] In regard to a silicide layer provided on the source/drain area in the fin, preventing a major part of the source/drain area from being silicided, reducing the diffusion layer sheet resistance immediately below silicide, thereby suppressing an increase in the parasitic resistance.
  • BRIEF SUMMARY OF THE INVENTION
  • According to an example of the present invention, there is provided a semiconductor device comprising: a semiconductor substrate; a fin-formed semiconductor layer which is formed on the semiconductor substrate, is long in a first direction and is short in a second direction crossing the first direction; a gate insulating layer formed on side surfaces of the semiconductor layer in the second direction; a gate electrode arranged so as to be adjacent to the gate insulating layer; a channel area formed at a position adjacent to the gate insulating layer in the semiconductor layer; a source/drain extension area formed at a position adjacent to the channel area in the semiconductor layer in the first direction; and a source/drain area formed at a position adjacent to the source/drain extension area in the semiconductor layer in the first direction, wherein a width of the semiconductor layer in the second direction in the channel area is smaller than a width of the semiconductor layer in the second direction in the source/drain area.
  • According to an example of the present invention, there is provided a semiconductor device comprising: a semiconductor substrate; a plurality of fin-formed first semiconductor layers which are formed on the semiconductor substrate, long in a first direction, short in a second direction crossing the first direction and aligned in the second direction; a second semiconductor layer which connects a plurality of the first semiconductor layers at end portions of a plurality of the first semiconductor layers in the first direction; a gate insulating layer formed on side surfaces of each of a plurality of the first semiconductor layers in the second direction; a gate electrode arranged so as to be adjacent to the gate insulating layer; a channel area formed at a position adjacent to the gate insulating layer in a plurality of the first semiconductor layers; a source/drain extension area formed at a position adjacent to the channel area in the first direction in a plurality of the first semi-conductor layers; and a source/drain area formed at a position adjacent to the source/drain extension area in the first direction in the second semiconductor layer.
  • According to an example of the present invention, there is provided a semiconductor device comprising: a semiconductor substrate; a fin-formed semiconductor layer which is formed on the semiconductor substrate, is long in a first direction and is short in a second direction crossing the first direction; a gate insulating layer formed on side surfaces of the semiconductor layer in the second direction; a gate electrode arranged so as to be adjacent to the gate insulating layer; a channel area formed at a position adjacent to the gate insulating layer in the semiconductor layer; a source/drain extension area formed at a position adjacent to the channel area in the first direction in the semiconductor layer; a source/drain area formed at a position adjacent to the source/drain extension area in the first direction in the semiconductor layer; and a silicide layer which is formed to a surface portion of the semiconductor layer in the source/drain area but not formed in the entire inner portion of the same.
  • According to an example of the present invention, there is provided a manufacturing method of a semiconductor device, comprising: a step of forming on a semiconductor substrate a fin-formed semiconductor layer which is long in a first direction and short in a second direction crossing the first direction; a step of forming a dummy gate insulating layer on side surfaces of the semiconductor layer in the second direction; a step of forming a dummy gate electrode adjacent to the dummy gate insulating layer; a step of forming a source/drain extension area and a source/drain area in the semiconductor layer; a step of forming an insulating layer covering the semiconductor layer; a step of polishing or etching the insulating layer and thereby exposing surfaces of the dummy gate insulating layer and the dummy gate electrode; a step of removing the dummy gate insulating layer and the dummy gate electrode; a step of oxidizing the semiconductor layer at a part where the dummy gate insulating layer had been formed and forming an oxide layer; a step of removing the oxide layer; a step of forming a gate insulating layer at a part where the dummy gate insulating layer had been formed; and a step of forming a gate electrode adjacent to the gate insulating layer.
  • According to an example of the present invention, there is provided a manufacturing method of a semiconductor device, comprising: a step forming on a semiconductor substrate a plurality of fin-formed first semiconductor layers which are long in a first direction and short in a second direction crossing the first direction, and a second semiconductor layer which connects end portions of a plurality of the first semiconductor layers in the first direction; a step of forming a dummy gate insulating layer on side surfaces of a plurality of the first semiconductor layers in the second direction; a step of forming a dummy gate electrode adjacent to the dummy gate insulating layer; a step of forming a source/drain extension area in a plurality of the first semiconductor layers; a step of forming a source/drain area in the second semiconductor layer; a step of forming an insulating layer covering the first and second semiconductor layers; a step of polishing or etching the insulating layer and thereby exposing surfaces of the dummy gate insulating layer and the dummy gate electrode; a step of removing the dummy gate insulating layer and the dummy gate electrode; a step of oxidizing the first semiconductor layers at a part where the dummy gate insulating layer had been formed and forming an oxide layer there; a step of removing the oxide layer; a step of forming a gate insulating layer at a part where the dummy gate insulating layer had been formed; and a step of forming a gate electrode adjacent to the gate insulating layer.
  • According to an example of the present invention, there is provided a manufacturing method of a semiconductor device, comprising: a step of forming a cap insulating layer on a semiconductor layer on a first insulating layer; a step of etching the semiconductor layer by using the cap insulating layer as a mask, and forming the semiconductor layer into a fin shape which is long in a first direction and short in a second direction crossing the first direction; a step of forming a second insulating layer covering the semiconductor layer; a step of polishing or etching the second insulating layer and thereby exposing a surface of the cap insulating layer; a step of etching the cap insulating layer by isotropic etching and thereby reducing a size of the cap insulating layer; a step of forming a first resist having a slit whose width is smaller than a width of the semiconductor layer in the first direction; a step of etching the semiconductor layer by using the cap insulating layer and the first resist as masks, and making a width of the semiconductor layer at a central portion in the second direction smaller than a width in the second direction of the semiconductor layer at an end portion in the first direction; a step of forming a source/drain extension area at the central portion of the semiconductor layer; and a step of forming a source/drain area at the end portion of the semiconductor layer in the first direction.
  • According to an example of the present invention, there is provided a manufacturing method of a semiconductor device, comprising: a step of forming on a semiconductor substrate a fin-shaped semiconductor layer which is long in a first direction and short in a second direction crossing the first direction; a step of forming a gate insulating layer on side surfaces of the semiconductor layer in the second direction; a step of forming a gate electrode adjacent to the gate insulating layer; a step of forming a source/drain extension area in the semiconductor layer by tilted ion implantation; a step of forming a sidewall insulating layer on sidewalls of the gate electrode; a step of forming a source/drain area by a combination of tilted ion implantation and vertical ion implantation or by vertical ion implantation; and a step of forming a silicide layer on the gate electrode and a surface of the source/drain area, wherein conditions of silicidation are set so as not to form the silicide layer in the entire inner portion of the semiconductor layer in the source/drain area.
  • According to an example of the present invention, there is provided a manufacturing method of a semiconductor device, comprising: a step of forming on a semiconductor substrate a fin-shaped first semiconductor layer which is long in a first direction and short in a second direction crossing the first direction, a silicidation stopper on the first semiconductor layer, and a second semiconductor layer on the silicidation stopper; a step of forming a gate insulating layer on side surface of the first semiconductor layer in the second direction; a step of forming a gate electrode adjacent to the gate insulating layer; a step of forming a source/drain extension area in the first semiconductor layer; a step of forming a sidewall insulating layer on sidewalls of the gate electrode; a step of growing an epitaxial layer on surfaces of the first and second semiconductor layers by a selected growth, and coupling the epitaxial layer from the first layer with the epitaxial layer from the second semiconductor layer; a step of forming a source/drain area in the first semiconductor layer; and a step of forming a silicide layer on the gate electrode, the second semiconductor layer and the epitaxial layer, wherein the silicidation stopper functions as a stopper in silicidation so as to prevent silicidation from advancing from a top portion of the first semiconductor layer in silicidation.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • FIG. 1 is a view showing an example of a conventional FinFET;
  • FIG. 2 is a cross-sectional view taken along the line II-II in FIG. 1;
  • FIG. 3 is a view showing an example of a conventional transistor;
  • FIG. 4 is a view showing the example of the conventional transistor;
  • FIG. 5 is a view showing an example of a conventional FinFET;
  • FIG. 6 is a view showing the example of the conventional FinFET;
  • FIG. 7 is a view showing an example of a conventional transistor;
  • FIG. 8 is a view showing an outline of an example of the present invention;
  • FIG. 9 is a view showing an outline of an example of the present invention;
  • FIG. 10 is a view showing an outline of an example of the present invention;
  • FIG. 11 is a view showing an outline of an example of the present invention;
  • FIG. 12 is a view showing an outline of an example of the present invention;
  • FIG. 13 is a view showing an outline of an example of the present invention;
  • FIG. 14 is a view showing an outline of an example of the present invention;
  • FIG. 15 is a view showing a FinFET according to a first embodiment of the present invention;
  • FIG. 16 is a cross-sectional view taken along the line XVI-XVI in FIG. 15;
  • FIG. 17 is a view showing a first example of a manufacturing method according to the first embodiment;
  • FIG. 18 is a cross-sectional view taken along the line XVIII-XVIII in FIG. 17;
  • FIG. 19 is a view showing the first example of the manufacturing method of the first embodiment;
  • FIG. 20 is a cross-sectional view taken along the line XX-XX in FIG. 19;
  • FIG. 21 is a view showing the first example of the manufacturing method according to the first embodiment;
  • FIG. 22 is a cross-sectional view taken along the line XXII-XXII in FIG. 21;
  • FIG. 23 is a view showing the first example of the manufacturing method according to the first embodiment;
  • FIG. 24 is a cross-sectional view taken along the line XXIV-XXIV in FIG. 23;
  • FIG. 25 is a view showing the first example of the manufacturing method according to the first embodiment;
  • FIG. 26 is a view showing the first example of the manufacturing method according to the first embodiment;
  • FIG. 27 is a view showing the first example of the manufacturing method according to the first embodiment;
  • FIG. 28 is a cross-sectional view taken along the line XXVIII-XXVIII in FIG. 27;
  • FIG. 29 is a view showing the first example of the manufacturing method according to the first embodiment;
  • FIG. 30 is a cross-sectional view taken along the line XXX-XXX in FIG. 29;
  • FIG. 31 is a view showing a second example of the manufacturing method according to the first embodiment;
  • FIG. 32 is a cross-sectional view taken along the line XXXII-XXXII in FIG. 31;
  • FIG. 33 is a view showing the second example of the manufacturing method according to the first embodiment;
  • FIG. 34 is a cross-sectional view taken along the line XXXIV-XXXIV in FIG. 33;
  • FIG. 35 is a view showing the second example of the manufacturing method according to the first embodiment;
  • FIG. 36 is a cross-sectional view taken along the line XXXVI-XXXVI in FIG. 35;
  • FIG. 37 is a view showing the second example of the manufacturing method according to the first embodiment;
  • FIG. 38 is a cross-sectional view taken along the line XXXVIII-XXXVIII in FIG. 37;
  • FIG. 39 is a view showing the second example of the manufacturing method according to the first embodiment;
  • FIG. 40 is a cross-sectional view taken along the line XL-XL in FIG. 39;
  • FIG. 41 is a view showing the second example of the manufacturing method according to the first embodiment;
  • FIG. 42 is a cross-sectional view taken along the line XLII-XLII in FIG. 41;
  • FIG. 43 is a view showing a FinFET according to a second embodiment of the present invention;
  • FIG. 44 is a cross-sectional view taken along the line XLIV-XLIV in FIG. 43;
  • FIG. 45 is a view showing an example of the manufacturing method according to the second embodiment;
  • FIG. 46 is a view showing the example of the manufacturing method according to the second embodiment;
  • FIG. 47 is a view showing the example of the manufacturing method according to the second embodiment;
  • FIG. 48 is a view showing the example of the manufacturing method according to the second embodiment;
  • FIG. 49 is a view showing the example of the manufacturing method according to the second embodiment;
  • FIG. 50 is a view showing a structural example 1 of a FinFET according to a third embodiment of the present invention;
  • FIG. 51 is a cross-sectional view taken along the line LI-LI in FIG. 50;
  • FIG. 52 is a view showing a structural example 2 of the FinFET according to the third embodiment of the present invention;
  • FIG. 53 is a cross-sectional view taken along the line LIII-LIII in FIG. 52;
  • FIG. 54 is a view showing a structural example 3 of the FinFET according to the third embodiment of the present invention;
  • FIG. 55 is a cross-sectional view taken along the line LV-LV in FIG. 54;
  • FIG. 56 is a view showing a first example of the manufacturing method according to the third embodiment;
  • FIG. 57 is a view showing the first example of the manufacturing method according to the third embodiment;
  • FIG. 58 is a cross-sectional view taken along the line LVIII-LVIII in FIG. 57;
  • FIG. 59 is a view showing the first example of the manufacturing method according to the third embodiment;
  • FIG. 60 is a cross-sectional view taken along the line LX-LX in FIG. 59;
  • FIG. 61 is a view showing the first example of the manufacturing method according to the third embodiment;
  • FIG. 62 is a cross-sectional view taken along the line LXII-LXII in FIG. 61;
  • FIG. 63 is a view showing the first example of the manufacturing method according to the third embodiment;
  • FIG. 64 is a cross-sectional view taken along the line LVIV-LVIV in FIG. 63;
  • FIG. 65 is a view showing a second example of the manufacturing method according to the third embodiment;
  • FIG. 66 is a cross-sectional view taken along the line LXVI-LXVI in FIG. 65;
  • FIG. 67 is a view showing the second example of the manufacturing method according to the third embodiment;
  • FIG. 68 is a cross-sectional view taken along the line LXVIII-LXVIII in FIG. 67;
  • FIG. 69 is a view showing the second example of the manufacturing method according to the third embodiment;
  • FIG. 70 is a cross-sectional view taken along the line LXX-LXX in FIG. 69;
  • FIG. 71 is a view showing the second example of the manufacturing method according to the third embodiment;
  • FIG. 72 is a cross-sectional view taken along the line LXXII-LXXII in FIG. 71;
  • FIG. 73 is a view showing a third example of the manufacturing method according to the third embodiment;
  • FIG. 74 is a view showing the third example of the manufacturing method according to the third embodiment;
  • FIG. 75 is a view showing the third example of the manufacturing method according to the third embodiment;
  • FIG. 76 is a view showing the third example of the manufacturing method according to the third embodiment;
  • FIG. 77 is a view showing the third example of the manufacturing method according to the third embodiment;
  • FIG. 78 is a view showing the third example of the manufacturing method according to the third embodiment; and
  • FIG. 79 is a view showing the third example of the manufacturing method according to the third embodiment.
  • DETAILED DESCRIPTION OF THE INVENTION
  • A best mode for embodying the present invention will now be described in detail hereinafter with reference to the accompanying drawings.
  • 1. Outline
  • Examples of the present invention are roughly constituted by the following three.
  • [1] First, in regard to a three-dimensional transistor element such as a FinFET, the following structure is adopted in order to realize suppression of a short channel effect, an increase in drive current due to a reduction in parasitic resistance, and others.
  • That is, as shown in FIG. 8, a width of a fin in a channel area in a direction y, i.e., a width Wch of the channel area is set smaller than a gate length Lg, thereby suppressing the short channel effect. Further, a width Wsd of the fin in a source/drain area is set larger than a width Wch of the channel area or a width Wext of the fin in a source/drain extension area, and suppression of the short channel effect is thereby realized simultaneously with an increase in drive current due to a reduction in a parasitic resistance (Wch≦Wext<Wsd).
  • The width Wext of the fin in the source/drain extension area may be equal to the width Wch of the channel area (Wch=Wext) as shown in FIG. 9, or it may be larger than the same (Wch<Wext) as shown in FIG. 8.
  • Furthermore, when the relationship of Wch<Wext is provided, the width Wsd of the fin in the source/drain area may be equal to the width Wext of the fin in the source/drain extension area (Wext=Wsd) as shown in FIG. 10, or it may be larger than the same (Wext<Wsd) as shown in FIG. 8.
  • [2] Then, as shown in FIG. 11, an effective channel width of the three-dimensional element such as a FinFET is controlled by the number of fins connected to each other in parallel in place of heights of the fins.
  • Usually, a plurality of transistors having different effective channel widths are formed in an LSI. In this case, when the effective channel width of each transistor is adjusted by only the heights of the fins, the fins on a silicon substrate have different heights, and processing of the fins becomes complicated. Moreover, flattening the top face of the fin is difficult, and it is hard to correctly perform subsequent processing steps including photolithography.
  • Thus, as to the three-dimensional element such as a FinFET, there are proposed a structure and a manufacturing method by which its effective channel width is 2×h×n. However, it is assumed that one transistor is constituted by n (n is a natural number) fins connected to each other in parallel and all n fins have the same height h.
  • Additionally, in regard to a three-dimensional transistor element such as a FinFET having a structure that such n fins are connected to each other in parallel, an implantation angle θ of tilted ion implantation for forming the source/drain area is determined as an angle with respect to a plane vertical to a surface of the semiconductor substrate, e.g., a range of 0°≦θ≦45° or preferably 10°≦θ≦30°, and an area which is of an electroconductive type different from an electroconductive type of the source/drain area is prevented from partially remaining in the source/drain area.
  • It is hard to form the source/drain area (indicated by hatching) 8 in the entire fin by only the conventional tilted ion implantation as shown in FIG. 12, whereas the source/drain area can be readily formed in the entire fin according to the above-described method.
  • Incidentally, as to ion implantation for forming, e.g., the source/drain area, tilted ion implantation (implantation angle θ falls within a range of 0°<θ≦90°) may be combined with a vertical ion implantation (implantation angle is 0°) as shown in FIGS. 13 and 14 besides the above method.
  • The ion implantation method and the implantation angle for forming the source/drain extension area do not have to be the same as the ion implantation method and the implantation angle for forming the source/drain area. They may be the same or different from each other.
  • The source/drain extension area may be formed by the tilted ion implantation method, and the source/drain area may be formed by the vertical ion implantation method or a combination of the vertical ion implantation method and the tilted ion implantation method.
  • Before ion implantation, for example, germanium (Ge), silicon (Si) or the like is ion-implanted into a source/drain formation planned area, and the source/drain formation planned area is amorphousized. Additionally, after ion implantation for forming the source/drain area, low-temperature annealing at approximately 600° C. is carried out, thereby suppressing impurity diffusion to a minimum level.
  • [3] Then, for example, in regard to a three-dimensional transistor element such as a FinFET having a silicide layer on the source/drain area in the fin, the following structure and manufacturing method are adopted in order to prevent a large part or all of the source/drain area from being silicided.
  • First, conditions of a silicide process are determined so as to leave a silicon layer with a sufficient thickness immediately below a silicide layer. For example, a width of a fin-shaped silicon layer (shorter width), a thickness of a high-melting point metal layer deposited on the silicide layer, a time of silicidation and other are controlled.
  • Second, an elevated source/drain process is adopted, and the silicon layer is selectively grown on the fin-shaped silicon layer. As a result, in the source/drain formation planned area, a width of the silicon layer is substantially increased, and the increased silicon layer functions as consumption silicon in silicidation. Therefore, the silicon layer with a sufficient thickness can be left immediately below the silicide layer.
  • Third, a silicidation stopper (e.g., silicon dioxide) used to avoid excessive silicidation of the silicon layer is provided in order to prevent a large part or all of the source/drain area from being silicided. In silicidation, since this stopper suppresses silicidation of the silicon layer, the silicon layer with a sufficient thickness can be left immediately below the silicon layer.
  • Adopting such a structure or a manufacturing method can suppress an increase in a diffusion layer sheet resistance immediately below silicide, thereby contributing to a reduction in a parasitic resistance.
  • 2. First Embodiment
  • The first embodiment concerns a structure and a manufacturing method of a FinFET which realize suppression of the short channel effect, an increase in a drive current due to a reduction in a parasitic resistance, and others.
  • (1) Structure
  • FIGS. 15 and 16 show a structure of the FinFET according to the first embodiment of the present invention. FIG. 16 is a cross-sectional view taken along the line XVI-XVI in FIG. 15.
  • An insulating layer 2 is formed on a silicon substrate 1, and a fin-shaped silicon layer 3 is formed on the insulating layer 2. A so-called SOI substrate is constituted by the silicon substrate 1, the insulating layer 2 and the silicon layer (fin) 3.
  • A cap insulating layer 4 used as a mask when processing the silicon layer 3 is formed on the insulating layer 2. A gate electrode 6 is formed on each of two side surfaces of the silicon layer 3 in a direction y through a gate insulating layer 5. Although the gate electrode 6 on one side of the silicon layer 3 is separated from that on the other side of the same in this example, they may be electrically connected to each other so as to cut across the silicon layer 3.
  • A sidewall insulating layer (sidewall) 9 is formed on each side surface of the gate electrode 6 in a direction x. An area in the silicon layer 3 sandwiched by the gate electrode 6 is a channel area 7. Further, in the silicon layer 3, source/drain areas 8 and source/drain extension areas 8 a are formed on both sides of the channel area 7. A direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1, i.e., a direction x.
  • Silicide layers 11 are formed on the source/drain areas 8. In this example, the silicide layers 11 are formed on the source/drain areas 8 exposed at an opening portion of an insulating layer 12, i.e., three side surfaces and a top surface of the silicon layer 3.
  • In such a FinFET, a twofold of a height h of the silicon layer 3 corresponds to an effective gate width (effective channel width). Furthermore, a width (shorter one) of the silicon layer 3 is not fixed, but is gradually increased from a central portion to an end portion on three stages.
  • That is, a width Wext of the silicon layer 3 in the source/drain extension area 8 a is larger than a width of the silicon layer 3 in the channel area, i.e., a width Wch of the channel area. Moreover, a width Wsd of the silicon layer 3 in the source/drain area 8 is larger than the width Wext of the silicon layer 3 in the source/drain extension area 8 a.
  • Therefore, by decreasing the width Wch of the channel area and increasing the width Wsd of the silicon layer 3 in the source/drain area 8, an impurity concentration of the source/drain area 8 can be made sufficiently high and deep, thereby greatly reducing the parasitic resistance.
  • Additionally, when a gate length of the gate electrode 6 is determined as Lg, the width Wch of the channel area can be set smaller than the gate length Lg, thereby effectively suppressing the short channel effect.
  • Although will be described later, the width Wch of the channel area is set smaller than the gate length Lg by a special method in this example. Therefore, even if a dimension which can be processed into a minimum level by the lithography technique is coordinated with the gate length Lg, the silicon layer 3 can be formed with a width equal to or larger than that dimension.
  • (2) Manufacturing Method
  • An example of a manufacturing method for realizing the structure shown in FIGS. 15 and 16 will now be described.
  • {circle around (1)} EXAMPLE 1
  • First, as shown in FIGS. 17 and 18, for example, a silicon substrate 1 is prepared, and a buried insulating layer (e.g., silicon dioxide) 2 is formed in this silicon substrate 1. In the drawing, although a silicon layer (fin) 3 is formed on the buried insulating layer 2, this silicon layer 3 is originally a part of the silicon substrate 1.
  • A cap insulating layer 4 as a mask material is formed on the silicon substrate 1 (silicon layer 3 in the drawing) by, e.g., LPCVD. Then, the cap insulating layer 4 is patterned by the photolithography and RIE, and the silicon substrate 1 (silicon layer 3 in the drawing) is etched with this cap insulating layer 4 being used as a mask by RIE. As a result, the silicon layer 3 is formed on the buried insulating layer 2.
  • It is to be noted that a substrate having the insulating layer embedded in the silicon substrate from the beginning, e.g., an SIMOX substrate may be used as the SOI substrate.
  • The cap insulating layer 4 is constituted by a stacked structure of, e.g., silicon dioxide and silicon nitride. Further, the silicon layer 3 is formed in such a manner that a width W1 at a part which will be a source/drain area later becomes larger than a width W2 at a part which will be a channel area later in order to reduce a parasitic resistance.
  • In this example, although the fin (silicon layer 3) is formed on the assumption of the SOI substrate, the SOI structure may be alternatively realized by forming the fin on a regular silicon substrate by the photolithography and RIE and then embedding the insulating layer, for example.
  • Subsequently, as shown in FIGS. 19 and 20, a dummy gate insulating layer 5A is formed on each side surface of the silicon layer 3 by, e.g., LPCVD, and then a polysilicon layer (dummy gate electrode 6A in the drawing) is formed on each dummy gate insulating layer 5A. Furthermore, a top face of the polysilicon layer is made substantially the same as a top face of the cap insulating layer 4 (flattening) by using the cap insulating layer 4 as a stopper, for example.
  • Thereafter, the polysilicon layer is processed by the photolithography and RIE, and the dummy gate electrode 6A having such a gate length Lg as shown in the drawing is formed.
  • Then, as shown in FIGS. 21 and 22, impurities are implanted to a surface area of the silicon layer 3 by tilted ion implantation (implantation angle θ is, e.g., 10°≦θ≦30°), thereby forming source/drain extension areas 8 a. Thereafter, silicon nitride which completely covers the silicon layer 3 is formed on the buried insulating layer 2 by, e.g., LPCVD. Then, this silicon nitride is etched by RIE, and sidewall insulating layers (sidewalls) 9 are formed.
  • Although the sidewall insulating layer 9 is formed on each of sidewalls of the dummy gate electrode 6A and sidewalls of the silicon layer 3, various conditions may be set in such a manner that it is formed on only the sidewalls of the dummy gate electrode 6A, for example.
  • Thereafter, impurities are again implanted into the silicon layer 3 by tilted ion implantation (low angle) or vertical ion implantation (implantation angle θ is 0°), thereby forming source/drain areas 8.
  • Here, before the ion implantation for forming the source/drain areas 8, e.g., germanium (Ge) or silicon (Si) may be ion-implanted into the source/drain formation planned areas so that the source/drain formation planned areas are amorphousized. In this case, after the ion implantation for forming the source/drain areas 8, performing low-temperature annealing (SPE (Solid Phase Epitaxial regrowth)) at approximately 600° C. can suppress the impurity diffusion to the minimum level.
  • Moreover, after the sidewall insulating layers 9, the epitaxial growth may be carried out in order to form an epitaxial layer on the surface of the silicon layer 3.
  • Then, as shown in FIGS. 23 and 24, insulating layers (e.g., silicon dioxide) 12 which completely cover the silicon layer 3 are formed on the buried insulating layer 2. Additionally, the insulating layers 12 are polished by CMP with the cap insulating layer 4 being used as a stopper, and top faces of the insulating layers 12 are made substantially the same as the top face of the cap insulating layer 4 (flattening), for example.
  • As a result, the top face of each dummy gate electrode 6A (see FIGS. 21 and 22) is exposed. Thereafter, when the dummy gate electrodes 6A and the dummy gate insulating layers 5A are removed, slit-shaped holes are formed at those parts, and the surface of the silicon layer 3 is partially exposed. Then, when the exposed silicon layer 3 is oxidized by thermal oxidation, silicon dioxide layers 13 are formed so as to consume the silicon layer 3.
  • Thereafter, when the silicon dioxide layers 13 are selectively removed, a concave portion is formed in the channel area 7 of the silicon layer 3 as shown in FIG. 25.
  • As a result, a width Wch of the channel area 7 is smaller than a width Wext of the fin in the source/drain extension areas 8 a. That is, assuming that Wsd is a width of the fin in the source/drain areas 8, a relationship of Wch<Wext<Wsd can be obtained.
  • As a result, an increase in a drive current due to a reduction in a parasitic resistance in the source/drain areas 8 can be realized. Further, in this example, the width Wch of the channel area 7 can be readily made smaller than the gate length Lg without using the photolithography, which can contribute to suppression of the short channel effect.
  • Thereafter, as shown in FIG. 26, thermal oxidation is again performed, and gate insulating layers (silicon dioxide) 5 are formed.
  • Subsequently, as shown in FIGS. 27 and 28, polysilicon layers are formed so as to completely fill the slit-shaped holes formed to the insulating layers 12 by the LPCVD method. When the polysilicon layers are polished or etched back by CMP, self-aligned gate electrodes 6 are formed in the channel area 7 in the silicon layer 3.
  • Thereafter, impurities are implanted into the gate electrodes 6 by the ion implantation method, and a thermal process for activation of the impurities is conducted.
  • Here, in regard to the gate electrodes 6, a metal such as tungsten (W) or molybdenum (Mo) may be used in place of polysilicon. When the metal is used for the gate electrodes 6 in this manner, since so-called depletion of the gate electrodes is not generated, which can contribute to an improvement in a drive current.
  • Then, as shown in FIGS. 29 and 30, the cap insulating layer 4, the sidewall insulating layers 9 and the insulating layers 12 are partially etched by the photolithography and RIE, an opening portion which reaches each source/drain area 8 is formed on each source/drain area 8. Thereafter, a metal layer consisting of, e.g., nickel (Ni), cobalt (Co) or titanium (Ti) is formed on the entire upper portions of the insulating layers 2 and 12 including this opening portion, and a thermal process is performed.
  • As a result, metal silicide layers 11 are formed on each of top faces and side surfaces of the source/drain areas 8 and the top faces of the gate electrodes 6. Furthermore, thereafter, the metal layer which has not been converted into the metal silicide layer 11 is removed.
  • Such a FinFET as shown in FIGS. 15 and 16 is completed by the above-described steps.
  • Thereafter, although not shown, when an interlayer insulating layer, a contact, a wiring layer and others are formed by a regular wafer process, a semiconductor integrated circuit having an MIS type transistor is completed.
  • {circle around (2)} EXAMPLE 2
  • First, as shown in FIGS. 31 and 32, for example, a silicon substrate 1 is prepared, and a buried insulating layer (e.g., silicon dioxide) 2 is formed in the silicon substrate 1. Here, the same thing as that in the example 1 can be applied to a silicon layer (fin) 3 on the buried insulating layer 2. That is, although the silicon layer 3 is formed on the buried insulating layer 2 in the drawing, this silicon layer 3 is originally a part of the silicon substrate 1.
  • A cap insulating layer (e.g., silicon nitride) 4 as a mask material is formed on the silicon substrate 1 (silicon layer 3 in the drawing) by LPCVD. Then, the cap insulating layer 4 is patterned by the photolithography and RIE, and the silicon substrate 1 (silicon layer 3 in the drawing) is etched with this cap insulating layer 4 being used as a mask by RIE. As a result, the silicon layer 3 is formed on the buried insulating layer 2.
  • Furthermore, an insulating layer 14 which completely covers the silicon layer 3 is formed on the buried insulating layer 2. Moreover, the insulating layer 14 is polished by CMP with the cap insulating layer 4 being used as a stopper, and a surface of the cap insulating layer 4 is made substantially the same as a surface of the insulating layer 14.
  • It is to be noted that a substrate having an insulating layer embedded in the silicon substrate from the beginning, e.g., an SIMOX substrate may be used as the SOI substrate. In this example, although the fin (silicon layer 3) is formed on the assumption of the SOI substrate, the SOI structure may be alternatively realized by forming the fin on a regular silicon substrate by the photolithography and RIE and then embedding the insulating layer.
  • Subsequently, as shown in FIGS. 33 and 34, the cap insulating layer 4 is etched by isotropic etching. As a result, a top face and side surfaces of the cap insulating layer 4 are isotropically etched, and a size of the cap insulating layer 4 is reduced.
  • Then, as shown in FIGS. 35 and 36, a resist layer 15 is formed by the photolithography. The resist layer 15 is patterned so as to have an opening on the upper portion of a channel area and a source/drain extension area of the silicon layer 3.
  • Thereafter, as shown in FIGS. 37 and 38, when the silicon layer 3 is etched by RIE with the cap insulating layer 4, the insulating layer 14 and the resist layers 15 being used as masks, a width of the silicon layer 3 at a central portion becomes smaller than a width of the same at an end portion. Here, the central portion of the silicon layer 3 has a width Wext of the fin at a part where the source/drain extension area is formed, and the end portion of the silicon layer 3 has a width Wsd of the fin at a part where the source/drain area is formed (Wext<Wsd).
  • Then, as shown in FIGS. 39 and 40, the cap insulating layer 4 is again etched by isotropic etching. As a result, the top face and the side surfaces of the cap insulating layer 4 are isotropically etched, and the size of the cap insulating layer 4 is further reduced. Thereafter, a resist layer 16 is formed by the photolithography. The resist layer 16 is patterned so as to have an opening at the upper portion of the channel area of the silicon layer 3.
  • Then, when the silicon layer 3 is again etched by RIE with the cap insulating layer 4, the insulating layer 14 and the resist layer 16 being used as masks, the width of the silicon layer 3 at the central part is further narrowed as shown in FIGS. 41 and 42. Here, the central portion of the silicon layer 3 has a width Wch of the fin at a part where the channel area is formed, and a relationship of Wch<Wext<Wsd can be obtained.
  • At last, when the insulating layer 14 is removed, the SOI substrate consisting of the silicon substrate 1, the buried insulating layer 2 and the silicon layer 3 having the above-described relationship is completed.
  • As subsequent processes, the same processes as those shown in FIGS. 17 to 30 are adopted for example, thereby bringing the FinFET to completion.
  • However, since the width of the channel area has been already narrowed by the processes shown in FIGS. 31 to 42, steps to narrow the width of the channel area, i.e., formation of the silicon dioxide layer 13 by thermal oxidation and stripping of the same in FIGS. 23 and 25 are eliminated in the processes of FIGS. 17 to 30.
  • 3. Second Embodiment
  • First, the second embodiment relates to a structure and a manufacturing method which facilitate formation of a fin and also facilitate subsequent processing of members using the photolithography or the like by controlling an effective channel width of the FinFET based on the number of fins connected to each other in parallel in place of heights of the fins.
  • Second, this embodiment relates to a structure and a manufacturing method which prevent an area which is of an electroconductive type different from an electroconductive type of a source/drain area from partially remaining in the source/drain area in the FinFET having such a structure that a plurality of fins are connected to each other in parallel.
  • (1) Structure
  • FIGS. 43 and 44 show a structure of a FinFET according to a second embodiment of the present invention. FIG. 44 is a cross-sectional view taken along the like XLIV-XLIV in FIG. 43.
  • An insulating layer 2 is formed on a silicon substrate 1, and a fin-shaped silicon layer 3 is formed on the insulating layer 2. A so-called SOI substrate is constituted by the silicon substrate 1, the insulating layer 2 and the silicon layer 3.
  • The silicon layer 3 is constituted by a plurality of channel/extension portions (fins) and two source/drain portions provided in common with these channel/extension portions. The source/drain portion of the silicon layer 3 exists at each of both ends of a plurality of the channel/extension portions in a direction x, the channel/extension portions being aligned in a direction y. These channel/extension portions (fins) and the source/drain portions are electrically connected to each other, and they form a ladder shape on the whole when seen from a direction z.
  • Here, heights of a plurality of the fins constituting one FinFET are the same. Therefore, processing of the fins is very easy. Further, since irregularities of the insulating layer formed on the upper portions of the fins can be eliminated and the insulating layer can be flattened, subsequent processing of members using the photolithography or the like can be correctly performed.
  • Furthermore, an effective channel width of the FinFET is controlled by the number of fins connected to each other in parallel. That is, by changing the number of the fins connected to each other in parallel, a plurality of FinFETs having different effective channel widths can be formed in one LSI.
  • Cap insulating layer 4 used as masks when processing the silicon layer 3 are formed on a plurality of the channel/extension portions (fins) of the silicon layer 3. Gate electrodes 6 are formed on two side surfaces of each channel/extension portion of the silicon layer 3 in the direction y through gate insulating layers 5.
  • The device of this example has a structure that a plurality of the fins are connected to each other in parallel. Therefore, it is realistic that the gate electrode 6 on one side of each of a plurality of fins is electrically connected with the gate electrode 6 on the other side so as to cut across the silicon layer 3.
  • Sidewall insulating layers (sidewalls) 9 are formed on side surfaces of the gate electrodes 6 in the direction x. Areas in the silicon layer 3 sandwiched by the gate electrodes 6 are channel areas 7. Furthermore, in the silicon layer 3, source/drain areas 8 and source/drain extension areas 8 a are formed on the both sides of the channel areas 7. A direction of a current flowing through each channel area 7 is a direction parallel to the surface of the silicon substrate 1, i.e., the direction x.
  • Silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8, respectively. In this example, the silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8 exposed to the opening portions of the insulating layers 12, i.e., the side surfaces and the top face of the silicon layer 3 exposed to the opening portions of the insulating layers 12.
  • In such a FinFET, “twofold of a height h of the silicon layer 3”דnumber n of the fins (channel/extension portions)” is an effective gate width (effective channel width). That is, according to this structure, the effective channel width is determined based on the height h of the silicon layer 3 and the number of the fins.
  • A width of each fin in the direction y is not fixed, but it is gradually increased from the central portion toward the end portion on three stages.
  • That is, a width Wext of the silicon layer (fin) 3 in the source/drain extension area 8 a is larger than a width of the silicon layer (fin) 3 in the channel area, i.e., a width Wch of the channel area. Moreover, a width Wsd of the silicon layer 3 in the source/drain area 8 is larger than a width Wext of the silicon layer (fin) 3 in the source/drain extension area 8 a.
  • Therefore, by decreasing the width Wch of the channel area and, on the other hand, increasing the width Wsd of the silicon layer 3 in the source/drain area 8, an impurity concentration of the source/drain area 8 can be set sufficiently high and deep, thereby greatly reducing a parasitic resistance.
  • Additionally, assuming that a gate length of the gate electrode 6 is Lg, the width Wch of the channel area can be set smaller than the gate length Lg, thereby effectively suppressing the short channel effect.
  • Further, in case of the FinFET according to this embodiment, in the silicon layer 3 in the source/drain area 8 having the largest width Wsd, the source/drain area 8 is formed in the entire silicon layer 3. That is, an area which is of an electroconductive type opposite to an electroconductive type of the source/drain area 8 does not partially remain in the source/drain area 8.
  • (2) Manufacturing Method
  • An example of a manufacturing method for realizing a structure shown in FIGS. 43 and 44 will now be described.
  • First, as shown in FIG. 45, a silicon layer (fin) 3 is formed on a buried insulating layer (e.g., silicon dioxide) 2 on a silicon substrate 1, for example. This silicon layer 3 is formed in the following manner for instance.
  • For example, oxygen ions are implanted into the silicon substrate, and a thermal process is conducted, thereby forming the buried insulating layer 2 in the silicon substrate. Then, a cap insulating layer as a mask material is formed on the silicon substrate by LPCVD. Then, the cap insulating layer is patterned by the photolithography and RIE, and the silicon substrate is etched by RIE with this cap insulating layer being used as a mask. As a result, the ladder-shaped silicon layer (fin) 3 is formed on the buried insulating layer 2.
  • Here, the silicon layer 3 is formed in such a manner that a width at a part which will be the source/drain area later is larger than a width W2 at a part which will be the channel/extension area later in order to reduce a parasitic resistance.
  • Then, as shown in FIG. 46, dummy gate insulating layers 5A are formed on side surfaces of the silicon layer 3 by, e.g., thermal oxidation, and polysilicon layers (dummy gate electrodes 6A in the drawing) are subsequently formed on the dummy gate insulating layers 5A. Then, the top faces of the polysilicon layers are made substantially the same as the top face of the cap insulating layer 4 by CMP (flattening) with the cap insulating layer 4 being used as a stopper, for example.
  • Thereafter, the polysilicon layers are processed by the photolithography and RIE, and dummy gate electrodes 6A having a gate length Lg such as shown in the drawing are formed.
  • Then, as shown in FIG. 47, impurities are implanted into the surface area of the silicon layer 3 by tilted ion implantation (implantation angle 0 is, e.g., 10°≦θ≦30°), and source/drain extension areas 8 a are formed. Thereafter, silicon nitride which completely covers the silicon layer 3 is formed on the buried insulating layer 2 by, e.g., LPCVD. Then, this silicon nitride is etched by RIE, and sidewall insulating layers (sidewalls) are formed.
  • Although the sidewall insulating layers are respectively formed on sidewalls of the dummy gate electrodes 6A and sidewalls of the silicon layer 3, various conditions may be set in such a manner that the sidewall insulating layers are formed on only the sidewalls of the dummy gate electrodes 6A.
  • Then, as shown in FIG. 48, vertical (0° with respect to a plane vertical to the surface of the silicon substrate) ion implantation or tilted ion implantation at a low angle with respect to a plane vertical to the surface of the silicon substrate (e.g., an implantation angle θ is 0°<θ<10°) is performed, and impurities are implanted into the surface area of the silicon layer 3. Subsequently, when activation of the impurities is performed, source/drain areas 8 are formed in the silicon layer 3.
  • Here, before the ion implantation for forming the source/drain areas, when impurities such as germanium (Ge) or silicon (Si) are ion-implanted at the same positions as those of the ion implantation, the source/drain areas 8 are amorphousized. In this case, after the ion implantation for the source/drain areas, when the impurities are activated by performing low-temperature annealing at approximately 600° C. (SPE (Solid Phase Epitaxial regrowth)), diffusion of the impurities constituting the source/drain areas 8 is suppressed, and hence diffusion of the impurities from the source/drain areas 8 to the source/drain extension areas 8 a can be suppressed to the minimum level.
  • Moreover, after forming the sidewall insulating layers, the epitaxial growth may be carried out in order to form an epitaxial layer on the surface of the silicon layer 3.
  • Then, as shown in FIG. 49, insulating layers (e.g., silicon dioxide) 12 which completely cover the silicon layer 3 are formed on the buried insulating layer 2. Subsequently, the insulating layers 12 are polished by CMP with the cap insulating layer 4 being used as a mask and the top faces of the insulating layers 12 are made substantially the same as the top face of the cap insulating layer 4 (flattening), for example.
  • As a result, the top faces of the dummy gate electrodes 6A (see FIG. 48) are exposed. Thereafter, when the dummy gate electrodes 6A and the dummy gate insulating layers 5A are removed, slit-like holes are formed at these parts, and the surface of the silicon layer 3 is partially exposed. Then, when the exposed silicon layer 3 is oxidized by thermal oxidation, silicon dioxide layers 13 are formed so as to bite into the silicon layer 3.
  • Thereafter, when the silicon dioxide layers 13 are selectively removed, concave portions are formed in the channel areas 7 of the silicon layer 3.
  • As a result, the width Wch of the channel area 7 is smaller than the width Wext of the fin in the source/drain extension area 8 a. That is, assuming that Wsd is a width of the fin in the source/drain area 8, a relationship of Wch<Wext<Wsd can be obtained.
  • Consequently, an increase in a drive current due to a reduction in a parasitic resistance in the source/drain area 8 can be realized. Additionally, in this example, the width Wch of the channel area 7 can be readily made smaller than the gate length Lg without using the photolithography, which can contribute to suppression of the short channel effect.
  • Thereafter, by performing the same manufacturing steps as the manufacturing steps (FIGS. 26 to 30) in the above-described first embodiment, such a FinFET as shown in FIGS. 43 and 44 can be completed.
  • Further, although not shown, when an interlayer insulating layer, a contact, a wiring layer and others are thereafter formed by a regular wafer process, a semiconductor integrated circuit having an MIS type transistor is completed.
  • 4. Third Embodiment
  • The third embodiment relates to a structure and a manufacturing method by which a large part or all of a source/drain area is not silicided in a FinFET having a silicide layer on the source/drain area.
  • (1) Structure
  • Three examples will now be sequentially described hereinafter.
  • {circle around (1)} EXAMPLE 1
  • FIGS. 50 and 51 show a structural example 1 of a FinFET according to the third embodiment of the present invention. It is to be noted that FIG. 51 is a cross-sectional view taken along the line LI-LI in FIG. 50.
  • An insulating layer 2 is formed on a silicon substrate 1, and a fin-shaped silicon layer 3 which is long in a direction x and short in a direction y is formed on the insulating layer 2. A so-called SOI substrate is constituted by the silicon substrate 1, the insulating layer 2 and the silicon layer (fin) 3.
  • Gate electrodes 6 are formed on two side surfaces of the silicon layer 3 in a direction Y through gate insulating layers 5. In this example, although the gate electrode 6 on one side of the silicon layer 3 is electrically connected with the gate electrode 6 on the other side of the same so as to cut across the silicon layer 3, they may be separated from each other. Sidewall insulating layers (sidewalls) 9 are formed on side surfaces of the gate electrode 6 in a direction x.
  • An area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 17. Furthermore, in the silicon layer 3, source/drain areas 8 and source/drain extension areas 8 a are formed on the both sides of the channel area 7. A direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1, i.e., a direction x.
  • Silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8. In this example, the silicide layers 11 are respectively formed on the top face of the gate electrode 6 and the side surfaces and the top face of the silicon layer 3.
  • This FinFET is characterized in that the source/drain area 8 having a sufficient thickness exists between the silicide layers 11 formed on the surface of the silicon layer 3. Since the silicide layer 11 is formed by a reaction of the silicon layer and a metal layer, a thickness of the source/drain area 8 between the silicide layers 11 is determined by a thickness of the silicon layer 3, a thickness of the metal layer, a temperature and a time of silicidation and others.
  • In the worst case, all of the silicon layer 3 in the source/drain area 8 may be silicided. Since such a case should be avoided, a thickness of the silicide layer 11 in the direction y is set smaller than ½ of the thickness of the silicon layer 3 in the direction y.
  • Moreover, for example, as shown in FIG. 11, in cases where the end portion of the gate sidewall S-wall is formed so as to match with the end portion of the fin of the silicon layer 3, when a width a from an angular portion of the fin positioned at the farthest end to an angular portion of a square coupling part which connects a plurality of fins is smaller than a thickness of the silicide layer, since silicidation proceeds from the top face and the side surfaces of the coupling part, the fin positioned at the farthest end is silicided, thereby increasing a parasitic resistance.
  • Thus, a design is made in advance in such a manner that the width a from the angular portion of the fin positioned at the farthest end to the angular portion of the coupling part which connects a plurality of the fins is larger than the thickness of the silicide layer in order to prevent the silicide layer from being formed to the fin positioned at the farthest end.
  • {circle around (2)} EXAMPLE 2
  • FIGS. 52 and 53 show a structural example 2 of the FinFET according to the third embodiment of the present invention. It is to be noted that FIG. 53 is a cross-sectional view taken along the line LIII-LIII in FIG. 52.
  • An insulating layer 2 is formed on a silicon substrate 1, and a silicon layer 3 which is long in a direction x and short in a direction y is formed on the insulating layer 2. A so-called SOI substrate is constituted by the silicon substrate 1, the insulating layer 2 and the silicon layer (fin) 3.
  • Gate electrodes 6 are formed on two side surfaces of the silicon layer 3 in a direction y through gate insulating layers 5. In this example, although the gate electrode 6 on one side of the silicon layer 3 is electrically connected with the gate electrode 6 on the other side of the same so as to cut across the silicon layer 3, they may be separated from each other. Sidewall insulating layers (sidewalls) 9 are formed on side surfaces of the gate electrode 6 in a direction x.
  • An area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 7. Further, source/drain areas 8 and source/drain extension areas 8 a are formed on both sides of the channel area 7. A direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1, i.e., the direction x.
  • Silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8. In this example, the silicide layers 11 are respectively formed on the top faces of the gate electrodes 6 and the side surfaces and top face of the silicon layer 3.
  • This FinFET is characterized in that the source/drain areas 8 between the silicide layers 11 are widely assured as compared with the FinFET of the structural example 1. That is, in this example, a width of the source/drain area 8 in a direction y is substantially the same as a width of the original silicon (fin) 3.
  • Such a structure can be readily obtained by selectively growing the silicon layer on the source/drain areas 8 by using, e.g., a so-called elevated source/drain technique and then performing silicidation. Naturally, widths of the source/drain area 8 and the silicide layer 11 are determined by a width of the silicon layer 3, a thickness of a metal layer, a temperature and a time of silicidation and others.
  • {circle around (3)} EXAMPLE 3
  • FIGS. 54 and 55 show a structural example 3 of the FinFET according to the third embodiment of the present invention. It is to be noted that FIG. 55 is a cross-sectional view taken along the line LV-LV in FIG. 54.
  • An insulating layer 2 is formed on a silicon substrate 1, and a fin-shaped silicon layer 3 which is long in a direction x and short in a direction y is formed on the insulating layer 2. A so-called SOI substrate is constituted by the silicon substrate 1, the insulating layer 2 and the silicon layer (fin) 3.
  • Gate electrodes 6 are formed on two side surfaces of the silicon layer 3 in a direction y through gate insulating layers 5. In this example, although the gate electrode 6 on one side of the silicon layer 3 is electrically connected with the gate electrode 6 on the other side of the same so as to cut across the silicon layer 3, they may be separated from each other. Sidewall insulating layers (sidewalls) 9 are formed on side surfaces of the gate electrodes 6 in a direction x.
  • An area in the silicon layer 3 sandwiched by the gate electrodes 6 is a channel area 7. Moreover, in the silicon layer 3, source/drain areas 8 and source/drain extension areas 8 a are formed on both sides of the channel area 7. A direction of a current flowing through the channel area 7 is a direction parallel to the surface of the silicon substrate 1, i.e., a direction x.
  • Silicide layers 11 are formed on the gate electrodes 6 and the source/drain areas 8. In this example, the silicide layers 11 are formed on the top faces of the gate electrodes 6, the side surfaces of the silicon layer 3 and the top face of the silicon layer 3.
  • Here, a silicidation stopper (e.g., silicon dioxide) 17 used to suppress silicidation of the silicon layer 3 is formed between the silicide layer 11 formed on the upper portion of the top face of the silicon layer 3 and the silicon layer 3. Therefore, the sufficiently large source/drain areas 8 can be assured between the silicide layers 11.
  • {circle around (4)} Others
  • Although one FinFET has one fin in the examples 1 to 3, one FinFET may be constituted by, e.g., a plurality of fins connected to each other in parallel.
  • (2) Manufacturing Method
  • Examples of a manufacturing method for realizing the three structures shown in FIGS. 50 and 55 will now be described.
  • {circle around (1)} EXAMPLE 1
  • This example concerns a manufacturing method for realizing the structure shown in FIGS. 50 and 51.
  • First, as shown in FIG. 56, for example, a silicon substrate 1 is prepared, and a buried insulating layer (e.g., silicon dioxide) 2 is formed in the silicon substrate 1. Although a silicon layer (fin) 3 is formed on the buried insulating layer 2 in the drawing, this silicon layer 3 is originally a part of the silicon substrate 1.
  • A cap insulating layer 4 as a mask material is formed on the silicon substrate 1 (silicon layer 3 in the drawing) by, e.g., LPCVD. Then, the cap insulating layer 4 is patterned by the photolithography and RIE, and the silicon substrate 1 is etched by RIE with this cap insulating layer 4 being used as a mask. As a result, the silicon layer 3 is formed on the buried insulating layer 2.
  • It is to be noted that the cap insulating layer 4 is constituted by a stacked structure of silicon dioxide and silicon nitride.
  • The fin (silicon layer 3) is formed on the assumption of the SOI substrate in this example. However, in place of this structure, an insulating layer may be formed on a regular silicon substrate, a silicon layer may further formed on the insulating layer, and then a fin may be formed by the photolithography and RIE.
  • Subsequently, as shown in FIGS. 57 and 58, gate insulating layers 5 are formed on side surfaces of the silicon layer 3 by, e.g., thermal oxidation, and then polysilicon layers (gate electrodes 6 in the drawing) are formed on the gate insulating layers 5 by LPCVD. Further, a cap insulating layer (e.g., silicon dioxide, silicon nitride and others) 13A is formed on the polysilicon layers by LPCVD.
  • Then, the cap insulating layer 13A is patterned by, e.g., the photolithography and RIE. Furthermore, the polysilicon layers are processed by RIE with the cap insulating layer 13A being used as a mask, and a gate electrode 6 having a gate length Lg such as shown in the drawing is formed.
  • Incidentally, when forming the gate electrode 6, CMP may be executed immediately after the polysilicon layer which is a base of the gate electrode 6 is formed in order to flatten the polysilicon layer.
  • Moreover, as to the gate electrode 6, a metal such as tungsten (W) or molybdenum (Mo) may be used in place of polysilicon. When the metal is used for the gate electrode 6 in this manner, so-called depletion of the gate electrode is not generated, which can contribute to an improvement in a drive current.
  • Then, as shown in FIGS. 59 and 60, impurities are implanted into the surface area of the silicon layer 3 by tilted ion implantation (implantation angle 0 is, e.g., 10°≦θ≦30°), and the impurities are activated by a thermal process, thereby forming source/drain extension areas 8 a. The source/drain extension areas 8 a are formed on, e.g., side surfaces of the silicon layer 3 in a direction y.
  • Thereafter, the cap insulating layer 4 on the silicon layer 3 and the cap insulating layer 13A on the gate electrode 6 are respectively removed. For example, when the cap insulating layers 4 and 13A are formed of silicon nitride, the cap insulating layers 4 and 13A are removed by using a phosphoric acid heated to approximately 160° C. Additionally, when the cap insulating layers 4 and 13A are formed of silicon dioxide, the cap insulating layers 4 and 13A are removed by a hydrofluoric acid.
  • Subsequently, as shown in FIGS. 61 and 62, silicon nitride which completely covers the silicon layer 3 is formed on the buried insulating layer 2 by, e.g., LPCVD. Then, this silicon nitride is etched by RIE, and sidewall insulating layers (sidewalls) 9 are formed.
  • Here, an etching time is adjusted in such a manner that the sidewall insulating layers 9 are formed on only the sidewalls of the gate electrode 6 and they are not formed on the sidewalls of the silicon layer 3.
  • Thereafter, impurities are again implanted into the silicon layer 3 by vertical ion implantation at a low angle (implantation angle θ is, e.g., 0°<θ≦100) or vertical ion implantation (implantation angle θ is 0°), and the impurities are activated by a thermal process, thereby forming source/drain areas 8.
  • The source/drain areas 8 are formed in the entire silicon layer 3 except the channel area 7.
  • Subsequently, as shown in FIGS. 63 and 64, a metal layer which covers the top face and the side surfaces of the silicon layer 3 and the top face of the gate electrode 6, e.g., a metal layer consisting of nickel (Ni), cobalt (Co), titanium (Ti), palladium (Pd) or the like is formed, and a thermal process is performed.
  • As a result, metal silicide layers 11 are respectively formed on the top faces and the side surfaces of the source/drain areas 8 and the top faces of the gate electrodes 6. Furthermore, thereafter, the metal layers which have not been converted into the metal silicide layers 11 are removed.
  • Incidentally, when performing such silicidation, a thickness of the silicon layer 3, a thickness of the metal layers and conditions of silicidation (a time, a temperature and others) are controlled in such a manner that all of the silicon layer 3 excluding the channel area 7 is not converted into the silicide layers 11.
  • By the above-described steps, such a FinFET as shown in FIGS. 50 and 51 is completed.
  • Thereafter, although not shown, when an interlayer insulating layer, a contact, a wiring layer and others are formed by a regular wafer process, a semiconductor integrated circuit having an MIS type transistor is completed.
  • {circle around (2)} EXAMPLE 2
  • This example relates to a manufacturing method for realizing a structure shown in FIGS. 52 and 53.
  • First, a silicon layer (fin) 3, gate insulating layers 5 and a gate electrode 6 are formed by the same method as that of the above-described example 1. Like the example 1, the gate electrode 6 may be constituted by either polysilicon or a metal (see FIGS. 56 to 51).
  • Then, as shown in FIGS. 65 and 66, impurities are implanted into the surface area of the silicon layer 3 by tilted ion implantation (implantation angle 0 is, e.g., 10°≦θ≦30°), and the impurities are activated by a thermal process, thereby forming source/drain extension areas 8 a. The source/drain extension areas 8 a are formed on, e.g., the side surfaces of the silicon layer 3 in a direction y.
  • Thereafter, cap insulating layers 4 on the silicon layer 3 and a cap insulating layer 13A on the gate electrode 6 are respectively removed. For example, when the gap insulating layers 4 and 13A are constituted by silicon nitride, the cap insulating layers 4 and 13A are removed by a phosphoric acid. Moreover, when the cap insulating layers 4 and 13A are constituted by silicon dioxide, the cap insulating layers 4 and 13A are removed by a hydrofluoric acid.
  • Subsequently, as shown in FIGS. 67 and 68, silicon nitride which completely covers the silicon layer 3 is formed on the buried insulating layer 2 by, e.g., LPCVD. Then, this silicon nitride is etched by RIE, thereby forming sidewall insulating layers (sidewalls) 9.
  • Here, an etching time is adjusted in such a manner that the sidewall insulating layers 9 are formed on only the sidewalls of the gate electrode 6 and not formed on the sidewalls of the silicon layer 3.
  • Thereafter, a semiconductor layer 15A formed of, e.g., silicon or silicon germanium is selectively grown on the exposed surface of the silicon layer (fin) 3 in advance in such a manner that all of the source/drain areas are not silicided in silicidation, and a thickness of the silicon layer 3 is increased.
  • It is to be noted that, when the gate electrode 6 is formed of polysilicon, the semiconductor layer 15A formed of silicon, silicon germanium or the like may be selectively formed on the gate electrode 6 simultaneously with formation of the counterpart on the silicon layer 3.
  • A selective growth of silicon (monocrystal silicon) can be readily carried out by heating the silicon substrate in a hydrogen atmosphere in a temperature range of, e.g., 700° C. to 900° C. and supplying a reactant gas such as SiH4, SiH2Cl2, SiHCl3 or HCl to the silicon substrate together with hydrogen.
  • A selective growth of silicon germanium (monocrystal silicon germanium) can be readily executed by heating the silicon substrate in a hydrogen atmosphere in a temperature range of, e.g., 550° C. to 850° C. and supplying a reactant gas such as GeH4, GeH2Cl2, GeHCl3 or HCl to the silicon substrate together with hydrogen.
  • A technique which forms the semiconductor layer 15A on the silicon layer 3 by the selective growth and increases a thickness of the silicon layer (fin) 3 is referred to as an elevated source/drain technique.
  • Subsequently, as shown in FIGS. 69 and 70, impurities are implanted into the silicon layer 3 by tilted ion implantation at a low angle (implantation angle θ is, e.g., 0°<θ≦10°) or vertical ion implantation (implantation angle θ is 0°), and the impurities are activated by a thermal process, thereby forming source/drain areas 8.
  • The source/drain areas 8 are formed in the entire silicon layer 3 except the channel area 7.
  • Then, as shown in FIGS. 71 and 72, a metal layer which covers the top face and the side surfaces of the silicon layer 3 and the top face of the gate electrode 6, e.g., a metal layer consisting of nickel (Ni), cobalt (Co), titanium (Ti), palladium (Pd) or the like is formed, and a thermal process is performed.
  • As a result, metal silicide layers 11 are respectively formed on the top face and the side surfaces of the source/drain areas 8 and the top face of the gate electrode 6. Additionally, thereafter, the metal layers which have not been converted into the metal silicide layers 11 are removed.
  • Since a thickness of the silicon layer (fin) 3 is increased in advance by the selective growth in this silicidation, all of the silicon layer 3 is not converted into the silicide layer 11. That is, since the semiconductor layer 15A functions as consumption silicon in silicidation, the source/drain area 8 with a sufficient thickness remains between the silicide layers 11.
  • It is to be noted that a thickness of the silicide layer 11 is controlled based on a thickness of the silicon layer 3, a thickness of the metal layer and conditions (a time, a temperature and others) of silicidation.
  • By the above-described steps, such a FinFET as shown in FIGS. 52 and 53 is completed.
  • Thereafter, although not shown, when an interlayer insulating layer, a contact, a wiring layer and others are formed by a regular wafer process, a semiconductor integrated circuit having an MIS type transistor is completed.
  • {circle around (3)} EXAMPLE 3
  • This example relates to a manufacturing method for realizing a structure illustrated in FIGS. 54 and 55.
  • Before explaining the manufacturing method for realizing the structure of FIGS. 54 and 55, a brief description will be first given as to a regular manufacturing method and its problems.
  • First, as shown in FIG. 73, an SOI structure consisting of, e.g., a silicon substrate 1, a buried insulating layer (e.g., silicon dioxide) 2 and a silicon layer 3 is formed.
  • A cap insulating layer 4 as a mask material is formed on the silicon substrate 1 (silicon layer 3 in the drawing) by, e.g., LPCVD. Then, the cap insulating layer 4 is patterned by the photolithography and RIE, and the silicon substrate 1 is etched by RIE with this cap insulating layer 4 being used as a mask. As a result, the silicon layer (fin) 3 is formed on the buried insulating layer 2, thereby obtaining the SOI structure.
  • Thereafter, for example, by the same method as those of the example 1 and the example 2, the gate insulating layer, the gate electrode, source/drain extension area, the source/drain area and others are formed. Further, the cap insulating layer 4 on the silicon layer 3 is removed.
  • Then, as shown in FIG. 74, a metal layer 16A which covers the surface of the silicon layer (source/drain areas) 3, e.g., a metal layer consisting of nickel (Ni), cobalt (Co), titanium (Ti), palladium (Pd) or the like is formed, and a thermal process is performed.
  • As a result, as shown in FIG. 75, metal silicide layers 11 are respectively formed on the top face and the side surfaces of the silicon layer (source/drain areas) 3. It is to be noted that the metal layers which have not been converted into the metal silicide layers 11 are removed.
  • In this method, silicidation proceeds from the top face and the side surfaces of the silicon layer 3 toward the inside of the same. Therefore, it is hard to control an amount of the silicon layer 3 which is left after silicidation, and that amount is very small. This increases a sheet resistance of the silicon layer (source/drain areas) 3 between the silicide layers 11, which prevents a high-speed operation.
  • Thus, in the example 3, the following manufacturing method is proposed.
  • First, as shown in FIG. 76, for example, a silicon substrate 1 is prepared, and a buried insulating layer (e.g., silicon dioxide) 2 is formed in this silicon substrate 1. In the drawing, although the silicon layer (fin) 3 is formed on the buried insulating layer 2, this silicon layer 3 is originally a part of the silicon substrate 1.
  • For example, a silicidation stopper (e.g., silicon dioxide, silicon nitride or the like) 17, a polysilicon layer 18 and a cap insulating layer 4 as a mask material are sequentially formed on the silicon substrate 1 (silicon layer 3 in the drawing) by LPCVD. Furthermore, thereafter, the cap insulating layer 4, the polysilicon layer (which may be alternatively an amorphous silicon layer) 18 and the silicidation stopper 17 are patterned by the photolithography and RIE, and the silicon substrate 1 is etched by RIE with the cap insulating layer 4 being used as a mask. Consequently, the silicon layer (fin) 3 is formed on the buried insulating layer 2.
  • Then, for example, by the same method as those of the example 1 and the example 2, a gate insulating layer, a gate electrode, source/drain extension areas, source/drain areas and others are formed.
  • In this example, however, as shown in FIG. 77, before forming the source/drain areas, epitaxial layers (a silicon layer, a silicon germanium layer or the like) 15A are grown on the side surfaces of the silicon layer 3 and the polysilicon layer 18 by the selective growth like the example 2.
  • Here, the epitaxial layers 15A grow from the side surfaces of the silicon layer 3 and from the side surfaces of the polysilicon layer 18. That is, the epitaxial layer 15A growing from the silicon layer 3 and the epitaxial layer 15A growing from the polysilicon layer 18 are coupled and integrated with each other on the side surfaces of the silicidation stopper 17.
  • Thereafter, the cap insulating layer 4 on the polysilicon layer 18 is removed. For example, when the cap insulating layer 4 is constituted by silicon nitride, the cap insulating layer 4 is removed by a phosphoric acid heated to approximately 160° C. Furthermore, when the cap insulating layer 4 is constituted by silicon dioxide, the cap insulating layer 4 is removed by a hydrofluoric acid.
  • Then, as shown in FIG. 78, after forming sidewall insulating layers (sidewalls), impurities are implanted into the silicon layer 3 and the epitaxial layers 15A by tilted ion implantation at a low angle (implantation angle θ is, e.g., 0°≦θ≦10°) or vertical ion implantation (implantation angle θ is 0°), and the impurities are activated by a thermal process, thereby forming the source/drain areas.
  • Moreover, a metal layer which covers the side surfaces of the epitaxial layers 15A and the top face of the polysilicon layer 18, e.g., a metal layer consisting of nickel (Ni), cobalt (Co), titanium (Ti), palladium (Pd) or the like is formed, and a thermal process is performed.
  • As a result, as shown in FIG. 79, the metal silicide layer 11 is formed on the side surfaces of the silicon layer (source/drain areas) 3. Additionally, thereafter, the metal layers which have not been converted into the metal silicide layers 11 are removed.
  • Incidentally, when performing such silicidation, in the first place, since the silicidation stopper 17 exists on the silicon layer 3, silicidation does not proceed from the upper portion of the silicon layer 3. That is, since silicidation advances only from the side surfaces of the silicon layer 3, the silicon layer 3 is not completely silicided.
  • Further, in the second place, since the epitaxial layer 15A functions as consumption silicon in silicidation, the silicon layer (source/drain areas) 3 with a sufficient width can be left in the area between the silicide layers 11.
  • In the third place, the epitaxial layer 15A is formed so as to surround the silicidation stopper 17. That is, the silicon layer 3 and the polysilicon layer 18 are coupled with each other through the epitaxial layer 15A. As a result, the silicide layer 11 is also formed on the upper portion and the side surfaces of the silicon layer (fin) 3 so as to surround the silicon layer (fin) 3, and hence a sheet resistance of the silicide layer 11 can be reduced. Furthermore, achieving contact on the top face is enabled by this process.
  • By the above-described steps, such a FinFET as shown in FIGS. 54 and 55 is completed.
  • Thereafter, although not shown, when an interlayer insulating layer, a contact, a wiring layer and others are formed by a regular wafer process, a semiconductor integrated circuit having an MIS type transistor is completed.
  • 5. Others
  • The FinFET according to the examples of the present invention is superior in suppression of the short channel effect since the width of the channel area is smaller than the gate length. Moreover, increasing the width of the source/drain extension area and the width of the source/drain area can reduce a parasitic resistance. Since the width of the source/drain extension area is equal to or larger than the gate length, processing of the fin by the photolithography and RIE is enabled.
  • By making the width of the source/drain extension area smaller than the width of the source/drain area, the source/drain extension area which overlaps the end portion of the gate electrode can be formed shallow. When the width of the source/drain area is larger than the width of the source/drain extension area, the source/drain area has a high impurity concentration and can be formed at a position deeper than that of the source/drain extension area, thereby reducing the parasitic resistance.
  • By independently arranging the gate electrodes placed on the right and left sides of the fin, a threshold voltage of the FinFET can be controlled by supplying a control signal to one of the gate electrodes positioned on the right and left sides of the fin, for example. When a plurality of fins are connected to each other in parallel and a plurality of channel areas are provided, the effective gate (channel) width of the FinFET can be adjusted based on the number of the fins connected to each other in parallel rather than heights of the fins. In this case, since the heights of the fins can be made equal to each other, the surface of the insulating layer formed on the upper portions of the fins can be flattened, and subsequent processing of the members can be correctly performed by the photolithography.
  • By forming the source/drain areas based on a combination of tilted ion implantation and vertical ion implantation, an area which is of an electroconductive type different from that of the source/drain area does not partially remain in the source/drain area.
  • When forming the FinFET, using the SOI substrate can improve insulation properties between transistors. Moreover, since a plurality of FinFETs (fins) are electrically completely separated from each other by the buried insulating layer, punchthrough between the source and the drain can be suppressed. On the other hand, when the silicon substrate is used, since a plurality of the FinFETs are not separated from each other by an insulating layer with a low thermal conductivity, a degradation in a drive current due to a self-heating effect can be suppressed.
  • The cap insulating layer (mask material) formed on the upper portion of the fin functions as a stopper in flattening processing based on, e.g., CMP. A thickness by which a process margin can be maintained is required in this cap insulating layer. When the gate electrode is also formed on the upper portion of the fin, the punchthrough between the source and the drain can be suppressed by forming sufficiently thick cap insulating layer arranged between the top face of the fin and the gate electrode.
  • When a fully depleted type FinFET is manufactured and an impurity concentration of the silicon substrate is set low, effects such as a low subthreshold slope, high mobility, a low-junction leak current can be obtained. The width of the channel area can be readily reduced by, e.g., formation and stripping of an oxide layer. Since an electric field at the end portion of the gate electrode is weakened, the reliability is also improved.
  • When the metal silicide layer is formed on the surfaces of the source/drain areas, the parasitic resistance of the source/drain areas is reduced in such a manner all or almost all of the source/drain areas are not converted into the metal silicide layers. Since the heights of all the fins formed on the insulating layer can be set equal to each other, the photolithography and RIE can be facilitated in formation of the fins, formation of the gate electrode and any other processing steps of the members.
  • The process can be simplified by continuously or gradually changing the width of the fin in the channel area, the width of the fin in the source/drain extension area and the width of the fin in the source/drain area, and forming the gate insulating layer and the gate electrode on such a fin.
  • The parasitic resistance can be reduced by forming the metal silicide layers on the gate electrode and the surface of the source/drain area. By using the dummy gate electrode and the dummy gate insulating layer, processes such as a reduction in the width of the fin in the channel area, formation of the gate insulating layer, formation of the gate electrode and others can be performed in the self-alignment manner. When the gate electrode of the FinFET is formed of a metal, the gate depletion does not occur, thereby improving a drive current.
  • In a fin type MISFET formed on the silicon substrate or the SOI substrate, by preventing all of the fin silicon in the source/drain area from being silicided, an increase in the sheet resistance of the diffusion layer immediately below the silicide layer can be suppressed, thus reducing the parasitic resistance. When all of the fin silicon in the source/drain area is prevented from being silicided by forming the epitaxial layer by the selective growth and increasing the thickness of the fin, since this epitaxial layer functions as consumption silicon in silicidation, restrictions in the thickness of the fin or the thickness of the silicide layer can be eased.
  • By providing the silicidation stopper consisting of, e.g., silicon dioxide on the upper part of the fin silicon, since silicidation does not proceed from the upper portion of the fin silicon in silicidation, the source/drain area with a sufficient thickness can be left between the silicide layers. Further, since the polysilicon layer is also formed on the silicidation stopper, the silicide layer surrounds the fin silicon, thereby further reducing the parasitic resistance.
  • 6. Industrial Applicability
  • The semiconductor device and its manufacturing method according to the examples of the present invention are useful for a semiconductor integrated circuit having a three-dimensional element (MISFET) such as a FinFET in particular.
  • 7. Advantages
  • According to the examples of the present invention, it is possible to provide a structure and a manufacturing method of a three-dimensional element such as a FinFET in which the width Wch of the channel area is smaller than the gate length Lg, the width Wext of the fin in the source/drain extension area is equal to or larger than the width Wch of the channel area, and the width Wsd of the fin in the source/drain area in the direction y is larger than the width Wch of the channel area and the width Wext of the fin in the source/drain extension area in the direction y.
  • Furthermore, according to the examples of the present invention, with the structure in which a plurality of fins are connected to each other in parallel, the effective channel width can be controlled based on the number of the fins rather than heights of the fins. Moreover, even if such a structure is adopted, it is possible to provide a structure and a manufacturing method by which an area which is of an electroconductive type different from an electro-conductive type of the source/drain area does not partially remain in the source/drain area.
  • Additionally, according to the examples of the present invention, in regard to the silicide layer provided on the source/drain area in the fin, since it is possible to realize a structure and a manufacturing method by which a large part of the source/drain area is not silicided, the sheet resistance of the diffusion layer immediately below silicide can be prevented from being increased, thereby suppressing an increase in the parasitic resistance.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general invention concept as defined by the appended claims and their equivalents.

Claims (22)

1-19. (canceled)
20. A manufacturing method of a semiconductor device comprising:
forming on a semiconductor layer a fin-shaped semiconductor layer which is long in a first direction and short in a second direction crossing the first direction;
forming a dummy gate insulating layer on side surfaces of the semiconductor layer in the second direction;
forming a dummy gate electrode adjacent to the dummy gate insulating layer;
forming a source/drain extension area and a source/drain area in the semiconductor layer;
forming an insulating layer which covers the semiconductor layer;
exposing surfaces of the dummy gate insulating layer and the dummy gate electrode by polishing or etching the insulating layer;
removing the dummy gate insulating layer and the dummy gate electrode;
oxidizing the semiconductor layer at a part where the dummy gate insulating layer had been formed, and forming an oxide layer there;
removing the oxide layer;
forming a gate insulating layer at a part where the dummy gate insulating layer had been formed; and
forming a gate electrode adjacent to the gate insulating layer.
21. A manufacturing method of the semiconductor device comprising:
forming on a semiconductor substrate a plurality of fin-shaped first semiconductor layers which are long in a first direction and short in a second direction crossing the first direction, and a second semiconductor layer which connects end portions of a plurality of the first semiconductor layer in the first direction with each other;
forming a dummy gate insulating layer on side surfaces of each of a plurality of the first semiconductor layers in the second direction;
forming a dummy gate electrode adjacent to the dummy gate insulating layer;
forming a source/drain extension area in each of a plurality of the first semiconductor layers;
forming a source/drain area in the second semiconductor layer;
forming an insulating layer which covers the first and second semiconductor layers;
exposing surfaces of the dummy gate insulating layer and the dummy gate electrode by polishing or etching the insulating layer;
removing the dummy gate insulating layer and the dummy gate electrode;
oxidizing the first semiconductor layer at a part where the dummy gate insulating layer had been formed, and forming an oxide layer there;
removing the oxide layer;
forming a gate insulating layer at a part where the dummy gate insulating layer had been formed; and
forming a gate electrode adjacent to the gate insulating layer.
22. A manufacturing method of a semiconductor device comprising:
forming a cap insulating layer on a semiconductor layer on a first insulating layer;
etching the semiconductor layer by using the cap insulating layer as a mask, and making the semiconductor layer into a fin shape which is long in a first direction and short in a second direction crossing the first direction;
forming a second insulating layer which covers the semiconductor layer;
exposing a surface of the cap insulating layer by polishing or etching the second insulating layer;
reducing a size of the cap insulating layer by etching the cap insulating layer based on isotropic etching;
forming on the semiconductor layer a first resist having a slit whose width is smaller than a width of the semiconductor layer in the first direction;
making a width of the semiconductor layer at a central portion in the second direction smaller than a width of the semiconductor layer at an end portion in the first direction by etching the semiconductor layer with the cap insulating layer and the first resist being used as masks;
forming a source/drain extension area at the central portion of the semiconductor layer; and
forming a source/drain area at the end portion of the semiconductor layer in the first direction.
23. The manufacturing method according to claim 22, further comprising:
further reducing a size of the cap insulating layer by again etching the cap insulating layer based on the isotropic etching after etching the semiconductor layer with the cap insulating layer and the resist being used as the masks and before forming the source/drain extension area; forming on the semiconductor layer a second resist having a slit whose width is smaller than a width of the slit of the first resist in the first direction; and
making the width of the semiconductor layer at the central portion in the second direction smaller than the width of the semiconductor layer at the central portion in the second direction by etching the semiconductor layer with the cap insulating layer and the second resist being used as masks.
24. A manufacturing method of semiconductor device comprising:
forming on a semiconductor layer a fin-shaped semiconductor layer which is long in a first direction and short in a second direction crossing the first direction;
forming a gate insulating layer on side surfaces of the semiconductor layer in the second direction;
forming a gate electrode adjacent to the gate insulating layer;
forming a source/drain extension area in the semiconductor layer by tilted ion implantation;
forming a sidewall insulating layer on a sidewall of the gate electrode;
forming a source/drain area by combination of tilted ion implantation and vertical ion implantation, or vertical ion implantation; and
forming a silicide layer on the gate electrode and a surface of the source/drain area, wherein conditions of silicidation are set in such a manner the silicide layer is not formed in the entire inner portion of the semiconductor layer in the source/drain area.
25. A manufacturing method of a semiconductor device comprising:
forming on a semiconductor substrate a fin-shaped first semiconductor layer which is long in a first direction and short in a second direction crossing the first direction, a silicidation stopper on the first semiconductor layer, and a second semiconductor layer on the silicidation stopper;
forming a gate insulating layer on side surfaces of the first semiconductor layer in the second direction;
forming a gate electrode adjacent to the gate insulating layer;
forming a source/drain extension area in the first semiconductor layer;
forming a sidewall insulating layer on sidewalls of the gate electrode;
growing an epitaxial layer on surfaces of the first and second semiconductor layers by a selective growth, and coupling the epitaxial layer from the first semiconductor layer with the epitaxial layer from the second semiconductor layer;
forming a source/drain area in the first semiconductor layer; and
forming a silicide layer on the gate electrode, the second semiconductor layer and the epitaxial layer,
wherein the silicidation stopper functions as a stopper in silicidation in such a manner that silicidation does not proceed from an upper portion of the first semiconductor layer in silicidation.
26-27. (canceled)
28. The manufacturing method according to claim 20, wherein the source/drain extension area is formed by an inclined ion implantation.
29. The manufacturing method according to claim 20, wherein the source/drain extension area is formed by a combination of an inclined ion implantation and a vertical ion implantation, or a vertical ion implantation.
30. The manufacturing method according to claim 20, wherein after forming the source/drain extension area, a side wall insulating layer is formed on a side wall of the gate electrode before forming the source/drain area.
31. The manufacturing method according to claim 20, wherein a width of the semiconductor layer in the channel area adjacent to the gate insulating layer in the second direction is controlled based on a thickness of the oxide layer.
32. The manufacturing method according to claim 20, wherein polishing or etching of the insulating layer is executed with a cap insulating layer formed on the semiconductor layer being used as a stopper.
33. The manufacturing method according to claim 20, wherein polishing or etching of the insulating layer is executed with the dummy gate electrode being used as a stopper.
34. The manufacturing method according to claim 20, further comprising forming a silicide layer on the gate electrode and the source/drain area.
35. The manufacturing method according to claim 21, wherein the source/drain extension area is formed by an inclined ion implantation.
36. The manufacturing method according to claim 21, wherein the source/drain extension area is formed by a combination of an inclined ion implantation and a vertical ion implantation, or a vertical ion implantation.
37. The manufacturing method according to claim 23, wherein the central portion of the semiconductor layer is a channel area.
38. The manufacturing method according to claim 24, further comprising growing an epitaxial layer on a surface of the semiconductor layer by a selective growth after forming the side wall insulating layer and before forming the source/drain area.
39. The manufacturing method according to claim 24, wherein the epitaxial layer becomes a part of the source/drain area, and also becomes a semiconductor which is consumed in the silicidation.
40. The manufacturing method according to claim 24, wherein the epitaxial layer is formed on a top face and side surfaces of the semiconductor layer.
41. The manufacturing method according to claim 25, wherein the silicidation stopper is comprised by a silicon oxide or a silicon nitride.
US11/388,523 2003-09-09 2006-03-25 Semiconductor device and manufacturing method thereof Abandoned US20060166456A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/388,523 US20060166456A1 (en) 2003-09-09 2006-03-25 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003-317259 2003-09-09
JP2003317259A JP2005086024A (en) 2003-09-09 2003-09-09 Semiconductor device and method for manufacturing same
US10/775,017 US7129550B2 (en) 2003-09-09 2004-02-09 Fin-shaped semiconductor device
US11/388,523 US20060166456A1 (en) 2003-09-09 2006-03-25 Semiconductor device and manufacturing method thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/775,017 Division US7129550B2 (en) 2003-09-09 2004-02-09 Fin-shaped semiconductor device

Publications (1)

Publication Number Publication Date
US20060166456A1 true US20060166456A1 (en) 2006-07-27

Family

ID=34225273

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/775,017 Expired - Fee Related US7129550B2 (en) 2003-09-09 2004-02-09 Fin-shaped semiconductor device
US11/388,523 Abandoned US20060166456A1 (en) 2003-09-09 2006-03-25 Semiconductor device and manufacturing method thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/775,017 Expired - Fee Related US7129550B2 (en) 2003-09-09 2004-02-09 Fin-shaped semiconductor device

Country Status (3)

Country Link
US (2) US7129550B2 (en)
JP (1) JP2005086024A (en)
TW (1) TWI253754B (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060208286A1 (en) * 2005-03-15 2006-09-21 Seiko Epson Corporation Method for manufacturing semiconductor device and semiconductor device
US20060216897A1 (en) * 2005-03-24 2006-09-28 Samsung Electronics Co., Ltd. Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
US20080050897A1 (en) * 2006-08-23 2008-02-28 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for doping a fin-based semiconductor device
US20080079041A1 (en) * 2006-10-02 2008-04-03 Samsung Electronics Co, Ltd. Gate-all-around type semiconductor device and method of manufacturing the same
US20080157206A1 (en) * 2006-10-16 2008-07-03 Elpida Memory, Inc. Semiconductor device and manufacturing method of the same
US20080277742A1 (en) * 2007-04-26 2008-11-13 Kabushiki Kaisha Toshiba Semiconductor device
US20090026505A1 (en) * 2007-07-27 2009-01-29 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20090302372A1 (en) * 2007-06-20 2009-12-10 International Business Machines Corporation Fin Field Effect Transistor Devices with Self-Aligned Source and Drain Regions
US20100224914A1 (en) * 2009-03-05 2010-09-09 Nec Electronics Corporation Semiconductor device
US20110129978A1 (en) * 2009-12-01 2011-06-02 Kangguo Cheng Method and structure for forming finfets with multiple doping regions on a same chip
US20120007183A1 (en) * 2010-07-08 2012-01-12 International Business Machines Corporation Multi-gate Transistor Having Sidewall Contacts
US20120108016A1 (en) * 2009-03-06 2012-05-03 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US20120193712A1 (en) * 2011-01-27 2012-08-02 International Business Machines Corporation FinFET STRUCTURE HAVING FULLY SILICIDED FIN
US20130009254A1 (en) * 2008-02-14 2013-01-10 Infineon Technologies Ag Electrical Device and Fabrication Method
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US20160111537A1 (en) * 2014-10-15 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10361695B3 (en) * 2003-12-30 2005-02-03 Infineon Technologies Ag Transistor structure for dynamic random-access memory cell has recess structure between source/drain regions and vertical gate electrode enclosing active region on at least 2 sides
KR20050108916A (en) * 2004-05-14 2005-11-17 삼성전자주식회사 Methods of forming a fin field effect transistor using damascene process
JP2006013303A (en) * 2004-06-29 2006-01-12 Toshiba Corp Semiconductor device and its manufacturing method
KR100614800B1 (en) * 2004-12-10 2006-08-22 삼성전자주식회사 Method of fabricating a Fin Field Effect Transistor having a plurality of protrudent channels
JP2006196646A (en) * 2005-01-13 2006-07-27 Renesas Technology Corp Semiconductor device and its manufacturing method
KR100691006B1 (en) 2005-04-29 2007-03-09 주식회사 하이닉스반도체 Cell transistor structure of memory device and method for fabricating the same
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
KR100696197B1 (en) * 2005-09-27 2007-03-20 한국전자통신연구원 Multiple-gate MOS transistor using the Si substrate and a method for manufacturing the same
US7309626B2 (en) * 2005-11-15 2007-12-18 International Business Machines Corporation Quasi self-aligned source/drain FinFET process
US20080014689A1 (en) * 2006-07-07 2008-01-17 Texas Instruments Incorporated Method for making planar nanowire surround gate mosfet
JP4552908B2 (en) * 2006-07-26 2010-09-29 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
EP1916717A3 (en) * 2006-08-23 2010-12-22 Imec Method for doping a fin-based semiconductor device
JP4282699B2 (en) * 2006-09-01 2009-06-24 株式会社東芝 Semiconductor device
JP2008117838A (en) * 2006-11-01 2008-05-22 Elpida Memory Inc Semiconductor device, and method for manufacturing the same
US7646046B2 (en) * 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
US7880202B2 (en) * 2006-11-27 2011-02-01 Infineon Technologies Ag Modulated-Vt transistor
KR100855834B1 (en) * 2007-05-25 2008-09-01 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
US20090001470A1 (en) * 2007-06-26 2009-01-01 Anderson Brent A Method for forming acute-angle spacer for non-orthogonal finfet and the resulting structure
KR100871712B1 (en) 2007-07-10 2008-12-08 삼성전자주식회사 Field effect transistor and method of fabricating the same
JP5184831B2 (en) * 2007-07-13 2013-04-17 ルネサスエレクトロニクス株式会社 Method for forming fin-type transistor
KR101263648B1 (en) 2007-08-31 2013-05-21 삼성전자주식회사 Fin field effect transistor and method of manufacturing the same
GB2455054B (en) * 2007-09-27 2011-12-07 Nxp Bv Method of manufacturing a finfet
US7781274B2 (en) 2008-03-27 2010-08-24 Kabushiki Kaisha Toshiba Multi-gate field effect transistor and method for manufacturing the same
JP5532568B2 (en) * 2008-09-26 2014-06-25 セイコーエプソン株式会社 Electro-optical device and electronic apparatus
DE102008059500B4 (en) * 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Method for producing a multi-gate transistor with homogeneously silicided land end regions
KR101072661B1 (en) * 2009-01-21 2011-10-11 주식회사 하이닉스반도체 Non-volatile memory device and manufacturing method thereof
JP2010258124A (en) 2009-04-23 2010-11-11 Renesas Electronics Corp Semiconductor device, and method of manufacturing the semiconductor device
JP2011066362A (en) * 2009-09-18 2011-03-31 Toshiba Corp Semiconductor device
US8716797B2 (en) * 2009-11-03 2014-05-06 International Business Machines Corporation FinFET spacer formation by oriented implantation
US8362574B2 (en) 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US8936978B2 (en) * 2010-11-29 2015-01-20 International Business Machines Corporation Multigate structure formed with electroless metal deposition
US9385050B2 (en) * 2011-01-06 2016-07-05 Globalfoundries Inc. Structure and method to fabricate resistor on finFET processes
CN102768957B (en) 2011-05-06 2016-09-14 中国科学院微电子研究所 Fin formula field effect transistor and manufacture method thereof
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US20120306005A1 (en) * 2011-06-04 2012-12-06 Kimihiro Satoh Trough channel transistor and methods for making the same
US9105506B2 (en) * 2011-06-21 2015-08-11 Etron Technology, Inc. Dynamic memory structure
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
TWI499006B (en) * 2011-10-07 2015-09-01 Etron Technology Inc Split gate memory cell structure
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) * 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
TWI502649B (en) * 2011-11-09 2015-10-01 United Microelectronics Corp Method of fabricating double-gate and tri-gate transistors on common substrate
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8604518B2 (en) * 2011-11-30 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Split-channel transistor and methods for forming the same
US8723223B2 (en) * 2011-11-30 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid Fin field-effect transistors
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) * 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
CN103311111B (en) * 2012-03-16 2015-12-16 中芯国际集成电路制造(上海)有限公司 The formation method of fin transistor
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
CN103383965B (en) * 2012-05-04 2016-01-20 台湾积体电路制造股份有限公司 Mixing fin formula field effect transistor
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8586455B1 (en) * 2012-05-15 2013-11-19 International Business Machines Corporation Preventing shorting of adjacent devices
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US8835250B2 (en) * 2012-09-13 2014-09-16 International Business Machines Corporation FinFET trench circuit
US9082873B2 (en) * 2012-09-20 2015-07-14 International Business Machines Corporation Method and structure for finFET with finely controlled device width
KR101395026B1 (en) * 2012-10-16 2014-05-15 경북대학교 산학협력단 Nitride Semiconductor and Fabricating Method Thereof
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
CN103839816B (en) * 2012-11-25 2019-04-19 中国科学院微电子研究所 Semiconductor devices and its manufacturing method
KR102017616B1 (en) 2013-01-02 2019-09-03 삼성전자주식회사 Field effect transistor
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9059217B2 (en) * 2013-03-28 2015-06-16 International Business Machines Corporation FET semiconductor device with low resistance and enhanced metal fill
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9153693B2 (en) * 2013-06-13 2015-10-06 Globalfoundries Inc. FinFET gate with insulated vias and method of making same
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9153694B2 (en) * 2013-09-04 2015-10-06 Globalfoundries Inc. Methods of forming contact structures on finfet semiconductor devices and the resulting devices
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9202918B2 (en) * 2013-09-18 2015-12-01 Globalfoundries Inc. Methods of forming stressed layers on FinFET semiconductor devices and the resulting devices
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
FR3011678B1 (en) * 2013-10-07 2017-01-27 St Microelectronics Crolles 2 Sas METHOD FOR RELAXING MECHANICAL CROSS-VOLTAGE CONSTRAINTS IN THE ACTIVE REGION OF A MOS TRANSISTOR, AND CORRESPONDING INTEGRATED CIRCUIT
CN104576380B (en) * 2013-10-13 2017-09-15 中国科学院微电子研究所 A kind of FINFET manufacture methods
CN104576386B (en) 2013-10-14 2018-01-12 中国科学院微电子研究所 A kind of FinFET and its manufacture method
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
KR20150058597A (en) * 2013-11-18 2015-05-29 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9508830B2 (en) * 2014-01-23 2016-11-29 Taiwan Semiconductor Manufacturing Company Limited Method of forming FinFET
US9633906B2 (en) 2014-01-24 2017-04-25 International Business Machines Corporation Gate structure cut after formation of epitaxial active regions
US9214557B2 (en) * 2014-02-06 2015-12-15 Globalfoundries Singapore Pte. Ltd. Device with isolation buffer
US9564487B2 (en) * 2014-02-14 2017-02-07 Taiwan Semiconductor Manufacturing Company Limited Dual vertical channel
US9112032B1 (en) * 2014-06-16 2015-08-18 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices
US9496259B2 (en) * 2015-04-14 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having fins with stronger structural strength
US9685528B2 (en) * 2015-06-30 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin semiconductor device and method of manufacture with source/drain regions having opposite conductivities
US9543935B1 (en) 2015-07-08 2017-01-10 International Business Machines Corporation Programmable delay circuit including hybrid fin field effect transistors (finFETs)
US9768073B1 (en) * 2016-02-26 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having dual channels, complementary semiconductor device and manufacturing method thereof
US9966457B2 (en) 2016-03-18 2018-05-08 Globalfoundries Inc. Transistor structure with varied gate cross-sectional area
US10056486B2 (en) * 2016-03-24 2018-08-21 Globalfoundries Inc. Methods for fin thinning providing improved SCE and S/D EPI growth
CN107968118B (en) * 2016-10-19 2020-10-09 中芯国际集成电路制造(上海)有限公司 Fin type field effect transistor and forming method thereof
US10163914B2 (en) 2017-03-08 2018-12-25 Globalfoundries Inc. Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails
CN111801796A (en) * 2018-02-08 2020-10-20 英特尔公司 Silicide structure for integrated transistor device and method for providing the same
KR102540962B1 (en) * 2018-08-23 2023-06-07 삼성전자주식회사 Integrated circuit device
US10957786B2 (en) * 2018-10-18 2021-03-23 Samsung Electronics Co., Ltd. FinFET with reduced extension resistance and methods of manufacturing the same
US10930768B2 (en) * 2018-10-18 2021-02-23 Samsung Electronics Co., Ltd. Low current leakage finFET and methods of making the same
FR3089343B1 (en) * 2018-11-29 2021-10-08 Commissariat Energie Atomique PROCESS FOR MAKING A TRANSISTOR FET
US11742210B2 (en) 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6960806B2 (en) * 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2994670B2 (en) * 1989-12-02 1999-12-27 忠弘 大見 Semiconductor device and manufacturing method thereof
US6245615B1 (en) * 1999-08-31 2001-06-12 Micron Technology, Inc. Method and apparatus on (110) surfaces of silicon structures with conduction in the <110> direction
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
JP3543946B2 (en) * 2000-04-14 2004-07-21 日本電気株式会社 Field effect transistor and method of manufacturing the same
JP4044276B2 (en) * 2000-09-28 2008-02-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6657252B2 (en) * 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6787864B2 (en) * 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US7173305B2 (en) * 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) * 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US7192876B2 (en) * 2003-05-22 2007-03-20 Freescale Semiconductor, Inc. Transistor with independent gate structures
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6992354B2 (en) * 2003-06-25 2006-01-31 International Business Machines Corporation FinFET having suppressed parasitic device characteristics
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6888181B1 (en) * 2004-03-18 2005-05-03 United Microelectronics Corp. Triple gate device having strained-silicon channel

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6960806B2 (en) * 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7268026B2 (en) * 2005-03-15 2007-09-11 Seiko Epson Corporation Method for manufacturing both a semiconductor crystalline film and semiconductor device
US20060208286A1 (en) * 2005-03-15 2006-09-21 Seiko Epson Corporation Method for manufacturing semiconductor device and semiconductor device
US8110471B2 (en) 2005-03-24 2012-02-07 Samsung Electronics Co., Ltd. Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
US20060216897A1 (en) * 2005-03-24 2006-09-28 Samsung Electronics Co., Ltd. Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
US20100068862A1 (en) * 2005-03-24 2010-03-18 Samsung Electronics Co., Ltd. Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
US7642578B2 (en) * 2005-03-24 2010-01-05 Samsung Electronics Co., Ltd. Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
US20080050897A1 (en) * 2006-08-23 2008-02-28 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for doping a fin-based semiconductor device
US20100314604A1 (en) * 2006-10-02 2010-12-16 Suk Sung-Dae Gate-all-around type semiconductor device and method of manufacturing the same
US7803675B2 (en) * 2006-10-02 2010-09-28 Samsung Electronics Co., Ltd. Gate-all-around type semiconductor device and method of manufacturing the same
US8395218B2 (en) 2006-10-02 2013-03-12 Samsung Electronics Co., Ltd. Gate-all-around type semiconductor device and method of manufacturing the same
US20080079041A1 (en) * 2006-10-02 2008-04-03 Samsung Electronics Co, Ltd. Gate-all-around type semiconductor device and method of manufacturing the same
US20080157206A1 (en) * 2006-10-16 2008-07-03 Elpida Memory, Inc. Semiconductor device and manufacturing method of the same
US7700456B2 (en) * 2006-10-16 2010-04-20 Elpida Memory, Inc. Semiconductor device and manufacturing method of the same
US20080277742A1 (en) * 2007-04-26 2008-11-13 Kabushiki Kaisha Toshiba Semiconductor device
US7554165B2 (en) 2007-04-26 2009-06-30 Kabushiki Kaisha Toshiba Semiconductor device
US20090302372A1 (en) * 2007-06-20 2009-12-10 International Business Machines Corporation Fin Field Effect Transistor Devices with Self-Aligned Source and Drain Regions
US8890261B2 (en) 2007-06-20 2014-11-18 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US8592280B2 (en) 2007-06-20 2013-11-26 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US20090026505A1 (en) * 2007-07-27 2009-01-29 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7915693B2 (en) 2007-07-27 2011-03-29 Kabushiki Kaisha Toshiba Semiconductor device with fin and silicide structure
US9490206B2 (en) * 2008-02-14 2016-11-08 Infineon Technologies Ag Electrical device and fabrication method
US20130009254A1 (en) * 2008-02-14 2013-01-10 Infineon Technologies Ag Electrical Device and Fabrication Method
US20100224914A1 (en) * 2009-03-05 2010-09-09 Nec Electronics Corporation Semiconductor device
US20120108016A1 (en) * 2009-03-06 2012-05-03 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US20110129978A1 (en) * 2009-12-01 2011-06-02 Kangguo Cheng Method and structure for forming finfets with multiple doping regions on a same chip
US20120007183A1 (en) * 2010-07-08 2012-01-12 International Business Machines Corporation Multi-gate Transistor Having Sidewall Contacts
US8536651B2 (en) 2010-07-08 2013-09-17 International Business Machines Corporation Multi-gate transistor having sidewall contacts
US8338256B2 (en) * 2010-07-08 2012-12-25 International Business Machines Corporation Multi-gate transistor having sidewall contacts
US8753964B2 (en) * 2011-01-27 2014-06-17 International Business Machines Corporation FinFET structure having fully silicided fin
US20120193712A1 (en) * 2011-01-27 2012-08-02 International Business Machines Corporation FinFET STRUCTURE HAVING FULLY SILICIDED FIN
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9029958B2 (en) 2012-01-09 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9379217B2 (en) 2012-01-09 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9911850B2 (en) 2012-01-09 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US20160111537A1 (en) * 2014-10-15 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
CN105529269A (en) * 2014-10-15 2016-04-27 台湾积体电路制造股份有限公司 Contact resistance reduction technique
US9543438B2 (en) * 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
TWI580036B (en) * 2014-10-15 2017-04-21 台灣積體電路製造股份有限公司 Semiconductor device and manufacturing method thereof
KR101751584B1 (en) * 2014-10-15 2017-06-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Contact resistance reduction technique
US10340269B2 (en) 2014-10-15 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique

Also Published As

Publication number Publication date
TW200522359A (en) 2005-07-01
TWI253754B (en) 2006-04-21
US7129550B2 (en) 2006-10-31
JP2005086024A (en) 2005-03-31
US20050051825A1 (en) 2005-03-10

Similar Documents

Publication Publication Date Title
US7129550B2 (en) Fin-shaped semiconductor device
JP6211673B2 (en) Trigate device and manufacturing method
KR100763542B1 (en) Method of manufacturing semiconductor device having multiple channels mos transistor
US7002207B2 (en) Field effect transistors having multiple stacked channels
KR100781580B1 (en) A dual structure finfet and the manufacturing method the same
US7385237B2 (en) Fin field effect transistors with low resistance contact structures
KR100748261B1 (en) Fin field effect transistor haiving low leakage current and method of manufacturing the finfet
KR100521384B1 (en) Method for fabricating a finfet in a semiconductor device
US7332774B2 (en) Multiple-gate MOS transistor and a method of manufacturing the same
JP4722405B2 (en) Transistor manufacturing method
US20060084215A1 (en) Semiconductor device and method for manufacturing the same
US8164137B2 (en) Multiple-gate MOS transistor using Si substrate and method of manufacturing the same
US20060131656A1 (en) CMOS semiconductor devices having elevated source and drain regions and methods of fabricating the same
JP2000012858A (en) Semiconductor device and manufacture thereof
KR20060046490A (en) Field effect transistor and fabrication method thereof
JP2005229107A (en) Field effect transistor and manufacturing method thereof
JP4450267B2 (en) SOI-MOS Field Effect Transistor Manufacturing Method
EP0969516A2 (en) MOSFET with structured source/drain region and method for producing the same
US7335945B2 (en) Multi-gate MOS transistor and method of manufacturing the same
KR100414735B1 (en) A semiconductor device and A method for forming the same
JP2005116592A (en) Field effect transistor
KR100578745B1 (en) Multi-gate MOS transistor and a method for manufacturing the same
US7211491B2 (en) Method of fabricating gate electrode of semiconductor device
US20090275184A1 (en) Fabricating Method of Semiconductor Device
JP2005101162A (en) Semiconductor device and method of manufacturing thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION