US20060170110A1 - Through-substrate interconnect structures and assemblies - Google Patents

Through-substrate interconnect structures and assemblies Download PDF

Info

Publication number
US20060170110A1
US20060170110A1 US11/384,069 US38406906A US2006170110A1 US 20060170110 A1 US20060170110 A1 US 20060170110A1 US 38406906 A US38406906 A US 38406906A US 2006170110 A1 US2006170110 A1 US 2006170110A1
Authority
US
United States
Prior art keywords
substrate
device assembly
semiconductor device
semiconductor die
projecting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/384,069
Inventor
Salman Akram
Kyle Kirby
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/384,069 priority Critical patent/US20060170110A1/en
Publication of US20060170110A1 publication Critical patent/US20060170110A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06596Structural arrangements for testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Definitions

  • the present invention relates to methods for forming conductive vias and electrical contact terminals for substrates, such as semiconductor wafers or other bulk substrates or portions thereof, for use as contact cards, test carriers, package interposers and other substrates, and the like, and the resulting structures and assemblies.
  • Semiconductor wafers and portions thereof are used for substrates for contact cards, test carriers, package substrates, and for other purposes.
  • the portion of such a substrate has circuits formed on one or both sides for the mounting of one or more semiconductor dice thereon, for making electrical contact to active circuitry of semiconductor dice of a wafer to be tested, and for other purposes.
  • Some portions of substrates, including semiconductor wafers may have vias extending therethrough filled with conductive material for forming interconnects (commonly known as a through wafer interconnect, or TWI) for connecting circuitry on one side of a portion of the semiconductor wafer to circuitry on the other side thereof, or to external circuitry.
  • TWI through wafer interconnect
  • a “via” refers to a hole or aperture having conductive material or a conductive member therein which extends through a substrate.
  • the via may be used for electrically connecting a semiconductor device, a component, apparatus, or circuitry on one side of the substrate to a semiconductor device, a component, apparatus, or circuitry on the other side of the substrate.
  • a via may typically be formed in a variety of substrates for a variety of uses, such as interposers for single die packages, interconnects for multi-die packages, and contact probe cards for temporarily connecting semiconductor dice to a test apparatus, for example.
  • a test apparatus is typically configured for temporary simultaneous connection of bond pads of a semiconductor die on a full or partial wafer to the test apparatus.
  • a pattern of conductive vias passing through a substrate employed as a test interposer are designed on one side to match the bond pad patterns of the semiconductor dice on the wafer or portion of a wafer, and on the other side to be connected to the test apparatus.
  • a prior method for constructing a via includes a first or precursor hole being typically formed by a so-called “trepan” process, whereby a very small router or drill is rotated while being moved radially to create the precursor hole.
  • the precursor hole is larger in diameter than the desired completed via to be formed.
  • an insulation (dielectric) layer is formed in the hole by either forming a thin silicon oxide layer on the hole's surface by exposure to an oxidizing atmosphere or by coating the hole with a insulative polymeric material after oxidizing the hole.
  • a suitable polymer such as ParyleneTM resin may be vapor deposited over the substrate including within each precursor hole while applying a negative pressure, i.e., vacuum, to the opposite end of the hole. Oxidation of the hole surfaces is required because adhesion of polymer to silicon is relatively poor while adhesion to the oxide is much improved.
  • the insulative polymeric material is drawn into each primary hole to fill the hole. The polymer is then cured, and a small diameter via hole is drilled (by percussion drill or laser) or otherwise formed in the hardened insulative polymeric material.
  • the via hole is then filled with a conductive material, typically a metal, metal alloy, or metal-containing material to provide a conductive path between the opposed surfaces of the substrate.
  • a conductive material typically a metal, metal alloy, or metal-containing material to provide a conductive path between the opposed surfaces of the substrate.
  • the conductive material of the via is insulated from the substrate itself by the insulative polymeric material. In this method of forming vias, dense spacing of vias is difficult to achieve.
  • FIG. 1A through FIG. 1F Another prior art method for forming vias in a semiconductor substrate is illustrated in drawing FIG. 1A through FIG. 1F .
  • Such a method is also generally illustrated in U.S. Pat. No. 5,166,097 to Tanielian, U.S. Pat. No. 5,063,177 to Geller et al., and U.S. Pat. No. 6,400,172 to Akram et al.
  • Illustrated in drawing FIG. 1A a silicon wafer 2 is provided with a thin layer 4 of silicon dioxide on at least both major, opposing surfaces.
  • a pattern 6 is then formed on the wafer 2 and a mask layer 8 is formed to prevent etching in non-via areas, as shown in drawing FIG. 1B .
  • FIG. 1A a silicon wafer 2 is provided with a thin layer 4 of silicon dioxide on at least both major, opposing surfaces.
  • a pattern 6 is then formed on the wafer 2 and a mask layer 8 is formed to prevent etching in non-via areas
  • etchant has been applied to both major surfaces to form feedthroughs 10 which meet in the middle of the wafer.
  • the wafer 2 is shown with the mask layer 8 removed.
  • a dielectric layer 12 is then formed over the wafer surfaces including the feedthrough side walls, as shown in drawing FIG. 1D .
  • a metal layer 14 is formed over the dielectric layer 12 .
  • the wafer is illustrated in drawing FIG. 1F as having a conductive material (shown in broken lines) placed in the feedthroughs 10 to complete the conductive vias 16 . It is noted that in order to isolate each via, the metal layer 14 must be configured to cover the feedthrough surfaces only, or be subsequently removed from the outer surfaces of the via and wafer.
  • each half-via 16 A, 16 B is pyramidal in shape, with a side angle 18 of about 54.7 degrees to the plane of wafer 2 .
  • the minimal ratio of via dimension 30 (of surface 22 ) to total via depth 32 (substrate thickness) will be in a range of about 0.45 to about 0.52, which is the reciprocal of the via's aspect ratio.
  • the aspect ratio of depth 32 to dimension 30 must be considerably larger than about 2.0 for a given substrate thickness.
  • FIG. 3 Illustrated in drawing FIG. 3 is an interposer wherein a method for attaching solder balls/bumps to a via 16 requires that one or both wafer surfaces are mechanically or chemically-mechanically thinned to produce surfaces 34 defining thinned wafer 2 .
  • the removal of material from wafer 2 results in exposure of the side surfaces 24 of the via 16 , to which a solder bump/ball 20 is wetted and bonded, and exposure of the substrate surface 34 .
  • Reflow of a bump/ball 20 results in solder extending about side surfaces 24 of the via 16 .
  • the bumps/balls 20 are susceptible to cracking, particularly at the corners 26 of the via 16 . Such cracking leads to break-off of solder from the via 16 due to failure of the via-to-bump adhesion. Without the application of a passivation layer 36 on the surface of the substrate, shorting failures are likely to occur.
  • a method for making deep trenches having enlarged bottoms or bases.
  • the method comprises applying a mask layer over a substrate, forming a hole in the mask layer and high energy plasma etching anisotropically to a desired depth.
  • a protecting layer is then applied over the hole surfaces and mask layer. Selected portions of the protecting layer are removed from the base surface, and the base is etched to a desired shape.
  • the present invention comprises methods for forming conductive vias, herein also known as through-wafer interconnects (TWIs), in substrates and resulting structures and assemblies.
  • TWIs through-wafer interconnects
  • FIG. 1A is a cross-sectional depiction of a first act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art
  • FIG. 1B is a cross-sectional depiction of a second act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art
  • FIG. 1C is a cross-sectional depiction of a third act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art
  • FIG. 1D is a cross-sectional depiction of a fourth act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art
  • FIG. 1E is a cross-sectional depiction of a fifth act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art
  • FIG. 1F is a cross-sectional depiction of a sixth act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art
  • FIG. 2 is an enlarged cross-sectional view of a conductive via in a semiconductor substrate in accordance with the prior art
  • FIG. 3 is an enlarged cross-sectional view of a conductive via in a semiconductor substrate according to FIG. 2 following etchback of substrate surfaces and formation of solder bumps on the via ends;
  • FIG. 4 is an enlarged cross-sectional view of a conductive via and solder bump attached thereto, as taken along line 4 - 4 of FIG. 3 ;
  • FIG. 5 is a perspective view of a multi-die wafer and a wafer-size contactor card adapted for connecting the wafer bond pads to a test apparatus, in accordance with the present invention
  • FIG. 6 is a side view of a multi-die wafer and contactor card in accordance with the present invention.
  • FIG. 7 is a cross-sectional view of a portion of a substrate used to form a contactor card in accordance with the invention.
  • FIG. 8 is a cross-sectional view of a portion of a substrate following a primary etch act for via formation in a contactor card in accordance with an embodiment of the invention
  • FIG. 9 is a cross-sectional view of a portion of a substrate following the formation of precursor holes in a contactor card in accordance with an embodiment of the invention.
  • FIG. 9A is an enlarged cross-sectional view of a portion of a substrate with a laser-formed precursor aperture through a substrate in accordance with an embodiment of the invention.
  • FIG. 10 is a cross-sectional view of a portion of a substrate following an aperture-enlarging shaping etch act in a contactor card in accordance with an embodiment of the invention
  • FIG. 10A is a cross-sectional view of a portion of a substrate following a central aperture-shaping etch act in a contactor card, as taken along line 10 A- 10 A of FIG. 10 ;
  • FIG. 11 is a cross-sectional view of a portion of a substrate following a rounding-etch act in shaped apertures of a contactor card in accordance with an embodiment of the present invention
  • FIG. 11A is a cross-sectional view of a portion of a substrate following a rounding-etch act in shaped apertures in a contactor card, as taken along line 11 A- 11 A of FIG. 11 ;
  • FIG. 12 is a cross-sectional view of a portion of a substrate following passivation of the surfaces of shaped/rounded apertures in a contactor card in accordance with an embodiment of the invention
  • FIG. 13 is a cross-sectional view of a portion of a substrate following filling of the passivated shaped/rounded apertures in a contactor card with a conductive material to form conductive vias in accordance with an embodiment of the invention
  • FIG. 13A is a cross-sectional view of a portion of a substrate following the application of a metal layer on the surfaces of passivation layers in shaped/rounded apertures in a contactor card in accordance with an embodiment of the invention
  • FIG. 13B is a cross-sectional view of a portion of a substrate of FIG. 13A in which a conductive material has been deposited over the metal layers in the shaped/rounded apertures in a contact card in accordance with an embodiment of the invention
  • FIG. 13C is an enlargement of a cross-sectional view of a portion of a substrate following filling of the passivated shaped/rounded apertures in a contactor card with a conductive material to form conductive vias in accordance with an embodiment of the invention
  • FIG. 14 is a cross-sectional view of a portion of a substrate following thinning of one side of a contactor card to expose the via ends in accordance with an embodiment of the invention
  • FIG. 14A is an enlarged cross-sectional view of a portion of a substrate following thinning of one side of a contactor card to expose a via end in accordance with an embodiment of the invention, showing attachment to a solder ball/bump;
  • FIG. 15 is a cross-sectional view of a portion of a substrate following the application of a metal to the via ends in a contactor card in accordance with an embodiment of the invention
  • FIG. 16 is a cross-sectional view of a portion of a substrate following two-sided thinning to expose via ends on both sides in a contactor card in accordance with an embodiment of the invention
  • FIG. 16A is an enlarged cross-sectional view of a portion of a substrate following two-sided thinning to expose via ends on both surfaces of a contactor card, showing via end attachment to solder balls/bumps in accordance with an embodiment of the invention
  • FIG. 16B is an enlarged cross-sectional view of a portion of a substrate following thinning of a first surface of a contactor card to expose one via end as a stud and in which the opposite via end is exposed as a surface generally coplanar with a second substrate surface according to an embodiment of the invention;
  • FIG. 17 is a cross-sectional view of a portion of a substrate showing aperture formation for constructing a via having differing end configurations in accordance with the present invention
  • FIG. 18 is a cross-sectional view of a portion of a substrate showing vias with differing end configurations resulting from the aperture formations shown in FIG. 17 and two-sided thinning, in accordance with embodiments of the present invention
  • FIG. 19 is a cross-sectional view of a portion of a substrate showing vias with differing end configurations resulting from the aperture formations shown in FIG. 17 and one-sided thinning, in accordance with embodiments of the present invention
  • FIG. 20 is a cross-sectional side view of a portion of an exemplary probe card formed of a substrate through which a plurality of vias is constructed in accordance with the present invention
  • FIG. 21 is a cross-sectional side view of a portion of a single package interconnect or interposer through which a plurality of vias is constructed in accordance with the present invention
  • FIG. 22 is a cross-sectional side view of a portion of another single package interconnect or interposer through which a plurality of vias is constructed in accordance with the present invention
  • FIG. 23 is a cross-sectional side view of a portion of a further embodiment of a single package interconnect or interposer through which a plurality of vias is constructed in accordance with the present invention
  • FIG. 24 is a cross-sectional side view of a portion of a multiple-die interposer/interconnect having a plurality of vias constructed in accordance with the present invention.
  • FIG. 25 is a cross-sectional side view of a portion of another multiple-die interposer/interconnect having a plurality of vias constructed in accordance with the present invention.
  • FIG. 26 is a cross-sectional side view of a portion of an additional multiple-die interposer/interconnect having a plurality of vias constructed in accordance with the present invention.
  • FIG. 27 is a cross-sectional side view of a portion of a further embodiment of a multiple-die interposer/interconnect having a plurality of vias constructed in accordance with the invention.
  • semiconductor wafers or portions thereof and substrates and components in which vias are to be formed are identified herein as “substrates” regardless of the purpose of the via or material of construction of the substrate or via.
  • substrate is used in reference to semiconductor wafers, semiconductor wafer portions, other bulk semiconductor substrates, semiconductor devices, interposers, probe test cards, and the like.
  • the invention will be illustrated as applied to construction of a silicon, wafer-scale test card, and methods of making such vias in a wide variety of components are described, as well as the resulting components so made and associated structures and assemblies.
  • the vias made by methods of the present invention have via ends which may be configured to have projecting ends comprising mesas or pillars of reduced size, flared or enlarged ends, or a combination thereof.
  • One exemplary embodiment of the method of the invention may be generally described as comprising (a) providing a semiconductor substrate with a mask layer of oxide or nitride on one or both major surfaces, (b) laser-drilling a precursor aperture completely through the substrate and mask layers, to provide a heat-affected zone of substrate material shielded by the overlying mask layer on one or both sides of the substrate, (c) subjecting the precursor aperture to shape etching, to remove the heat-affected material, leaving an aperture having an enlarged portion in the center of the substrate, tapering to at least one smaller aperture opening, and having a generally square cross-section, (d) lining the etched aperture with a passivation material, (e) filling the passivated aperture with a conductive via material to produce the conductive via, and (f) thinning the substrate on one or both sides to expose via end(s) having a generally trapezoidal shape.
  • an additional rounding etch act following the shaping etch rounds the corners of the shape-etched aperture, producing a via end with a frustoconical shape.
  • the substrate may be thinned by etching, by a mechanical abrasion process or a chemical-mechanical polishing or planarization (CMP) process to expose the via end (contactor end or stud) to a desired standoff distance.
  • CMP chemical-mechanical polishing or planarization
  • the precursor hole may be formed by anisotropic etching from one or both surfaces of the substrate.
  • the shape etching may be conducted with a tetramethyl ammonium hydroxide (TMAH) solution, which results in a distinctive undercut of the opening in the mask layer.
  • TMAH tetramethyl ammonium hydroxide
  • the precise shape of a resulting via end varies, depending upon laser strength and duration as well as etch strength and duration, and other factors.
  • the shaped aperture, or shaped-and-rounded aperture is filled with a conductive material which may comprise a metal, metal powder, a metal or alloy powder, a flowable conductive photopolymer, a thermoplastic conductive resin, resin-covered particulate metal material, or other suitable material which may be used to form a solid conductive via.
  • a conductive material which may comprise a metal, metal powder, a metal or alloy powder, a flowable conductive photopolymer, a thermoplastic conductive resin, resin-covered particulate metal material, or other suitable material which may be used to form a solid conductive via.
  • the shaped aperture or shaped-and-rounded aperture may be filled with the conductive material or first coating the aperture walls with a metal, followed by filling of the aperture.
  • the method of the invention may be used to form via hole or aperture diameters of conventional size, i.e. about 17 ⁇ m to about 150 ⁇ m, as well as much smaller via holes or apertures applicable to enhanced miniaturization of the future.
  • the via holes or apertures are formed to produce trapezoidal or frustoconical via ends which may be directly attached to bond pads or optionally to solder bumps/balls.
  • the method of the invention provides substantial advantages.
  • very small diameter vias may be formed in a dense pattern in a semiconductor substrate.
  • the vias may be rapidly and precisely formed.
  • the shape of the via ends of the via holes or apertures is enhanced to enable direct attachment to small, finely pitched bond pads. If the via ends are employed with solder balls/bumps, the danger of ball cracking, solder shorts, etc. is much reduced in comparison to the state of the art. Inasmuch as a non-conductive layer may girdle the via ends, and solder wetting and bonding areas do not generally overlie the bare substrate, further passivation of the substrate area surrounding the vias is typically unnecessary.
  • the invention will be illustrated as applied to construction of a silicon wafer-scale test card having vias and methods of making such vias in a wide variety of components are described, as well as the resulting components so made.
  • the vias made by methods of the present invention have via ends which may be configured as projecting or protruding ends comprising mesas or pillars of reduced size.
  • a wafer test contactor card 50 also commonly termed a “probe” card, may be produced for the testing of a large number of integrated circuit or semiconductor dice 42 in, for example, a substrate comprising a silicon wafer 40 .
  • the semiconductor dice 42 are fabricated in wafer 40 for subsequent separation along cut lines 48 .
  • FIG. 5 illustrates a wafer test contactor card 50 .
  • the contactor card 50 may have a large number of vias or TWIs 70 having first ends 52 which are aligned with corresponding bond pads 46 on the active surface 44 of each die 42 of the wafer 40 .
  • the first ends 52 and second ends 54 also called stud ends herein, are shown as having a generally truncated pyramidal or truncated conical shape, in contrast to the shape of prior art studs previously described.
  • the first ends 52 of vias 70 may be configured to directly contact bond pads 46 , or to accommodate the attachment of solder or other metallic “balls” or “bumps” 56 , or to be joined to metal traces or wires or other types of conductive structures of semiconductor dice 42 .
  • the second ends 54 as illustrated in drawing FIG.
  • first ends 52 may be employed to directly contact bond pads 46 .
  • the methods of the invention enables small via diameter and pitch, i.e. spacing.
  • Small via spacing may be achieved with high precision (accuracy) and with small feature dimensions, i.e. resolution with high repeatability.
  • the end shape(s) of the vias 70 enables use of solder balls/bumps 56 thereon without the cracking problems with acute angled ends. Smaller solder connections may be used for permanent connections than is possible in the state of the art.
  • the via ends 52 and/or 54 may be directly contacted with a bond pad 46 or other metallization, or bonded thereto, without using intervening solder balls/bumps 56 .
  • the sizes of bond pads 46 may be significantly reduced, i.e., reduced to 5 ⁇ 5 microns or even as small as 2 ⁇ 2 microns, and the size of solder balls, if used, may be correspondingly reduced.
  • FIGS. 7 through 14 are acts common to each of the embodiments of the inventions as illustrated herein, comprising (a) providing a substrate 60 of semiconductor material, (b) providing a dielectric etch mask layer 68 on at least one surface 62 , 64 of the substrate 60 , (c) forming a precursor aperture 80 A through the substrate 60 and etch mask layer(s) 68 , (d) conducting a shaping etching to form a shaped aperture 80 B with lateral enlargement in a central region 108 and sloped etch portions 110 , 112 extending from the central region 108 to terminate at an etch mask layer 68 , (e) optionally, conducting a “rounding” etch to change the aperture's cross-sectional shape from square to rounded, (f) providing a passivation layer 92 over the side wall surfaces 76 of the shaped aperture 80 B or rounded aperture 80 C, (g) filling the passivated aperture with one or more conductive materials 100 to form a conductive via
  • each of the acts (a) through (h) and modifications thereof of the various embodiments of inventions are discussed in further detail, infra. It is understood that the terms “upper” and “lower” are used herein to define opposed positions of a substrate surface, via ends, and the like, rather than the actual position thereof. Likewise, the terms “first” and “second” do not refer to a specific orientation.
  • a substrate 60 of a semiconductor material such as, for example, a silicon wafer is illustrated having a first surface 62 and a second surface 64 , both of which are covered with an etch mask layer 68 such as silicon dioxide or nitride.
  • an etch mask layer 68 such as silicon dioxide or nitride.
  • one of the surfaces 62 , 64 may be left unmasked and unpassivated to produce a larger, even flared via end as described, infra.
  • the substrate 60 is illustrated as a semiconductor wafer with total thickness 66 and configured for the creation of vias 70 having central axes 106 and pitch 72 . While the examples shown herein relate primarily to silicon or semiconductor wafers, the methods described herein may be used to form conductive vias 70 in other semiconductor materials.
  • precursor apertures 80 A are formed in the substrate 60 and pass completely through the substrate about central axes 106 .
  • the precursor apertures 80 A are formed by laser cutting or ablation through the substrate 60 including outer etch mask layers 68 using a laser beam 124 , as illustrated in FIG. 9A .
  • the apertures 80 A are shown in this embodiment of the invention having a generally uniform cross-section along axis 106 .
  • the cross-sectional shape of the precursor apertures 70 may be generally square, oblong or circular.
  • the laser power, duration and beam focus are controlled as known in the art to produce a generally uniform aperture 80 A of the desired shape.
  • the width 78 of the resulting aperture openings 77 may be as narrow as obtainable by laser ablation.
  • aperture opening widths 78 as narrow as about 17 to about 30 ⁇ m may be precisely formed with current state-of-the-art laser equipment.
  • the minimum usable opening width 78 A may be limited by the ability to effectively passivate the internal side wall surface 76 of the completed aperture and fill the aperture with electrically conductive material through a small opening, particularly when the aperture has a high depth-to-diameter ratio.
  • an act prior to the structure depicted in FIG. 9 replaces laser cutting with an etching act.
  • guide holes 74 are first formed, e.g., etched in the etch mask layers 68 about axes 106 .
  • the precursor aperture 80 A is then formed ( FIG. 9 ) by dry anisotropic etching of silicon from one or both surfaces 62 , 64 of the substrate 60 . Dry anisotropic etching, commonly known as reactive ion etching (RIE), is well known in the art.
  • RIE reactive ion etching
  • the next major act comprises a shaping etch to remove silicon surrounding precursor aperture 80 A and laterally etch the precursor aperture 80 A.
  • the precursor aperture 80 A is formed by laser
  • the substrate's silicon outside of the immediate aperture 80 A is heat-damaged (commonly termed a “heat-affected zone,” or “HAZ”) which may enhance removal of the material by etching.
  • HZ heat-affected zone
  • the heat-affected zone 81 includes a central zone 81 A with substantially uniform cross-section and end zones 81 B between the central zone and the etch mask layers 68 , wherein the degree of undercutting of silicon is approximately proportional to the distance from the etch mask layer 68 .
  • a preferred method of using a shaping etch comprises the application of tetramethyl ammonium hydroxide (TMAH) as a 9:1 ratio of TMAH solution to deionized (DI) water.
  • TMAH tetramethyl ammonium hydroxide
  • DI deionized
  • the etchant is applied by submersion of substrate 60 into a heated wet process tank full of the aforementioned TMAH and DI water solution.
  • the cross-section of the shaped aperture 80 B so formed is generally square (see FIG. 10A ) rather than circular, because the TMAH etchant solution is preferential to 100 or 011 crystallographic orientations of the silicon.
  • the end portions 82 , 84 of the shaped aperture 80 B are thus generally trapezoidal in shape, with outer corners 83 and base width 88 (which also defines the width of central via portion 86 ) and opening width 78 .
  • Other etching systems may be alternatively used, including for example, dry “Bosch” style etching using an inductively coupled plasma for deep silicon etching and the aforementioned dry RIE process, as well as other known etch processes suitable for the material of substrate 60 such as, for example, the Advanced Silicon Etch process offered by Surface Technology Systems.
  • HF solutions and KOH solutions as well as more concentrated TMAH solutions are suitable etchants for silicon, but may require masking of selected portions of substrate 60 to avoid damage to metallization.
  • Aperture shapes will vary depending upon the etching system employed and the material and crystallographic orientation of the material of substrate 60 .
  • a shaped aperture 80 B may alternatively be formed by a variation of a method for forming enlarged aperture regions of a deep trench or hole.
  • a masked substrate is etched using a fluorine-containing etchant gas or vapor in the absence of a plasma through an opening in the mask to a desired depth with a base.
  • a layer of protecting material is applied to the base and surfaces of the hole and mask, then removed from the base of the hole. Further etching is conducted to enlarge the hole in the region of the base.
  • This method may be used to selectively shape an aperture to a configuration of this invention.
  • the disclosure of the U.S. Pat. No. 6,355,181 to McQuarrie is incorporated herein by reference.
  • a via end 52 , 54 is to have a discrete conductive element in the form of a solder ball/bump 56 bonded thereto, producing stress points at comers 83
  • the shaped aperture 80 B may be subjected to a further rounding-etch act, producing a more circular via 70 and virtually eliminating the comers.
  • an isotropic etch results in a more circular aperture 80 C with rounded openings 77 of diameter 78 B and enlarged central portions 86 of diameter 90 .
  • etchants may be utilized, including for example, a wet etch with a combination of ammonium fluoride, phosphoric acid, hydrogen peroxide and DI water.
  • isotropic etchants may alternatively be used to achieve uniform etching in all directions, including a dry etch with either hydrobromic acid (HBr) or HBrO 2 SF 6 .
  • HBr hydrobromic acid
  • HBrO 2 SF 6 HBrO 2 SF 6
  • an insulative (passivation) layer 92 is deposited or formed on the side wall surfaces 76 of the shaped aperture 80 B or shaped and rounded aperture 80 C, creating passivated aperture 80 D in preparation for metallizing the aperture.
  • the passivation layer 92 may comprise silicon oxide, silicon nitride, or another material, including one of a wide variety of organic (polymeric) materials which are available for passivation.
  • the material may be applied by chemical vapor deposition (CVD) or other deposition method.
  • An oxide layer may be formed by oxidation of the material of substrate 60 , or deposition. Any effective method for forming a thin passivation layer 92 on the aperture side wall surfaces 76 may be utilized.
  • the passivation layer 92 extends to each end 52 , 54 of the aperture 80 B, 80 C of the unthinned substrate 60 to insulate the conductive material of via 70 which is to be formed.
  • the passivated aperture 80 D is then “metallized” by filling with a conductive material or materials 100 , forming a conductive pathway or via 70 spanning the thickness of the substrate 60 .
  • the particular conductive material(s) 100 may vary, and the method of deposition may also vary.
  • the conductive filler material 100 may comprise a solder such as a tin/lead material, or copper, nickel, silver, tungsten, or other metal or alloy.
  • Electroplating or electroless plating techniques may be used to fill passivated aperture 80 D. Copper, aluminum and other metals may also be deposited by a metalorganic chemical vapor deposition (MOCVD) process.
  • MOCVD metalorganic chemical vapor deposition
  • the conductive material may comprise a conductive polymer or conductive material entrained in a polymer, such as conductive or conductor-filled epoxy.
  • the polymer may be placed in a passivated aperture 80 D by needle dispenser, chemical vapor deposition (CVD) or other means known in the art, and cured to a solidified state.
  • Nano-size particles of a metal, such as silver, in an organic carrier may also be placed in the aperture 80 D and converted to a cohesive solid by heating methods known in the art.
  • Conductive material-filled aperture 80 E is depicted in FIG. 13 .
  • any appropriate method for filling a narrow aperture with the particular material 100 may be used.
  • the surfaces 94 of passivation layer 92 are first coated with a thin layer of nickel, copper or tungsten as a “seed layer” 118 by an appropriate method such as chemical vapor deposition (CVD) or plasma-enhanced chemical vapor deposition (PECVD), and then (see FIG. 13B ) the aperture is filled with a conductive material 100 , by an electroless deposition process, for example.
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • a metal seed layer 118 may be deposited on surfaces 94 of the passivation layer 92 and a metallic filler conductive material 100 applied by electroplating within the aperture 80 D, or an electrode placed across one side of substrate 60 and electroplating effected thereon to fill passivated aperture 80 D from one end thereof.
  • a conductive metal filler material 100 such as copper, is then electroplated from a solution entering top aperture opening 77 A upwardly from the bottom to fill passivated aperture 80 D.
  • conductive material 100 is solder
  • dip or wave soldering may be employed to fill the passivated aperture 80 D.
  • a solder ball may be placed over each passivated aperture 80 D, heated to reflow and then drawn, as by a vacuum or by capillary action if passivated aperture 80 D is lined with a solder-wettable material, into apertures 80 D.
  • a solder paste may be stenciled or squeegeed into the apertures 80 D followed by heating to reflow the solder and drive off organics and cooling to solidify the solder alloy. Illustrated in drawing FIG. 13 , the degree to which the first surface 62 is to be thinned in a following act is indicated as thinning line 96 .
  • FIG. 14 the next act is seen to be a thinning of first substrate surface 62 (to thinning line 96 of FIG. 13 ) to expose the first ends 52 of vias 70 as studs projecting from bare thinned line 120 , resulting in a substrate thickness 101 .
  • Thinning may be conducted by conventional methods such as etching, backgrinding or abrasive CMP techniques, as known in the art.
  • the opposing substrate surface 54 may also be thinned to expose the second ends 54 of vias 70 as studs projecting from bare thinned surface 122 , as shown in FIG. 16 .
  • the substrate 60 itself is thus further thinned to a thickness 104 .
  • via ends 52 are configured to produce an improved connection with solder balls/bumps
  • these structures may, alternatively, be used when substrate 60 is configured (for example) as a probe card for direct contact with a conductor e.g., bond pad or other metallization of a semiconductor die, provided that the standoff distance 98 (see FIG. 14 ) is sufficient.
  • a conductor e.g., bond pad or other metallization of a semiconductor die
  • one or both of exposed, protruding via ends 52 , 54 may be coated with a metal overlay 102 such as copper, silver, gold, tungsten or nickel.
  • a metal overlay 102 such as copper, silver, gold, tungsten or nickel.
  • nickel may be used to coat a via end 52 , 54 to be contacted with solder or a via end 52 , 54 comprising solder.
  • a copper via end 52 , 54 may, for example, be plated with gold.
  • Such a coating of via end 52 is illustrated in drawing FIG. 15 .
  • the particular overlay metal may be chosen and applied to provide an electrical connection which is of low resistance and ohmic, and which may enhance cohesion between a via end 52 or 54 and a bond pad 46 or metallization of a semiconductor die, not shown in this figure.
  • the via end 52 , 54 may, optionally, include at least one raised projection 130 configured to contact and/or penetrate bond pads 46 , as depicted in FIG. 16A .
  • Such projections 130 may be formed on a via end 54 , for example, by methods described in U.S. Pat. No. 5,541,525 to Wood et al., assigned to the assignee of the present application, the disclosure of which is incorporated herein by reference thereto.
  • the raised projections 130 may comprise sharp spurs, rounded domes, or otherwise shaped projections which enhance connection to a bond pad or other metallization.
  • the opposite via end 52 is shown as being bare, i.e. without a metal overlay 102 or raised projections 130 thereon.
  • a solder ball or bump 56 may be attached to a first projecting via end 52 for electrical connection to a bond pad or metallization of another component.
  • the exposed portion of second, opposed via end 54 may be connected to a conductive member, not shown, such as a solder ball/bump, wire bond, etc. Because of passivation layer 92 about the periphery of via ends 52 , 54 , it may not be generally necessary to provide a further passivation layer 128 as shown in FIG. 14A on the thinned substrate line 120 and/or surface 122 .
  • a passivation layer 128 may be applied to the thinned line 120 and/or surface 122 to prevent such shorting. It is further contemplated that passivation layer 92 may remain on projecting via ends as shown in broken lines to constrain the wetting of conductive member such as a solder ball/bump to the distal end surfaces of via ends 52 , 54 , so that a smaller solder ball/bump 56 ′ may lie over the end surfaces, again as shown in broken lines.
  • one embodiment of the invention includes the full exposure of one via end 52 , and the opposite via end 54 may be leveled, typically during the substrate thinning as effected by planarization, to have an end surface 132 which is approximately co-planar with thinned surface 122 .
  • thinning may be effected so that via end 54 exhibits a positive standoff less than would otherwise occur with full thinning to thinned surface 122 .
  • the methods of the invention may be used to produce via end configurations which are non-uniform, that is, one via end 52 differs from the opposite via end 54 in shape, size, standoff distance, composition or other configuration variable. Illustrated in drawing FIG. 17 is a first shaped via aperture 80 X having a first end portion 82 configured as a reduced stud, and a second end portion 84 flared in accordance with the prior art.
  • the shaped via aperture 80 X may be formed by a combination of laser cutting from both surfaces 62 , 64 , followed by etching to complete the internal via shape. Alternatively, etching of a substrate 60 having no aperture mouth constraining etch mask layer on surface 64 may be conducted to produce the indicated aperture shape.
  • a semi-isotropic etch using TMAH for example will produce an aperture resulting in a flared via end.
  • the substrate may be left unthinned, or be thinned as previously described to produce a projecting via end portion 84 .
  • the completed via ends 52 , 54 are illustrated in drawing FIG. 18 with respect to via 70 X.
  • the second via aperture end portion 84 has a “post” configuration of uniform cross-sectional dimensions, as formed by anisotropic etching from one or both surfaces 62 , 64 (without an etch mask layer 68 over surface 64 ), or by laser cutting from via end 52 followed by etching, all without an etch mask layer 68 over surface 64 .
  • the resulting via 70 Y having via ends 52 A and 54 A is depicted in FIG. 18 .
  • the via end 54 may be leveled or thinned in the thinning act of second substrate surface 64 to a reduced stand-off distance 98 (see FIG. 14 ).
  • the substrate surface 64 may alternatively be left unthinned, so that via ends 54 remain substantially within the substrate 60 , separated therefrom by passivation layer 92 . As shown in FIG. 19 , the end surfaces 132 of the second ends 54 , 54 A are exposed for connection to solder balls/bumps 56 as shown, or to metallization layers or other connecting structures, not shown.
  • via ends 52 and 54 may be utilized in any combination in a substrate 60 to achieve a desirable connection result.
  • FIGS. 20 through 27 Illustrated in drawing FIGS. 20 through 27 are various types of apparatus which may be formed by use of the via interconnections of the invention. These embodiments of the invention are not exhaustive in nature, but are merely examples.
  • the substrate 60 with vias 70 comprises an exemplary wafer test contactor, or probe, card 50 of the invention.
  • the first ends 52 of the vias 70 comprise contactors of the invention for temporary direct contact with bond pads 46 on the active surface 44 of a semiconductor die 42 .
  • the active surface 44 of semiconductor die 42 is generally parallel to the opposing thinned line 120 of the probe card 50 .
  • the opposing via ends 54 are shown having exposed surfaces 132 generally coplanar with substrate surface 64 , for connection to a test circuit, not shown, via metallization traces, wire bonds, or the like.
  • via ends 54 are shown as being proximate an unthinned surface 64 of the substrate 60 , it is understood that the substrate surface 64 may be thinned to expose via ends 54 and achieve a similar projecting result as with via ends 52 , as illustrated in drawing FIG. 16B .
  • FIG. 21 Illustrated in drawing FIG. 21 is a single package application of the invention in which bond pads 46 of a semiconductor die 42 are directly joined to conductive via ends 52 , which may comprise a metal or alloy, of a substrate 60 of a package interconnect or interposer 50 ′.
  • the opposed ends 54 of the vias 70 are connected to metallization traces 138 , the distal ends of which are connected to discrete conductive elements in the form of, for example, solder balls 56 A, for attachment to terminals of a circuit board or the like.
  • Substantially flat end surfaces of via ends 52 are shown with a metal overlay 102 to enhance contact with bond pads 46 , metal overlay 102 extending down a portion of the sides of via ends 52 .
  • An insulating dielectric material 134 is placed in the space between the semiconductor die 42 and package interconnect or interposer 50 ′.
  • a polymeric packaging layer 136 is shown surrounding the external balls/bumps 56 A.
  • FIG. 22 another form of a single package interconnect or interposer 50 ′′ is shown which differs from the embodiment of the invention illustrated in drawing FIG. 21 in that the die bond pads 46 are connected to the via ends 52 through discrete conductive elements in the form of solder balls/bumps 56 .
  • discrete conductive elements in the form of external solder balls/bumps 56 A are connected to via ends 54 by metallization traces 138 .
  • a further variation of single package interconnect or interposer 50 ′′′ is illustrated in drawing FIG. 23 , having a substrate 60 with vias 70 passing therethrough.
  • First via ends 52 are frustoconical or trapezoidal in shape, i.e. are mesa-shaped, as prepared by the method of this invention, and are shown directly impinging on contact sites 142 on a circuit board or other carrier substrate 140 .
  • the second surface 64 of the substrate 60 is shown as being unthinned, whereby only the end surface 132 of each via 70 is accessible for metallization traces 138 .
  • Discrete conductive elements in the form of solder balls or bumps 56 are bonded to the metallization traces 138 and bond pads 46 on the active surface 44 of semiconductor die 42 .
  • a dielectric material 134 may be disposed in the volume between semiconductor die 42 and interposer 50 ′′′.
  • TWIs or vias 70 of the invention is illustrated in drawing FIG. 24 , wherein the vias 70 pass through non-active regions of a second semiconductor die 42 A, connecting bond pads of a first semiconductor die 42 to conductive sites 142 on a circuit board 140 .
  • the substrate 60 identified as a second semiconductor die 42 A may, alternatively, comprise an interconnect substrate or interposer 50 ′′′′.
  • the interconnect substrate or interposer 50 ′′′ is shown in this embodiment as being inverted, when compared to the embodiment of the invention illustrated in drawing FIG. 23 .
  • first via ends 52 frustoconical or trapezoidal
  • Second via ends 54 are in contact with metallization traces 138 .
  • the volume between semiconductor die 42 and substrate 60 is shown as having been filled with a dielectric material 134 .
  • FIGS. 25, 26 and 27 Illustrated in drawing FIGS. 25, 26 and 27 are three exemplary configurations of a multi-die interconnect or interposer 50 x , and 50 y , respectively, for connecting a first semiconductor die 42 and a second semiconductor die 42 A to a carrier substrate 140 such as a circuit board, etc.
  • a multi-level interconnect 50 x has a further thinned, recessed region 144 for accommodating a second semiconductor die 42 A.
  • Vias 70 and 70 A are provided for respective connection to bond pads 46 of the first semiconductor die 42 and bond pads 46 A of the second semiconductor die 42 A, and for direct connection or (as shown) discrete conductive element connection in the form of solder balls/bumps 56 A to a carrier substrate 140 such as a circuit board.
  • the via ends 52 , 54 are uniformly shown as having the trapezoidal or frustoconical shapes. Optionally, some of the via ends 52 , 54 may be of the flared or post configuration as described previously.
  • the semiconductor dice 42 , 42 A are superimposed or “stacked,” while in drawing FIG. 27 , the semiconductor dice 42 , 42 A are arranged to be substantially coplanar on interconnect 50 y . In each of these embodiments, additional semiconductor dice may be accommodated by additional stacking or co-planar additions.
  • interconnect or interposer are contemplated, by use of variations in via end configurations, die orientation, numbers of dice, and the like.
  • solder connections may be readily formed at dimensions smaller than currently used. It is believed that the invention enables the use of die bond pads as small as about 2 ⁇ 2 microns.

Abstract

Through-substrate interconnect structures and assemblies are disclosed. A substrate includes at least one via passing therethrough. The via may have an enlarged central portion, and one or more end portions which taper to smaller end surfaces. The one or more via end portions may be trapezoidal in shape. The one or more via end portions may have a rounded, i.e., frustoconical, shape. The shape is conducive to improved solder ball/bump attachment, and enables forming vias of very small diameter and pitch.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of application Ser. No. 11/138,544, filed May 26, 2005, pending, which claims the benefit of Provisional Application Ser. No. 60/606,355, filed Aug. 31, 2004.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to methods for forming conductive vias and electrical contact terminals for substrates, such as semiconductor wafers or other bulk substrates or portions thereof, for use as contact cards, test carriers, package interposers and other substrates, and the like, and the resulting structures and assemblies.
  • 2. State of the Art
  • Semiconductor wafers and portions thereof are used for substrates for contact cards, test carriers, package substrates, and for other purposes. Typically, the portion of such a substrate has circuits formed on one or both sides for the mounting of one or more semiconductor dice thereon, for making electrical contact to active circuitry of semiconductor dice of a wafer to be tested, and for other purposes. Some portions of substrates, including semiconductor wafers, may have vias extending therethrough filled with conductive material for forming interconnects (commonly known as a through wafer interconnect, or TWI) for connecting circuitry on one side of a portion of the semiconductor wafer to circuitry on the other side thereof, or to external circuitry.
  • As used herein, a “via” refers to a hole or aperture having conductive material or a conductive member therein which extends through a substrate. The via may be used for electrically connecting a semiconductor device, a component, apparatus, or circuitry on one side of the substrate to a semiconductor device, a component, apparatus, or circuitry on the other side of the substrate. A via may typically be formed in a variety of substrates for a variety of uses, such as interposers for single die packages, interconnects for multi-die packages, and contact probe cards for temporarily connecting semiconductor dice to a test apparatus, for example. For example, a test apparatus is typically configured for temporary simultaneous connection of bond pads of a semiconductor die on a full or partial wafer to the test apparatus. A pattern of conductive vias passing through a substrate employed as a test interposer are designed on one side to match the bond pad patterns of the semiconductor dice on the wafer or portion of a wafer, and on the other side to be connected to the test apparatus.
  • Where a via is to be formed through a semiconductive material such as silicon, a prior method for constructing a via includes a first or precursor hole being typically formed by a so-called “trepan” process, whereby a very small router or drill is rotated while being moved radially to create the precursor hole. The precursor hole is larger in diameter than the desired completed via to be formed. Following precursor hole formation, an insulation (dielectric) layer is formed in the hole by either forming a thin silicon oxide layer on the hole's surface by exposure to an oxidizing atmosphere or by coating the hole with a insulative polymeric material after oxidizing the hole. When a polymeric insulative material coating is desired, a suitable polymer such as Parylene™ resin may be vapor deposited over the substrate including within each precursor hole while applying a negative pressure, i.e., vacuum, to the opposite end of the hole. Oxidation of the hole surfaces is required because adhesion of polymer to silicon is relatively poor while adhesion to the oxide is much improved. The insulative polymeric material is drawn into each primary hole to fill the hole. The polymer is then cured, and a small diameter via hole is drilled (by percussion drill or laser) or otherwise formed in the hardened insulative polymeric material. The via hole is then filled with a conductive material, typically a metal, metal alloy, or metal-containing material to provide a conductive path between the opposed surfaces of the substrate. The conductive material of the via is insulated from the substrate itself by the insulative polymeric material. In this method of forming vias, dense spacing of vias is difficult to achieve.
  • Another prior art method for forming vias in a semiconductor substrate is illustrated in drawing FIG. 1A through FIG. 1F. Such a method is also generally illustrated in U.S. Pat. No. 5,166,097 to Tanielian, U.S. Pat. No. 5,063,177 to Geller et al., and U.S. Pat. No. 6,400,172 to Akram et al. Illustrated in drawing FIG. 1A, a silicon wafer 2 is provided with a thin layer 4 of silicon dioxide on at least both major, opposing surfaces. A pattern 6 is then formed on the wafer 2 and a mask layer 8 is formed to prevent etching in non-via areas, as shown in drawing FIG. 1B. In drawing FIG. 1C, etchant has been applied to both major surfaces to form feedthroughs 10 which meet in the middle of the wafer. The wafer 2 is shown with the mask layer 8 removed. A dielectric layer 12 is then formed over the wafer surfaces including the feedthrough side walls, as shown in drawing FIG. 1D. In the next act, illustrated in drawing FIG. 1E, a metal layer 14 is formed over the dielectric layer 12. The wafer is illustrated in drawing FIG. 1F as having a conductive material (shown in broken lines) placed in the feedthroughs 10 to complete the conductive vias 16. It is noted that in order to isolate each via, the metal layer 14 must be configured to cover the feedthrough surfaces only, or be subsequently removed from the outer surfaces of the via and wafer.
  • As illustrated in U.S. Pat. No. 5,166,097 to Tanielian, in U.S. Pat. No. 5,063,177 to Geller et al., and in U.S. Pat. No. 6,400,172 to Akram et al., the cross-sectional shape of the feedthrough 10 and via 16 is generally that of an hour-glass, with the greatest cross-sectional dimension(s) located at the wafer surfaces. Illustrated in drawing FIG. 2 is an enlarged portion of drawing FIG. 1E. In a preferred embodiment of Tanielian, each half-via 16A, 16B is pyramidal in shape, with a side angle 18 of about 54.7 degrees to the plane of wafer 2. Thus, in this embodiment of Tanielian the minimal ratio of via dimension 30 (of surface 22) to total via depth 32 (substrate thickness) will be in a range of about 0.45 to about 0.52, which is the reciprocal of the via's aspect ratio. As electronic components are becoming increasingly dense, it is necessary to decrease the lateral size or diameter as well as spacing or pitch of TWIs for increased TWI density. To achieve the desired feature densities for TWIs in future electronic components, the aspect ratio of depth 32 to dimension 30 must be considerably larger than about 2.0 for a given substrate thickness.
  • Illustrated in drawing FIG. 3 is an interposer wherein a method for attaching solder balls/bumps to a via 16 requires that one or both wafer surfaces are mechanically or chemically-mechanically thinned to produce surfaces 34 defining thinned wafer 2. The removal of material from wafer 2 results in exposure of the side surfaces 24 of the via 16, to which a solder bump/ball 20 is wetted and bonded, and exposure of the substrate surface 34. Reflow of a bump/ball 20 results in solder extending about side surfaces 24 of the via 16. Inasmuch as the outer surfaces 22 of the via 16 significantly overlie the substrate surfaces 34, the likelihood of inadvertent contact of solder from ball/bump 20 with the surface 34 increases, and shorting of the via 16 to wafer 2 may occur. It therefore becomes a requirement to provide a passivation layer 36 over surfaces 34 proximate solder/bumps/balls 20, as shown.
  • In this type of via-to-bump connection, the bumps/balls 20 are susceptible to cracking, particularly at the corners 26 of the via 16. Such cracking leads to break-off of solder from the via 16 due to failure of the via-to-bump adhesion. Without the application of a passivation layer 36 on the surface of the substrate, shorting failures are likely to occur.
  • In U.S. Pat. No. 6,355,181 to McQuarrie et al., a method is disclosed for making deep trenches having enlarged bottoms or bases. The method comprises applying a mask layer over a substrate, forming a hole in the mask layer and high energy plasma etching anisotropically to a desired depth. A protecting layer is then applied over the hole surfaces and mask layer. Selected portions of the protecting layer are removed from the base surface, and the base is etched to a desired shape.
  • It is desirable that the aforementioned disadvantages of the prior art be minimized.
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention comprises methods for forming conductive vias, herein also known as through-wafer interconnects (TWIs), in substrates and resulting structures and assemblies.
  • Other features and advantages of the present invention will become apparent to those of skill in the art through consideration of the ensuing detailed description of the invention, the accompanying drawings, and the appended claims.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • In the drawings, which depict exemplary embodiments of various features of the present invention, and in which various elements are not necessarily to scale:
  • FIG. 1A is a cross-sectional depiction of a first act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art;
  • FIG. 1B is a cross-sectional depiction of a second act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art;
  • FIG. 1C is a cross-sectional depiction of a third act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art;
  • FIG. 1D is a cross-sectional depiction of a fourth act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art;
  • FIG. 1E is a cross-sectional depiction of a fifth act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art;
  • FIG. 1F is a cross-sectional depiction of a sixth act in the formation of conductive vias in a semiconductor substrate in accordance with the prior art;
  • FIG. 2 is an enlarged cross-sectional view of a conductive via in a semiconductor substrate in accordance with the prior art;
  • FIG. 3 is an enlarged cross-sectional view of a conductive via in a semiconductor substrate according to FIG. 2 following etchback of substrate surfaces and formation of solder bumps on the via ends;
  • FIG. 4 is an enlarged cross-sectional view of a conductive via and solder bump attached thereto, as taken along line 4-4 of FIG. 3;
  • FIG. 5 is a perspective view of a multi-die wafer and a wafer-size contactor card adapted for connecting the wafer bond pads to a test apparatus, in accordance with the present invention;
  • FIG. 6 is a side view of a multi-die wafer and contactor card in accordance with the present invention;
  • FIG. 7 is a cross-sectional view of a portion of a substrate used to form a contactor card in accordance with the invention;
  • FIG. 8 is a cross-sectional view of a portion of a substrate following a primary etch act for via formation in a contactor card in accordance with an embodiment of the invention;
  • FIG. 9 is a cross-sectional view of a portion of a substrate following the formation of precursor holes in a contactor card in accordance with an embodiment of the invention;
  • FIG. 9A is an enlarged cross-sectional view of a portion of a substrate with a laser-formed precursor aperture through a substrate in accordance with an embodiment of the invention;
  • FIG. 10 is a cross-sectional view of a portion of a substrate following an aperture-enlarging shaping etch act in a contactor card in accordance with an embodiment of the invention;
  • FIG. 10A is a cross-sectional view of a portion of a substrate following a central aperture-shaping etch act in a contactor card, as taken along line 10A-10A of FIG. 10;
  • FIG. 11 is a cross-sectional view of a portion of a substrate following a rounding-etch act in shaped apertures of a contactor card in accordance with an embodiment of the present invention;
  • FIG. 11A is a cross-sectional view of a portion of a substrate following a rounding-etch act in shaped apertures in a contactor card, as taken along line 11A-11A of FIG. 11;
  • FIG. 12 is a cross-sectional view of a portion of a substrate following passivation of the surfaces of shaped/rounded apertures in a contactor card in accordance with an embodiment of the invention;
  • FIG. 13 is a cross-sectional view of a portion of a substrate following filling of the passivated shaped/rounded apertures in a contactor card with a conductive material to form conductive vias in accordance with an embodiment of the invention;
  • FIG. 13A is a cross-sectional view of a portion of a substrate following the application of a metal layer on the surfaces of passivation layers in shaped/rounded apertures in a contactor card in accordance with an embodiment of the invention;
  • FIG. 13B is a cross-sectional view of a portion of a substrate of FIG. 13A in which a conductive material has been deposited over the metal layers in the shaped/rounded apertures in a contact card in accordance with an embodiment of the invention;
  • FIG. 13C is an enlargement of a cross-sectional view of a portion of a substrate following filling of the passivated shaped/rounded apertures in a contactor card with a conductive material to form conductive vias in accordance with an embodiment of the invention;
  • FIG. 14 is a cross-sectional view of a portion of a substrate following thinning of one side of a contactor card to expose the via ends in accordance with an embodiment of the invention;
  • FIG. 14A is an enlarged cross-sectional view of a portion of a substrate following thinning of one side of a contactor card to expose a via end in accordance with an embodiment of the invention, showing attachment to a solder ball/bump;
  • FIG. 15 is a cross-sectional view of a portion of a substrate following the application of a metal to the via ends in a contactor card in accordance with an embodiment of the invention;
  • FIG. 16 is a cross-sectional view of a portion of a substrate following two-sided thinning to expose via ends on both sides in a contactor card in accordance with an embodiment of the invention;
  • FIG. 16A is an enlarged cross-sectional view of a portion of a substrate following two-sided thinning to expose via ends on both surfaces of a contactor card, showing via end attachment to solder balls/bumps in accordance with an embodiment of the invention;
  • FIG. 16B is an enlarged cross-sectional view of a portion of a substrate following thinning of a first surface of a contactor card to expose one via end as a stud and in which the opposite via end is exposed as a surface generally coplanar with a second substrate surface according to an embodiment of the invention;
  • FIG. 17 is a cross-sectional view of a portion of a substrate showing aperture formation for constructing a via having differing end configurations in accordance with the present invention;
  • FIG. 18 is a cross-sectional view of a portion of a substrate showing vias with differing end configurations resulting from the aperture formations shown in FIG. 17 and two-sided thinning, in accordance with embodiments of the present invention;
  • FIG. 19 is a cross-sectional view of a portion of a substrate showing vias with differing end configurations resulting from the aperture formations shown in FIG. 17 and one-sided thinning, in accordance with embodiments of the present invention;
  • FIG. 20 is a cross-sectional side view of a portion of an exemplary probe card formed of a substrate through which a plurality of vias is constructed in accordance with the present invention;
  • FIG. 21 is a cross-sectional side view of a portion of a single package interconnect or interposer through which a plurality of vias is constructed in accordance with the present invention;
  • FIG. 22 is a cross-sectional side view of a portion of another single package interconnect or interposer through which a plurality of vias is constructed in accordance with the present invention;
  • FIG. 23 is a cross-sectional side view of a portion of a further embodiment of a single package interconnect or interposer through which a plurality of vias is constructed in accordance with the present invention;
  • FIG. 24 is a cross-sectional side view of a portion of a multiple-die interposer/interconnect having a plurality of vias constructed in accordance with the present invention;
  • FIG. 25 is a cross-sectional side view of a portion of another multiple-die interposer/interconnect having a plurality of vias constructed in accordance with the present invention;
  • FIG. 26 is a cross-sectional side view of a portion of an additional multiple-die interposer/interconnect having a plurality of vias constructed in accordance with the present invention; and
  • FIG. 27 is a cross-sectional side view of a portion of a further embodiment of a multiple-die interposer/interconnect having a plurality of vias constructed in accordance with the invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the present invention, semiconductor wafers or portions thereof and substrates and components in which vias are to be formed are identified herein as “substrates” regardless of the purpose of the via or material of construction of the substrate or via. Thus, for example, the term “substrate” is used in reference to semiconductor wafers, semiconductor wafer portions, other bulk semiconductor substrates, semiconductor devices, interposers, probe test cards, and the like. The invention will be illustrated as applied to construction of a silicon, wafer-scale test card, and methods of making such vias in a wide variety of components are described, as well as the resulting components so made and associated structures and assemblies. The vias made by methods of the present invention have via ends which may be configured to have projecting ends comprising mesas or pillars of reduced size, flared or enlarged ends, or a combination thereof.
  • One exemplary embodiment of the method of the invention may be generally described as comprising (a) providing a semiconductor substrate with a mask layer of oxide or nitride on one or both major surfaces, (b) laser-drilling a precursor aperture completely through the substrate and mask layers, to provide a heat-affected zone of substrate material shielded by the overlying mask layer on one or both sides of the substrate, (c) subjecting the precursor aperture to shape etching, to remove the heat-affected material, leaving an aperture having an enlarged portion in the center of the substrate, tapering to at least one smaller aperture opening, and having a generally square cross-section, (d) lining the etched aperture with a passivation material, (e) filling the passivated aperture with a conductive via material to produce the conductive via, and (f) thinning the substrate on one or both sides to expose via end(s) having a generally trapezoidal shape. Optionally, an additional rounding etch act following the shaping etch rounds the corners of the shape-etched aperture, producing a via end with a frustoconical shape. The substrate may be thinned by etching, by a mechanical abrasion process or a chemical-mechanical polishing or planarization (CMP) process to expose the via end (contactor end or stud) to a desired standoff distance.
  • Optionally, the precursor hole may be formed by anisotropic etching from one or both surfaces of the substrate.
  • The shape etching may be conducted with a tetramethyl ammonium hydroxide (TMAH) solution, which results in a distinctive undercut of the opening in the mask layer. The precise shape of a resulting via end varies, depending upon laser strength and duration as well as etch strength and duration, and other factors.
  • The shaped aperture, or shaped-and-rounded aperture is filled with a conductive material which may comprise a metal, metal powder, a metal or alloy powder, a flowable conductive photopolymer, a thermoplastic conductive resin, resin-covered particulate metal material, or other suitable material which may be used to form a solid conductive via. The shaped aperture or shaped-and-rounded aperture may be filled with the conductive material or first coating the aperture walls with a metal, followed by filling of the aperture.
  • The method of the invention may be used to form via hole or aperture diameters of conventional size, i.e. about 17 μm to about 150 μm, as well as much smaller via holes or apertures applicable to enhanced miniaturization of the future. The via holes or apertures are formed to produce trapezoidal or frustoconical via ends which may be directly attached to bond pads or optionally to solder bumps/balls.
  • The method of the invention provides substantial advantages. First, very small diameter vias may be formed in a dense pattern in a semiconductor substrate. The vias may be rapidly and precisely formed. The shape of the via ends of the via holes or apertures is enhanced to enable direct attachment to small, finely pitched bond pads. If the via ends are employed with solder balls/bumps, the danger of ball cracking, solder shorts, etc. is much reduced in comparison to the state of the art. Inasmuch as a non-conductive layer may girdle the via ends, and solder wetting and bonding areas do not generally overlie the bare substrate, further passivation of the substrate area surrounding the vias is typically unnecessary.
  • The invention will be illustrated as applied to construction of a silicon wafer-scale test card having vias and methods of making such vias in a wide variety of components are described, as well as the resulting components so made. The vias made by methods of the present invention have via ends which may be configured as projecting or protruding ends comprising mesas or pillars of reduced size.
  • In the present invention, methods are illustrated for forming conductive TWIs (also known as vias and feedthroughs) in substrates of semiconductor material with improved configurations of their ends or contacts. As illustrated in drawing FIGS. 5 and 6, a wafer test contactor card 50, also commonly termed a “probe” card, may be produced for the testing of a large number of integrated circuit or semiconductor dice 42 in, for example, a substrate comprising a silicon wafer 40. The semiconductor dice 42 are fabricated in wafer 40 for subsequent separation along cut lines 48. As illustrated in drawing FIG. 6, the contactor card 50 may have a large number of vias or TWIs 70 having first ends 52 which are aligned with corresponding bond pads 46 on the active surface 44 of each die 42 of the wafer 40. The first ends 52 and second ends 54, also called stud ends herein, are shown as having a generally truncated pyramidal or truncated conical shape, in contrast to the shape of prior art studs previously described. The first ends 52 of vias 70 may be configured to directly contact bond pads 46, or to accommodate the attachment of solder or other metallic “balls” or “bumps” 56, or to be joined to metal traces or wires or other types of conductive structures of semiconductor dice 42. The second ends 54 as illustrated in drawing FIG. 6 may be provided with metallization in the form of conductive lines 58 as shown in broken lines to connect to a test apparatus, not shown. Moving the contactor card 50 in the direction 49 to temporarily contact the metallic balls 56 with the bond pads 46 on the wafer's active surface 44 permits very rapid testing of each semiconductor die 42 on the wafer 40 for operability. Of course, first ends 52 may be employed to directly contact bond pads 46.
  • Use of the methods of the invention enables small via diameter and pitch, i.e. spacing. Small via spacing may be achieved with high precision (accuracy) and with small feature dimensions, i.e. resolution with high repeatability. The end shape(s) of the vias 70 enables use of solder balls/bumps 56 thereon without the cracking problems with acute angled ends. Smaller solder connections may be used for permanent connections than is possible in the state of the art. Alternatively, the via ends 52 and/or 54 may be directly contacted with a bond pad 46 or other metallization, or bonded thereto, without using intervening solder balls/bumps 56. Thus, the sizes of bond pads 46 may be significantly reduced, i.e., reduced to 5×5 microns or even as small as 2×2 microns, and the size of solder balls, if used, may be correspondingly reduced.
  • Generally illustrated in drawing FIGS. 7 through 14, are acts common to each of the embodiments of the inventions as illustrated herein, comprising (a) providing a substrate 60 of semiconductor material, (b) providing a dielectric etch mask layer 68 on at least one surface 62, 64 of the substrate 60, (c) forming a precursor aperture 80A through the substrate 60 and etch mask layer(s) 68, (d) conducting a shaping etching to form a shaped aperture 80B with lateral enlargement in a central region 108 and sloped etch portions 110, 112 extending from the central region 108 to terminate at an etch mask layer 68, (e) optionally, conducting a “rounding” etch to change the aperture's cross-sectional shape from square to rounded, (f) providing a passivation layer 92 over the side wall surfaces 76 of the shaped aperture 80B or rounded aperture 80C, (g) filling the passivated aperture with one or more conductive materials 100 to form a conductive via (TWI) 70, and (h) thinning one or both surfaces 62, 64 of the substrate 60 to expose the end(s) 52, 54 of the conductive via 70. In the manufacture of articles in the form of through wafer interconnects or vias 70 of the present invention, each of the acts (a) through (h) and modifications thereof of the various embodiments of inventions are discussed in further detail, infra. It is understood that the terms “upper” and “lower” are used herein to define opposed positions of a substrate surface, via ends, and the like, rather than the actual position thereof. Likewise, the terms “first” and “second” do not refer to a specific orientation.
  • Referring to drawing FIG. 7, a substrate 60 of a semiconductor material such as, for example, a silicon wafer is illustrated having a first surface 62 and a second surface 64, both of which are covered with an etch mask layer 68 such as silicon dioxide or nitride. Depending upon the desired configuration of the via, one of the surfaces 62, 64 may be left unmasked and unpassivated to produce a larger, even flared via end as described, infra. The substrate 60 is illustrated as a semiconductor wafer with total thickness 66 and configured for the creation of vias 70 having central axes 106 and pitch 72. While the examples shown herein relate primarily to silicon or semiconductor wafers, the methods described herein may be used to form conductive vias 70 in other semiconductor materials.
  • As illustrated in FIG. 9, precursor apertures 80A are formed in the substrate 60 and pass completely through the substrate about central axes 106. In one embodiment, the precursor apertures 80A are formed by laser cutting or ablation through the substrate 60 including outer etch mask layers 68 using a laser beam 124, as illustrated in FIG. 9A. The apertures 80A are shown in this embodiment of the invention having a generally uniform cross-section along axis 106. The cross-sectional shape of the precursor apertures 70 may be generally square, oblong or circular. The laser power, duration and beam focus are controlled as known in the art to produce a generally uniform aperture 80A of the desired shape. The width 78 of the resulting aperture openings 77 may be as narrow as obtainable by laser ablation. For example, aperture opening widths 78 as narrow as about 17 to about 30 μm may be precisely formed with current state-of-the-art laser equipment. However, the minimum usable opening width 78A may be limited by the ability to effectively passivate the internal side wall surface 76 of the completed aperture and fill the aperture with electrically conductive material through a small opening, particularly when the aperture has a high depth-to-diameter ratio.
  • In an alternative embodiment of the invention, an act (see FIG. 8) prior to the structure depicted in FIG. 9 replaces laser cutting with an etching act. As illustrated in drawing FIG. 8, guide holes 74 are first formed, e.g., etched in the etch mask layers 68 about axes 106. The precursor aperture 80A is then formed (FIG. 9) by dry anisotropic etching of silicon from one or both surfaces 62, 64 of the substrate 60. Dry anisotropic etching, commonly known as reactive ion etching (RIE), is well known in the art.
  • Whether the precursor aperture 80A is formed by laser ablation or etching, the next major act, illustrated in drawing FIG. 10, comprises a shaping etch to remove silicon surrounding precursor aperture 80A and laterally etch the precursor aperture 80A. Where the precursor aperture 80A is formed by laser, the substrate's silicon outside of the immediate aperture 80A is heat-damaged (commonly termed a “heat-affected zone,” or “HAZ”) which may enhance removal of the material by etching. As illustrated in drawing FIG. 9A, the heat-affected zone 81 includes a central zone 81A with substantially uniform cross-section and end zones 81 B between the central zone and the etch mask layers 68, wherein the degree of undercutting of silicon is approximately proportional to the distance from the etch mask layer 68.
  • A preferred method of using a shaping etch comprises the application of tetramethyl ammonium hydroxide (TMAH) as a 9:1 ratio of TMAH solution to deionized (DI) water. The TMAH solution is available as a six percent (6%) solution of TMAH in propylene glycol, which may be used without damage to wafer circuitry, as it does not degrade metallization. The etchant is applied by submersion of substrate 60 into a heated wet process tank full of the aforementioned TMAH and DI water solution. The cross-section of the shaped aperture 80B so formed is generally square (see FIG. 10A) rather than circular, because the TMAH etchant solution is preferential to 100 or 011 crystallographic orientations of the silicon. The end portions 82, 84 of the shaped aperture 80B are thus generally trapezoidal in shape, with outer corners 83 and base width 88 (which also defines the width of central via portion 86) and opening width 78. Other etching systems may be alternatively used, including for example, dry “Bosch” style etching using an inductively coupled plasma for deep silicon etching and the aforementioned dry RIE process, as well as other known etch processes suitable for the material of substrate 60 such as, for example, the Advanced Silicon Etch process offered by Surface Technology Systems. HF solutions and KOH solutions as well as more concentrated TMAH solutions are suitable etchants for silicon, but may require masking of selected portions of substrate 60 to avoid damage to metallization. Aperture shapes will vary depending upon the etching system employed and the material and crystallographic orientation of the material of substrate 60.
  • A shaped aperture 80B may alternatively be formed by a variation of a method for forming enlarged aperture regions of a deep trench or hole. As described in U.S. Pat. No. 6,355,181 to McQuarrie, a masked substrate is etched using a fluorine-containing etchant gas or vapor in the absence of a plasma through an opening in the mask to a desired depth with a base. A layer of protecting material is applied to the base and surfaces of the hole and mask, then removed from the base of the hole. Further etching is conducted to enlarge the hole in the region of the base. This method may be used to selectively shape an aperture to a configuration of this invention. The disclosure of the U.S. Pat. No. 6,355,181 to McQuarrie is incorporated herein by reference.
  • Where a via end 52, 54 is to have a discrete conductive element in the form of a solder ball/bump 56 bonded thereto, producing stress points at comers 83, the shaped aperture 80B may be subjected to a further rounding-etch act, producing a more circular via 70 and virtually eliminating the comers. As illustrated in drawing FIGS. 11 and 11A, an isotropic etch results in a more circular aperture 80C with rounded openings 77 of diameter 78B and enlarged central portions 86 of diameter 90. Various etchants may be utilized, including for example, a wet etch with a combination of ammonium fluoride, phosphoric acid, hydrogen peroxide and DI water. Other isotropic etchants may alternatively be used to achieve uniform etching in all directions, including a dry etch with either hydrobromic acid (HBr) or HBrO2SF6. Thus, substantially frustoconical via ends 52, 54 may be produced.
  • In the next act illustrated in drawing FIG. 12, an insulative (passivation) layer 92 is deposited or formed on the side wall surfaces 76 of the shaped aperture 80B or shaped and rounded aperture 80C, creating passivated aperture 80D in preparation for metallizing the aperture. The passivation layer 92 may comprise silicon oxide, silicon nitride, or another material, including one of a wide variety of organic (polymeric) materials which are available for passivation. The material may be applied by chemical vapor deposition (CVD) or other deposition method. An oxide layer may be formed by oxidation of the material of substrate 60, or deposition. Any effective method for forming a thin passivation layer 92 on the aperture side wall surfaces 76 may be utilized. In general, it is unnecessary to passivate the substrate surfaces 62, 64 after the aperture is formed, inasmuch as at least one surface is already passivated by the etch mask layer 68, and subsequent removal of etch mask layer 68 in a thinning act renders the extra application act valueless. Furthermore, the passivation layer 92 extends to each end 52, 54 of the aperture 80B, 80C of the unthinned substrate 60 to insulate the conductive material of via 70 which is to be formed.
  • As illustrated in drawing FIG. 13, the passivated aperture 80D is then “metallized” by filling with a conductive material or materials 100, forming a conductive pathway or via 70 spanning the thickness of the substrate 60. The particular conductive material(s) 100 may vary, and the method of deposition may also vary. For example, the conductive filler material 100 may comprise a solder such as a tin/lead material, or copper, nickel, silver, tungsten, or other metal or alloy. Electroplating or electroless plating techniques may be used to fill passivated aperture 80D. Copper, aluminum and other metals may also be deposited by a metalorganic chemical vapor deposition (MOCVD) process. Alternatively, the conductive material may comprise a conductive polymer or conductive material entrained in a polymer, such as conductive or conductor-filled epoxy. The polymer may be placed in a passivated aperture 80D by needle dispenser, chemical vapor deposition (CVD) or other means known in the art, and cured to a solidified state. Nano-size particles of a metal, such as silver, in an organic carrier may also be placed in the aperture 80D and converted to a cohesive solid by heating methods known in the art. Conductive material-filled aperture 80E is depicted in FIG. 13.
  • Any appropriate method for filling a narrow aperture with the particular material 100 may be used. In one example, illustrated in drawing FIG. 13A, the surfaces 94 of passivation layer 92 are first coated with a thin layer of nickel, copper or tungsten as a “seed layer” 118 by an appropriate method such as chemical vapor deposition (CVD) or plasma-enhanced chemical vapor deposition (PECVD), and then (see FIG. 13B) the aperture is filled with a conductive material 100, by an electroless deposition process, for example. Alternatively, a metal seed layer 118 may be deposited on surfaces 94 of the passivation layer 92 and a metallic filler conductive material 100 applied by electroplating within the aperture 80D, or an electrode placed across one side of substrate 60 and electroplating effected thereon to fill passivated aperture 80D from one end thereof.
  • The latter approach is especially suitable for probe cards, where the second via end 54 is to be generally coplanar with the second surface 64, as the bottom aperture opening 77B may be closed off with a conductive plate, not shown. A conductive metal filler material 100, such as copper, is then electroplated from a solution entering top aperture opening 77A upwardly from the bottom to fill passivated aperture 80D.
  • Where the conductive material 100 is solder, dip or wave soldering may be employed to fill the passivated aperture 80D. Further, a solder ball may be placed over each passivated aperture 80D, heated to reflow and then drawn, as by a vacuum or by capillary action if passivated aperture 80D is lined with a solder-wettable material, into apertures 80D. Alternatively, a solder paste may be stenciled or squeegeed into the apertures 80D followed by heating to reflow the solder and drive off organics and cooling to solidify the solder alloy. Illustrated in drawing FIG. 13, the degree to which the first surface 62 is to be thinned in a following act is indicated as thinning line 96.
  • Turning now to drawing FIG. 14, the next act is seen to be a thinning of first substrate surface 62 (to thinning line 96 of FIG. 13) to expose the first ends 52 of vias 70 as studs projecting from bare thinned line 120, resulting in a substrate thickness 101. Thinning may be conducted by conventional methods such as etching, backgrinding or abrasive CMP techniques, as known in the art. The opposing substrate surface 54 may also be thinned to expose the second ends 54 of vias 70 as studs projecting from bare thinned surface 122, as shown in FIG. 16. The substrate 60 itself is thus further thinned to a thickness 104. While the via ends 52 are configured to produce an improved connection with solder balls/bumps, these structures may, alternatively, be used when substrate 60 is configured (for example) as a probe card for direct contact with a conductor e.g., bond pad or other metallization of a semiconductor die, provided that the standoff distance 98 (see FIG. 14) is sufficient. In the present state of the art, thinning a substrate surface 62 to produce a standoff distance 98 of about 300 μm meets the above criteria, enabling via end-to-bond pad contact without the presence of an intervening solder ball or solder bump.
  • Following via formation and substrate thinning, one or both of exposed, protruding via ends 52, 54 may be coated with a metal overlay 102 such as copper, silver, gold, tungsten or nickel. Typically, nickel may be used to coat a via end 52, 54 to be contacted with solder or a via end 52, 54 comprising solder. A copper via end 52, 54 may, for example, be plated with gold. Such a coating of via end 52 is illustrated in drawing FIG. 15. The particular overlay metal may be chosen and applied to provide an electrical connection which is of low resistance and ohmic, and which may enhance cohesion between a via end 52 or 54 and a bond pad 46 or metallization of a semiconductor die, not shown in this figure. The via end 52, 54 may, optionally, include at least one raised projection 130 configured to contact and/or penetrate bond pads 46, as depicted in FIG. 16A. Such projections 130 may be formed on a via end 54, for example, by methods described in U.S. Pat. No. 5,541,525 to Wood et al., assigned to the assignee of the present application, the disclosure of which is incorporated herein by reference thereto. For example, the raised projections 130 may comprise sharp spurs, rounded domes, or otherwise shaped projections which enhance connection to a bond pad or other metallization. In the example illustrated in drawing FIG. 16A, the opposite via end 52 is shown as being bare, i.e. without a metal overlay 102 or raised projections 130 thereon.
  • As illustrated in drawing FIG. 14A, a solder ball or bump 56 may be attached to a first projecting via end 52 for electrical connection to a bond pad or metallization of another component. The exposed portion of second, opposed via end 54 may be connected to a conductive member, not shown, such as a solder ball/bump, wire bond, etc. Because of passivation layer 92 about the periphery of via ends 52, 54, it may not be generally necessary to provide a further passivation layer 128 as shown in FIG. 14A on the thinned substrate line 120 and/or surface 122. However, in particular applications where shorting is likely to occur, a passivation layer 128 may be applied to the thinned line 120 and/or surface 122 to prevent such shorting. It is further contemplated that passivation layer 92 may remain on projecting via ends as shown in broken lines to constrain the wetting of conductive member such as a solder ball/bump to the distal end surfaces of via ends 52, 54, so that a smaller solder ball/bump 56′ may lie over the end surfaces, again as shown in broken lines.
  • As illustrated in drawing FIG. 16B, one embodiment of the invention includes the full exposure of one via end 52, and the opposite via end 54 may be leveled, typically during the substrate thinning as effected by planarization, to have an end surface 132 which is approximately co-planar with thinned surface 122. Alternatively, thinning may be effected so that via end 54 exhibits a positive standoff less than would otherwise occur with full thinning to thinned surface 122.
  • The methods of the invention may be used to produce via end configurations which are non-uniform, that is, one via end 52 differs from the opposite via end 54 in shape, size, standoff distance, composition or other configuration variable. Illustrated in drawing FIG. 17 is a first shaped via aperture 80X having a first end portion 82 configured as a reduced stud, and a second end portion 84 flared in accordance with the prior art. The shaped via aperture 80X may be formed by a combination of laser cutting from both surfaces 62, 64, followed by etching to complete the internal via shape. Alternatively, etching of a substrate 60 having no aperture mouth constraining etch mask layer on surface 64 may be conducted to produce the indicated aperture shape. A semi-isotropic etch using TMAH for example, will produce an aperture resulting in a flared via end. The substrate may be left unthinned, or be thinned as previously described to produce a projecting via end portion 84. The completed via ends 52, 54 are illustrated in drawing FIG. 18 with respect to via 70X. In the second shaped via aperture 80Y illustrated in drawing FIG. 17, the second via aperture end portion 84 has a “post” configuration of uniform cross-sectional dimensions, as formed by anisotropic etching from one or both surfaces 62, 64 (without an etch mask layer 68 over surface 64), or by laser cutting from via end 52 followed by etching, all without an etch mask layer 68 over surface 64. The resulting via 70Y having via ends 52A and 54A is depicted in FIG. 18. As already described in relation to drawing FIG. 16B, the via end 54 may be leveled or thinned in the thinning act of second substrate surface 64 to a reduced stand-off distance 98 (see FIG. 14).
  • The substrate surface 64 may alternatively be left unthinned, so that via ends 54 remain substantially within the substrate 60, separated therefrom by passivation layer 92. As shown in FIG. 19, the end surfaces 132 of the second ends 54, 54A are exposed for connection to solder balls/bumps 56 as shown, or to metallization layers or other connecting structures, not shown.
  • It is important to note that the various configurations of via ends 52 and 54 may be utilized in any combination in a substrate 60 to achieve a desirable connection result.
  • Illustrated in drawing FIGS. 20 through 27 are various types of apparatus which may be formed by use of the via interconnections of the invention. These embodiments of the invention are not exhaustive in nature, but are merely examples.
  • Illustrated in drawing FIG. 20, the substrate 60 with vias 70 comprises an exemplary wafer test contactor, or probe, card 50 of the invention. The first ends 52 of the vias 70 comprise contactors of the invention for temporary direct contact with bond pads 46 on the active surface 44 of a semiconductor die 42. The active surface 44 of semiconductor die 42 is generally parallel to the opposing thinned line 120 of the probe card 50. The opposing via ends 54 are shown having exposed surfaces 132 generally coplanar with substrate surface 64, for connection to a test circuit, not shown, via metallization traces, wire bonds, or the like. Although the via ends 54 are shown as being proximate an unthinned surface 64 of the substrate 60, it is understood that the substrate surface 64 may be thinned to expose via ends 54 and achieve a similar projecting result as with via ends 52, as illustrated in drawing FIG. 16B.
  • Illustrated in drawing FIG. 21 is a single package application of the invention in which bond pads 46 of a semiconductor die 42 are directly joined to conductive via ends 52, which may comprise a metal or alloy, of a substrate 60 of a package interconnect or interposer 50′. The opposed ends 54 of the vias 70 are connected to metallization traces 138, the distal ends of which are connected to discrete conductive elements in the form of, for example, solder balls 56A, for attachment to terminals of a circuit board or the like. Substantially flat end surfaces of via ends 52 are shown with a metal overlay 102 to enhance contact with bond pads 46, metal overlay 102 extending down a portion of the sides of via ends 52. An insulating dielectric material 134 is placed in the space between the semiconductor die 42 and package interconnect or interposer 50′. In addition, a polymeric packaging layer 136 is shown surrounding the external balls/bumps 56A.
  • Illustrated in drawing FIG. 22, another form of a single package interconnect or interposer 50″ is shown which differs from the embodiment of the invention illustrated in drawing FIG. 21 in that the die bond pads 46 are connected to the via ends 52 through discrete conductive elements in the form of solder balls/bumps 56. Like the package interconnect 50′ illustrated in drawing FIG. 21, discrete conductive elements in the form of external solder balls/bumps 56A are connected to via ends 54 by metallization traces 138.
  • A further variation of single package interconnect or interposer 50′″ is illustrated in drawing FIG. 23, having a substrate 60 with vias 70 passing therethrough. First via ends 52 are frustoconical or trapezoidal in shape, i.e. are mesa-shaped, as prepared by the method of this invention, and are shown directly impinging on contact sites 142 on a circuit board or other carrier substrate 140. The second surface 64 of the substrate 60 is shown as being unthinned, whereby only the end surface 132 of each via 70 is accessible for metallization traces 138. Discrete conductive elements in the form of solder balls or bumps 56 are bonded to the metallization traces 138 and bond pads 46 on the active surface 44 of semiconductor die 42. As shown, a dielectric material 134 may be disposed in the volume between semiconductor die 42 and interposer 50′″.
  • Another use of TWIs or vias 70 of the invention is illustrated in drawing FIG. 24, wherein the vias 70 pass through non-active regions of a second semiconductor die 42A, connecting bond pads of a first semiconductor die 42 to conductive sites 142 on a circuit board 140. The substrate 60 identified as a second semiconductor die 42A may, alternatively, comprise an interconnect substrate or interposer 50″″. The interconnect substrate or interposer 50′″ is shown in this embodiment as being inverted, when compared to the embodiment of the invention illustrated in drawing FIG. 23. Thus, first via ends 52 (frustoconical or trapezoidal) are shown as being in contact for temporary electrical connection to a semiconductor die 42 in a full-wafer or partial-wafer stage. Second via ends 54 are in contact with metallization traces 138. As illustrated in drawing FIG. 23, the volume between semiconductor die 42 and substrate 60 is shown as having been filled with a dielectric material 134.
  • Illustrated in drawing FIGS. 25, 26 and 27 are three exemplary configurations of a multi-die interconnect or interposer 50 x, and 50 y, respectively, for connecting a first semiconductor die 42 and a second semiconductor die 42A to a carrier substrate 140 such as a circuit board, etc. In FIGS. 25 and 26, a multi-level interconnect 50 x has a further thinned, recessed region 144 for accommodating a second semiconductor die 42A. Vias 70 and 70A are provided for respective connection to bond pads 46 of the first semiconductor die 42 and bond pads 46A of the second semiconductor die 42A, and for direct connection or (as shown) discrete conductive element connection in the form of solder balls/bumps 56A to a carrier substrate 140 such as a circuit board. The via ends 52, 54 are uniformly shown as having the trapezoidal or frustoconical shapes. Optionally, some of the via ends 52, 54 may be of the flared or post configuration as described previously. In drawing FIGS. 25 and 26, the semiconductor dice 42, 42A are superimposed or “stacked,” while in drawing FIG. 27, the semiconductor dice 42, 42A are arranged to be substantially coplanar on interconnect 50 y. In each of these embodiments, additional semiconductor dice may be accommodated by additional stacking or co-planar additions.
  • Other applications of an interconnect or interposer are contemplated, by use of variations in via end configurations, die orientation, numbers of dice, and the like.
  • The methods presented herein enable a small via aperture diameter to be formed, with a small pitch (spacing), high precision alignment and high resolution of features. Solder connections may be readily formed at dimensions smaller than currently used. It is believed that the invention enables the use of die bond pads as small as about 2×2 microns.
  • Although the foregoing description contains many specifics, these should not be construed as limiting the scope of the present invention, but merely as providing illustrations of some of the presently preferred embodiments. Similarly, other embodiments of the invention may be devised which do not depart from the spirit or scope of the present invention. Moreover, features from different embodiments of the invention may be employed in combination. The scope of the invention is, therefore, indicated and limited only by the appended claims and their legal equivalents, rather than by the foregoing description. All additions, deletions, and modifications to the invention, as disclosed herein, which fall within the meaning and scope of the claims are to be embraced thereby.

Claims (34)

1. A through-wafer interconnect comprising a conductive via member passing through a wafer between a first surface and a second, opposing surface, the via member having a first exposed end and a second exposed end, the first end comprising one of a trapezoid shape and a frustoconical shape and the second end comprising one of a shape flaring out as it becomes more distal from the second surface and a post shape having a substantially constant lateral extent.
2. A through-wafer interconnect comprising a conductive via member passing through a wafer between a first surface and a second, opposing surface, the wafer being thinned from an initial thickness to expose a first end of the via member as one of a trapezoid shape and a frusto-conical shape, and the second surface of the wafer exposing only an end surface of a second end of the via member comprising one of a shape flaring out as it approaches the second surface and a post shape having a substantially constant lateral extent.
3. A through-wafer interconnect comprising a conductive via member passing through a wafer having a first surface and a second, opposing surface, the conductive via member having a first exposed end and a second exposed end, the first exposed end and the second exposed end each comprising one of a trapezoid shape and a frustoconical shape.
4. A via-containing member, comprising:
a substrate having a first surface and a second, opposing surface;
a pattern of vias in the substrate, each via passing through the substrate and having a first end and a second, opposing end, wherein the vias comprise:
a first end having at least one of a trapezoidal shape and a frustoconical shape and extending outwardly from one of the first surface and the second, opposing surface; and
a second via end having at least one of a flared-out shape and a post shape having a substantially constant lateral extent.
5. The via-containing member in accordance with claim 4, wherein the second via end having at least one of the flared-out shape and post shape extends outwardly from another of the first surface and the second, opposing surface.
6. A via-containing member comprising:
a substrate having first and second opposing surfaces; and
a pattern of vias on the substrate, each via passing through the substrate between a first end and an opposing second end, the first end and the second, opposing end each having one of a trapezoidal shape and a frustoconical shape.
7. The via-containing member in accordance with claim 6, wherein the via-containing member comprises one of a wafer contact test card, a single die interposer, and a multi-die interconnect.
8. A semiconductor device assembly, comprising:
at least one semiconductor die having a plurality of bond pads on an active surface thereof; and
an interposer comprising a substrate having a plurality of conductive vias extending therethrough in alignment with bond pads of the plurality, the plurality of conductive vias each including a via end having a substantially flat end surface projecting from a substrate surface facing the at least one semiconductor die and in contact with a bond pad of the plurality, the via end tapering from a larger lateral extent proximate the substrate surface to a smaller lateral extent distal therefrom.
9. The semiconductor device assembly of claim 8, further including a dielectric material interposed between the active surface of the at least one semiconductor die and the surface of the substrate and surrounding the projecting via ends.
10. The semiconductor device assembly of claim 8, further including a metal overlay over at least the substantially flat end surface.
11. The semiconductor device assembly of claim 8, wherein ends of the plurality of vias opposite the projecting via ends lie substantially coplanar with another surface of the substrate, and further including:
conductive traces extending laterally across the another surface from the substantially coplanar via ends; and
discrete conductive elements disposed on distal ends of the conductive traces and projecting outwardly from the another surface.
12. The semiconductor device assembly of claim 11, further comprising a dielectric layer over the another surface and laterally surrounding the discrete conductive elements.
13. The semiconductor device assembly of claim 8, wherein the projecting via ends are in direct contact with the plurality of bond pads.
14. The semiconductor device assembly of claim 8, wherein the projecting via ends are in contact with the plurality of bond pads through intervening discrete conductive elements.
15. A semiconductor device assembly, comprising:
at least one semiconductor die having a plurality of bond pads on an active surface thereof; and
an interposer comprising a substrate having a plurality of conductive vias extending therethrough in alignment with bond pads of the plurality, the plurality of conductive vias each including a first via end having a substantially flat end surface projecting from a substrate surface opposite a substrate surface facing the at least one semiconductor die and tapering from a larger lateral extent proximate the substrate to a smaller lateral extent distal therefrom and a second via end substantially coplanar with the substrate surface facing the at least one semiconductor die and in contact with a bond pad of the plurality through a discrete conductive element projecting from the substrate.
16. The semiconductor device assembly of claim 15, further including a dielectric material interposed between the active surface of the at least one semiconductor die and the substrate and surrounding the discrete conductive elements.
17. The semiconductor device assembly of claim 15, further including:
conductive traces extending laterally across the surface of the substrate facing the at least one semiconductor die from the substantially coplanar via ends; and
wherein the discrete conductive elements lie at distal ends of the conductive traces.
18. A semiconductor device assembly, comprising:
at least one semiconductor die having a plurality of bond pads on an active surface thereof; and
at least another semiconductor die having a plurality of conductive vias extending therethrough in alignment with bond pads of the plurality of the at least one semiconductor die, the plurality of conductive vias each including a via end having a substantially flat end surface projecting from a surface of the at least another semiconductor die facing the at least one semiconductor die and in contact with a bond pad of the plurality, the via end tapering from a larger lateral extent proximate the surface of the at least another semiconductor die facing the at least one semiconductor die to a smaller lateral extent distal therefrom.
19. The semiconductor device assembly of claim 18, further including a dielectric material interposed between the active surface of the at least one semiconductor die and the at least another semiconductor die and surrounding the projecting via ends.
20. The semiconductor device assembly of claim 18, further including a metal overlay over at least the substantially flat end surface.
21. The semiconductor device assembly of claim 18, wherein ends of the plurality of vias opposite the projecting via ends lie substantially coplanar with another surface of the at least another semiconductor die, and further including:
conductive traces extending laterally across the another surface from the substantially coplanar via ends; and
discrete conductive elements disposed on distal ends of the conductive traces and projecting outwardly from the another surface.
22. The semiconductor device assembly of claim 21, further comprising a dielectric layer over the another surface and laterally surrounding the discrete conductive elements.
23. The semiconductor device assembly of claim 18, wherein the projecting via ends are in direct contact with the plurality of bond pads.
24. The semiconductor device assembly of claim 8, wherein the projecting via ends are in contact with the plurality of bond pads through intervening discrete conductive elements.
25. A semiconductor device assembly, comprising:
at least one semiconductor die having a plurality of bond pads on an active surface thereof, and
an interposer comprising a substrate having a plurality of conductive vias extending therethrough in alignment with bond pads of the plurality, the plurality of conductive vias each including a first via end having a substantially flat end surface projecting from a substrate surface facing the at least one semiconductor die and in contact with a bond pad of the plurality and a second via end projecting from a substrate surface facing away from the at least one semiconductor die, the first and second via ends tapering from a larger lateral extent proximate the substrate to a smaller lateral extent distal therefrom.
26. The semiconductor device assembly of claim 25, further including a dielectric material interposed between the active surface of the at least one semiconductor die and the surface of the substrate and surrounding the projecting first via ends.
27. The semiconductor device assembly of claim 25, further including a metal overlay over at least the substantially flat end surface.
28. The semiconductor device assembly of claim 25, wherein the second ends of the plurality of vias include discrete conductive elements disposed thereon.
29. The semiconductor device assembly of claim 25, wherein the at least one semiconductor die comprises a plurality of semiconductor dice mutually laterally adjacently disposed in substantially coplanar fashion over the substrate.
30. The semiconductor device assembly of claim 28, further comprising a dielectric layer over the another surface and laterally surrounding the discrete conductive elements.
31. The semiconductor device assembly of claim 25, wherein the projecting first via ends are in direct contact with the plurality of bond pads.
32. The semiconductor device assembly of claim 25, wherein the projecting first via ends are in contact with the plurality of bond pads through intervening discrete conductive elements.
33. The semiconductor device assembly of claim 25, wherein the substrate includes a recess therein facing the at least one semiconductor die and the at least one semiconductor die is aligned with the recess, and further including at least another semiconductor die having a plurality of bond pads on an active surface thereof superimposed over the at least one semiconductor die and in communication with the first via ends of vias of the plurality laterally adjacent the at least one semiconductor die and projecting at least beyond the active surface thereof.
34. The semiconductor device assembly of claim 33, wherein the substrate exhibits an increased thickness in a region surrounding the recess, and the vias of the plurality laterally adjacent the at least one semiconductor die extend through the region.
US11/384,069 2004-08-31 2006-03-17 Through-substrate interconnect structures and assemblies Abandoned US20060170110A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/384,069 US20060170110A1 (en) 2004-08-31 2006-03-17 Through-substrate interconnect structures and assemblies

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US60635504P 2004-08-31 2004-08-31
US11/138,544 US7109068B2 (en) 2004-08-31 2005-05-26 Through-substrate interconnect fabrication methods
US11/384,069 US20060170110A1 (en) 2004-08-31 2006-03-17 Through-substrate interconnect structures and assemblies

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/138,544 Division US7109068B2 (en) 2004-08-31 2005-05-26 Through-substrate interconnect fabrication methods

Publications (1)

Publication Number Publication Date
US20060170110A1 true US20060170110A1 (en) 2006-08-03

Family

ID=35943895

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/138,544 Active US7109068B2 (en) 2004-08-31 2005-05-26 Through-substrate interconnect fabrication methods
US11/384,069 Abandoned US20060170110A1 (en) 2004-08-31 2006-03-17 Through-substrate interconnect structures and assemblies

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/138,544 Active US7109068B2 (en) 2004-08-31 2005-05-26 Through-substrate interconnect fabrication methods

Country Status (1)

Country Link
US (2) US7109068B2 (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070257368A1 (en) * 2006-05-04 2007-11-08 Hussein Makarem A Dielectric spacers for metal interconnects and method to form the same
US20080067664A1 (en) * 2006-09-15 2008-03-20 Samsung Electro-Mechanics Co., Ltd. Cap wafer, semiconductor chip having the same, and fabrication method thereof
US20080160252A1 (en) * 2006-12-27 2008-07-03 Alexander Leon Via design for flux residue mitigation
US20080308910A1 (en) * 2005-08-05 2008-12-18 Micron Technology, Inc. Seminconductor device including through-wafer interconnect structure
US20090017626A1 (en) * 2007-07-09 2009-01-15 Samsung Electronics Co., Ltd. Semiconductor wet etchant and method of forming interconnection structure using the same
US20090079058A1 (en) * 2007-09-24 2009-03-26 Research Triangle Institute Semiconductor substrate elastomeric stack
US20090079056A1 (en) * 2007-09-25 2009-03-26 Rti International Large substrate structural vias
US20090145645A1 (en) * 2007-08-15 2009-06-11 Tessera, Inc. Interconnection element with posts formed by plating
US20090302479A1 (en) * 2008-06-06 2009-12-10 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Semiconductor structures having vias
US20100187665A1 (en) * 2009-01-26 2010-07-29 Sixis, Inc. Integral metal structure with conductive post portions
US7772702B2 (en) 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US20100200961A1 (en) * 2008-05-12 2010-08-12 Satyendra Singh Chauhan Thru silicon enabled die stacking scheme
US20100264521A1 (en) * 2005-12-07 2010-10-21 Wood Alan G Semiconductor Component Having Through Wire Interconnect (TWI) With Compressed Wire
US20110027930A1 (en) * 2008-03-11 2011-02-03 The Royal Institution For The Advancement Of Learning/Mcgill University Low Temperature Wafer Level Processing for MEMS Devices
US7898064B2 (en) 2005-09-01 2011-03-01 Micron Technology, Inc. Methods for forming through wafer interconnects and structures resulting therefrom
US20110049662A1 (en) * 2007-12-27 2011-03-03 Stats Chippac, Ltd. Semiconductor Device with Optical Sensor and Method of Forming Interconnect Structure on Front and Backside of the Device
US20110084400A1 (en) * 2009-10-09 2011-04-14 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
CN102176431A (en) * 2010-10-12 2011-09-07 日月光半导体制造股份有限公司 Semiconductor assembly and semiconductor packaging structure having the semiconductor assembly
US20120280395A1 (en) * 2011-05-05 2012-11-08 International Business Machines Corporation 3-D Integration using Multi Stage Vias
US20130062210A1 (en) * 2011-09-13 2013-03-14 Hoya Corporation Manufacturing method of substrate and manufacturing method of wiring substrate
US8404523B2 (en) 2006-04-24 2013-03-26 Micron Technoloy, Inc. Method for fabricating stacked semiconductor system with encapsulated through wire interconnects (TWI)
US20130075146A1 (en) * 2011-09-22 2013-03-28 Hoya Corporation Manufacturing method of substrate, manufacturing method of wiring substrate, glass substrate and wiring substrate
US20140004361A1 (en) * 2012-06-28 2014-01-02 Nikhil Sharma Substrate cores for laser through hole formation
US8680654B2 (en) * 2008-09-11 2014-03-25 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US9960110B2 (en) 2011-12-30 2018-05-01 Intel Corporation Self-enclosed asymmetric interconnect structures
US20180374786A1 (en) * 2014-12-24 2018-12-27 Medtronic, Inc. Feedthrough assemblies and methods of forming same
US10420509B2 (en) 2015-12-21 2019-09-24 Medtronic, Inc. Sealed package and method of forming same
US10813238B2 (en) 2014-12-24 2020-10-20 Medtronic, Inc. Hermetically-sealed packages including feedthrough assemblies

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
DE102004031878B3 (en) * 2004-07-01 2005-10-06 Epcos Ag Electrical multilayer component with reliable solder contact
US7425499B2 (en) * 2004-08-24 2008-09-16 Micron Technology, Inc. Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7271482B2 (en) 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7215032B2 (en) * 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US7851348B2 (en) * 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
US8456015B2 (en) * 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US20060278996A1 (en) * 2005-06-14 2006-12-14 John Trezza Active packaging
US7560813B2 (en) 2005-06-14 2009-07-14 John Trezza Chip-based thermo-stack
US7838997B2 (en) * 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US7781886B2 (en) * 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US20060281303A1 (en) * 2005-06-14 2006-12-14 John Trezza Tack & fuse chip bonding
US20060278966A1 (en) * 2005-06-14 2006-12-14 John Trezza Contact-based encapsulation
US7687400B2 (en) * 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7262134B2 (en) * 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7626268B2 (en) * 2005-10-12 2009-12-01 Infineon Technologies Ag Support structures for semiconductor devices
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7687397B2 (en) * 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
US7605019B2 (en) * 2006-07-07 2009-10-20 Qimonda Ag Semiconductor device with stacked chips and method for manufacturing thereof
US7629249B2 (en) * 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7560371B2 (en) * 2006-08-29 2009-07-14 Micron Technology, Inc. Methods for selectively filling apertures in a substrate to form conductive vias with a liquid using a vacuum
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
SE530415C2 (en) * 2006-09-04 2008-05-27 Nanospace Ab Gastrustor
DE102006044525B3 (en) * 2006-09-21 2008-01-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for production of integrated circuit, involves continuous making of flexible substrate having conductive strip structure, where flexible integrated circuit is connected with conductive strip structure of flexible substrate
US8212331B1 (en) * 2006-10-02 2012-07-03 Newport Fab, Llc Method for fabricating a backside through-wafer via in a processed wafer and related structure
US8110899B2 (en) * 2006-12-20 2012-02-07 Intel Corporation Method for incorporating existing silicon die into 3D integrated stack
US7670874B2 (en) * 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
US7741218B2 (en) * 2007-02-27 2010-06-22 Freescale Semiconductor, Inc. Conductive via formation utilizing electroplating
JP4380718B2 (en) 2007-03-15 2009-12-09 ソニー株式会社 Manufacturing method of semiconductor device
US7812461B2 (en) 2007-03-27 2010-10-12 Micron Technology, Inc. Method and apparatus providing integrated circuit having redistribution layer with recessed connectors
US8183151B2 (en) * 2007-05-04 2012-05-22 Micron Technology, Inc. Methods of forming conductive vias through substrates, and structures and assemblies resulting therefrom
US20080290435A1 (en) * 2007-05-21 2008-11-27 Micron Technology, Inc. Wafer level lens arrays for image sensor packages and the like, image sensor packages, and related methods
US20090001597A1 (en) * 2007-06-27 2009-01-01 Texas Instruments Incorporated Semiconductor device having an interconnect electrically connecting a front and backside thereof and a method of manufacture therefor
SG149710A1 (en) * 2007-07-12 2009-02-27 Micron Technology Inc Interconnects for packaged semiconductor devices and methods for manufacturing such devices
US7932179B2 (en) * 2007-07-27 2011-04-26 Micron Technology, Inc. Method for fabricating semiconductor device having backside redistribution layers
US20090032964A1 (en) * 2007-07-31 2009-02-05 Micron Technology, Inc. System and method for providing semiconductor device features using a protective layer
US8193092B2 (en) 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
TW200918917A (en) * 2007-10-16 2009-05-01 Compal Electronics Inc Testing probe and electrical connection method using the same
JP2009105119A (en) * 2007-10-22 2009-05-14 Spansion Llc Semiconductor device and its manufacturing method
US8097946B2 (en) * 2007-10-31 2012-01-17 Sanyo Electric Co., Ltd. Device mounting board, semiconductor module, and mobile device
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
DE102007060632A1 (en) * 2007-12-17 2009-06-18 Robert Bosch Gmbh Method for producing a cap wafer for a sensor
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
US7622365B2 (en) * 2008-02-04 2009-11-24 Micron Technology, Inc. Wafer processing including dicing
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8030780B2 (en) 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
KR20110000960A (en) * 2009-06-29 2011-01-06 삼성전자주식회사 Semiconductor chip, stack module, memory card, and method of fabricating the same
US8198547B2 (en) 2009-07-23 2012-06-12 Lexmark International, Inc. Z-directed pass-through components for printed circuit boards
JP5621090B2 (en) 2009-10-16 2014-11-05 ビーボップ センサーズ、インコーポレイテッド Foot-operated controller and computer-implemented method
TWI415219B (en) * 2009-12-01 2013-11-11 Darrell Mcreynolds Method of forming via interconnects for 3-d wafer/chip stacking
US8138020B2 (en) * 2010-03-25 2012-03-20 International Business Machines Corporation Wafer level integrated interconnect decal and manufacturing method thereof
WO2011123717A1 (en) * 2010-03-31 2011-10-06 Georgia Tech Research Corporation Interconnect structures and methods of making the same
DE102010025966B4 (en) * 2010-07-02 2012-03-08 Schott Ag Interposer and method for making holes in an interposer
US20120168412A1 (en) * 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for forming an aperture in a substrate
TWI445155B (en) * 2011-01-06 2014-07-11 Advanced Semiconductor Eng Stacked semiconductor package and method for making the same
US20120276662A1 (en) * 2011-04-27 2012-11-01 Iravani Hassan G Eddy current monitoring of metal features
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US20130341078A1 (en) * 2012-06-20 2013-12-26 Keith Bryan Hardin Z-directed printed circuit board components having a removable end portion and methods therefor
US9009954B2 (en) 2011-08-31 2015-04-21 Lexmark International, Inc. Process for manufacturing a Z-directed component for a printed circuit board using a sacrificial constraining material
US9078374B2 (en) 2011-08-31 2015-07-07 Lexmark International, Inc. Screening process for manufacturing a Z-directed component for a printed circuit board
US8943684B2 (en) 2011-08-31 2015-02-03 Lexmark International, Inc. Continuous extrusion process for manufacturing a Z-directed component for a printed circuit board
US9177832B2 (en) * 2011-09-16 2015-11-03 Stats Chippac, Ltd. Semiconductor device and method of forming a reconfigured stackable wafer level package with vertical interconnect
US9824923B2 (en) * 2011-10-17 2017-11-21 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive pillar having an expanded base
US9076419B2 (en) 2012-03-14 2015-07-07 Bebop Sensors, Inc. Multi-touch pad controller
KR101971279B1 (en) 2012-08-30 2019-04-22 에스케이하이닉스 주식회사 Bump structure and the method for fabricating the same
US9136236B2 (en) 2012-09-28 2015-09-15 Intel Corporation Localized high density substrate routing
CN102903673A (en) * 2012-10-30 2013-01-30 中国科学院上海微系统与信息技术研究所 Method for manufacturing wafer-level through silicon via (TSV)
US9190380B2 (en) 2012-12-06 2015-11-17 Intel Corporation High density substrate routing in BBUL package
US9159690B2 (en) 2013-09-25 2015-10-13 Intel Corporation Tall solders for through-mold interconnect
US9349703B2 (en) 2013-09-25 2016-05-24 Intel Corporation Method for making high density substrate interconnect using inkjet printing
CN103500729B (en) * 2013-10-18 2015-10-14 中国科学院上海微系统与信息技术研究所 Silicon adapter plate structure and wafer level manufacture method thereof
USD731448S1 (en) * 2013-10-29 2015-06-09 Ebara Corporation Polishing pad for substrate polishing apparatus
US9768147B2 (en) 2014-02-03 2017-09-19 Micron Technology, Inc. Thermal pads between stacked semiconductor dies and associated systems and methods
US9659851B2 (en) * 2014-02-07 2017-05-23 Marvell World Trade Ltd. Method and apparatus for improving the reliability of a connection to a via in a substrate
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9753568B2 (en) 2014-05-15 2017-09-05 Bebop Sensors, Inc. Flexible sensors and applications
US9442614B2 (en) 2014-05-15 2016-09-13 Bebop Sensors, Inc. Two-dimensional sensor arrays
US9965076B2 (en) 2014-05-15 2018-05-08 Bebop Sensors, Inc. Piezoresistive sensors and applications
US10362989B2 (en) 2014-06-09 2019-07-30 Bebop Sensors, Inc. Sensor system integrated with a glove
US9710060B2 (en) 2014-06-09 2017-07-18 BeBop Senors, Inc. Sensor system integrated with a glove
CN104409364B (en) * 2014-11-19 2017-12-01 清华大学 Pinboard and preparation method thereof, encapsulating structure and the bonding method for pinboard
US9863823B2 (en) 2015-02-27 2018-01-09 Bebop Sensors, Inc. Sensor systems integrated with footwear
US10082381B2 (en) 2015-04-30 2018-09-25 Bebop Sensors, Inc. Sensor systems integrated with vehicle tires
CN104992900B (en) * 2015-06-24 2018-01-30 哈尔滨工业大学 α‑Al2O3Single-crystal surface SiO2The preparation method of mask
US9827996B2 (en) 2015-06-25 2017-11-28 Bebop Sensors, Inc. Sensor systems integrated with steering wheels
US9721553B2 (en) * 2015-10-14 2017-08-01 Bebop Sensors, Inc. Sensor-based percussion device
WO2017138299A1 (en) * 2016-02-08 2017-08-17 株式会社村田製作所 High frequency module and method for producing same
US11605487B2 (en) * 2017-04-14 2023-03-14 The Diller Corporation Laminate with induction coils and charging station device comprising same
CN108163803B (en) * 2017-12-26 2023-05-26 中国计量大学 MEMS three-dimensional tunnel structure
US10884496B2 (en) 2018-07-05 2021-01-05 Bebop Sensors, Inc. One-size-fits-all data glove
US11480481B2 (en) 2019-03-13 2022-10-25 Bebop Sensors, Inc. Alignment mechanisms sensor systems employing piezoresistive materials
JP7240909B2 (en) * 2019-03-13 2023-03-16 新光電気工業株式会社 Wiring board and its manufacturing method
CN112205082B (en) * 2019-04-23 2022-08-09 庆鼎精密电子(淮安)有限公司 Circuit board and manufacturing method thereof
KR20210053537A (en) * 2019-11-04 2021-05-12 삼성전자주식회사 A semiconductor package
CN115910956A (en) * 2021-09-30 2023-04-04 恩智浦美国有限公司 Bumpless and leadless semiconductor device

Citations (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4074342A (en) * 1974-12-20 1978-02-14 International Business Machines Corporation Electrical package for lsi devices and assembly process therefor
US4445978A (en) * 1983-03-09 1984-05-01 Rca Corporation Method for fabricating via connectors through semiconductor wafers
US4806111A (en) * 1985-11-01 1989-02-21 Hitachi, Ltd. Connector structure
US5063177A (en) * 1990-10-04 1991-11-05 Comsat Method of packaging microwave semiconductor components and integrated circuits
US5166097A (en) * 1990-11-26 1992-11-24 The Boeing Company Silicon wafers containing conductive feedthroughs
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
US5269880A (en) * 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5380681A (en) * 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5420520A (en) * 1993-06-11 1995-05-30 International Business Machines Corporation Method and apparatus for testing of integrated circuit chips
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
US5438212A (en) * 1993-02-25 1995-08-01 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with heat dissipation structure
US5483741A (en) * 1993-09-03 1996-01-16 Micron Technology, Inc. Method for fabricating a self limiting silicon based interconnect for testing bare semiconductor dice
US5495667A (en) * 1994-11-07 1996-03-05 Micron Technology, Inc. Method for forming contact pins for semiconductor dice and interconnects
US5528080A (en) * 1993-03-05 1996-06-18 Goldstein; Edward F. Electrically conductive interconnection through a body of semiconductor material
US5541525A (en) * 1991-06-04 1996-07-30 Micron Technology, Inc. Carrier for testing an unpackaged semiconductor die
US5559444A (en) * 1991-06-04 1996-09-24 Micron Technology, Inc. Method and apparatus for testing unpackaged semiconductor dice
US5592736A (en) * 1993-09-03 1997-01-14 Micron Technology, Inc. Fabricating an interconnect for testing unpackaged semiconductor dice having raised bond pads
US5607818A (en) * 1991-06-04 1997-03-04 Micron Technology, Inc. Method for making interconnects and semiconductor structures using electrophoretic photoresist deposition
US5686317A (en) * 1991-06-04 1997-11-11 Micron Technology, Inc. Method for forming an interconnect having a penetration limited contact structure for establishing a temporary electrical connection with a semiconductor die
US5796264A (en) * 1991-06-04 1998-08-18 Micron Technology, Inc. Apparatus for manufacturing known good semiconductor dice
US5841196A (en) * 1996-08-13 1998-11-24 Advanced Micro Devices, Inc. Fluted via formation for superior metal step coverage
US5843844A (en) * 1995-01-25 1998-12-01 Matsushita Electric Industrial Co., Ltd. Probe sheet and method of manufacturing the same
US6013948A (en) * 1995-11-27 2000-01-11 Micron Technology, Inc. Stackable chip scale semiconductor package with mating contacts on opposed surfaces
US6018196A (en) * 1996-11-08 2000-01-25 W. L. Gore & Associates, Inc. Semiconductor flip chip package
US6022797A (en) * 1996-11-29 2000-02-08 Hitachi, Ltd. Method of manufacturing through holes in a semiconductor device
US6054377A (en) * 1997-05-19 2000-04-25 Motorola, Inc. Method for forming an inlaid via in a semiconductor device
US6080664A (en) * 1998-05-29 2000-06-27 Vanguard International Semiconductor Corporation Method for fabricating a high aspect ratio stacked contact hole
US6110825A (en) * 1997-11-26 2000-08-29 Stmicroelectronics, S.R.L. Process for forming front-back through contacts in micro-integrated electronic devices
US6114240A (en) * 1997-12-18 2000-09-05 Micron Technology, Inc. Method for fabricating semiconductor components using focused laser beam
US6214716B1 (en) * 1998-09-30 2001-04-10 Micron Technology, Inc. Semiconductor substrate-based BGA interconnection and methods of farication same
US6221769B1 (en) * 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6355181B1 (en) * 1998-03-20 2002-03-12 Surface Technology Systems Plc Method and apparatus for manufacturing a micromechanical device
US6410976B1 (en) * 1997-08-22 2002-06-25 Micron Technology, Inc. Integrated circuitry having conductive passageway interconnecting circuitry on front and back surfaces of a wafer fragment
US6410431B2 (en) * 1998-04-07 2002-06-25 International Business Machines Corporation Through-chip conductors for low inductance chip-to-chip integration and off-chip connections
US6420209B1 (en) * 1996-10-29 2002-07-16 Tru-Si Technologies, Inc. Integrated circuits and methods for their fabrication
US20020115290A1 (en) * 2001-02-22 2002-08-22 Halahan Patrick B. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6458696B1 (en) * 2001-04-11 2002-10-01 Agere Systems Guardian Corp Plated through hole interconnections
US6479382B1 (en) * 2001-03-08 2002-11-12 National Semiconductor Corporation Dual-sided semiconductor chip and method for forming the chip with a conductive path through the chip that connects elements on each side of the chip
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US6667551B2 (en) * 2000-01-21 2003-12-23 Seiko Epson Corporation Semiconductor device and manufacturing thereof, including a through-hole with a wider intermediate cavity
US6716737B2 (en) * 2002-07-29 2004-04-06 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US20040080040A1 (en) * 2002-10-28 2004-04-29 Sharp Kabushiki Kaisha Semiconductor device and chip-stack semiconductor device
US6770923B2 (en) * 2001-03-20 2004-08-03 Freescale Semiconductor, Inc. High K dielectric film
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US20040217483A1 (en) * 2003-04-30 2004-11-04 Infineon Technologies Ag Semiconductor device and method for fabricating the semiconductor device
US6821877B1 (en) * 2003-06-24 2004-11-23 Anam Semiconductor Inc. Method of fabricating metal interconnection of semiconductor device
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US20050006768A1 (en) * 2003-02-27 2005-01-13 Mukundan Narasimhan Dielectric barrier layer films
US6846725B2 (en) * 2002-10-17 2005-01-25 Institute Of Microelectronics Wafer-level package for micro-electro-mechanical systems
US20050121768A1 (en) * 2003-12-05 2005-06-09 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US20060043599A1 (en) * 2004-09-02 2006-03-02 Salman Akram Through-wafer interconnects for photoimager and memory wafers
US7029937B2 (en) * 2002-03-19 2006-04-18 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
US7109060B2 (en) * 2003-12-18 2006-09-19 Seiko Epson Corporation Manufacturing method of semiconductor device, semiconductor device, circuit substrate and electronic equipment
US7135762B2 (en) * 2003-05-19 2006-11-14 Seiko Epson Corporation Semiconductor device, stacked semiconductor device, methods of manufacturing them, circuit board, and electronic instrument
US20080150089A1 (en) * 2006-11-06 2008-06-26 Yong-Chai Kwon Semiconductor device having through vias and method of manufacturing the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5236551A (en) * 1990-05-10 1993-08-17 Microelectronics And Computer Technology Corporation Rework of polymeric dielectric electrical interconnect by laser photoablation
US6712983B2 (en) * 2001-04-12 2004-03-30 Memsic, Inc. Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US7368313B2 (en) * 2004-02-17 2008-05-06 Robert Bosch Gmbh Method of making a differential pressure sensor

Patent Citations (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4074342A (en) * 1974-12-20 1978-02-14 International Business Machines Corporation Electrical package for lsi devices and assembly process therefor
US4445978A (en) * 1983-03-09 1984-05-01 Rca Corporation Method for fabricating via connectors through semiconductor wafers
US4806111A (en) * 1985-11-01 1989-02-21 Hitachi, Ltd. Connector structure
US5063177A (en) * 1990-10-04 1991-11-05 Comsat Method of packaging microwave semiconductor components and integrated circuits
US5166097A (en) * 1990-11-26 1992-11-24 The Boeing Company Silicon wafers containing conductive feedthroughs
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
US5541525A (en) * 1991-06-04 1996-07-30 Micron Technology, Inc. Carrier for testing an unpackaged semiconductor die
US5796264A (en) * 1991-06-04 1998-08-18 Micron Technology, Inc. Apparatus for manufacturing known good semiconductor dice
US5686317A (en) * 1991-06-04 1997-11-11 Micron Technology, Inc. Method for forming an interconnect having a penetration limited contact structure for establishing a temporary electrical connection with a semiconductor die
US5607818A (en) * 1991-06-04 1997-03-04 Micron Technology, Inc. Method for making interconnects and semiconductor structures using electrophoretic photoresist deposition
US5559444A (en) * 1991-06-04 1996-09-24 Micron Technology, Inc. Method and apparatus for testing unpackaged semiconductor dice
US5269880A (en) * 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
US5438212A (en) * 1993-02-25 1995-08-01 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with heat dissipation structure
US5528080A (en) * 1993-03-05 1996-06-18 Goldstein; Edward F. Electrically conductive interconnection through a body of semiconductor material
US5420520A (en) * 1993-06-11 1995-05-30 International Business Machines Corporation Method and apparatus for testing of integrated circuit chips
US5483741A (en) * 1993-09-03 1996-01-16 Micron Technology, Inc. Method for fabricating a self limiting silicon based interconnect for testing bare semiconductor dice
US5592736A (en) * 1993-09-03 1997-01-14 Micron Technology, Inc. Fabricating an interconnect for testing unpackaged semiconductor dice having raised bond pads
US5380681A (en) * 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5495667A (en) * 1994-11-07 1996-03-05 Micron Technology, Inc. Method for forming contact pins for semiconductor dice and interconnects
US5843844A (en) * 1995-01-25 1998-12-01 Matsushita Electric Industrial Co., Ltd. Probe sheet and method of manufacturing the same
US6013948A (en) * 1995-11-27 2000-01-11 Micron Technology, Inc. Stackable chip scale semiconductor package with mating contacts on opposed surfaces
US5841196A (en) * 1996-08-13 1998-11-24 Advanced Micro Devices, Inc. Fluted via formation for superior metal step coverage
US6420209B1 (en) * 1996-10-29 2002-07-16 Tru-Si Technologies, Inc. Integrated circuits and methods for their fabrication
US6018196A (en) * 1996-11-08 2000-01-25 W. L. Gore & Associates, Inc. Semiconductor flip chip package
US6022797A (en) * 1996-11-29 2000-02-08 Hitachi, Ltd. Method of manufacturing through holes in a semiconductor device
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6054377A (en) * 1997-05-19 2000-04-25 Motorola, Inc. Method for forming an inlaid via in a semiconductor device
US6410976B1 (en) * 1997-08-22 2002-06-25 Micron Technology, Inc. Integrated circuitry having conductive passageway interconnecting circuitry on front and back surfaces of a wafer fragment
US6110825A (en) * 1997-11-26 2000-08-29 Stmicroelectronics, S.R.L. Process for forming front-back through contacts in micro-integrated electronic devices
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US6400172B1 (en) * 1997-12-18 2002-06-04 Micron Technology, Inc. Semiconductor components having lasered machined conductive vias
US6114240A (en) * 1997-12-18 2000-09-05 Micron Technology, Inc. Method for fabricating semiconductor components using focused laser beam
US6355181B1 (en) * 1998-03-20 2002-03-12 Surface Technology Systems Plc Method and apparatus for manufacturing a micromechanical device
US6410431B2 (en) * 1998-04-07 2002-06-25 International Business Machines Corporation Through-chip conductors for low inductance chip-to-chip integration and off-chip connections
US6080664A (en) * 1998-05-29 2000-06-27 Vanguard International Semiconductor Corporation Method for fabricating a high aspect ratio stacked contact hole
US6214716B1 (en) * 1998-09-30 2001-04-10 Micron Technology, Inc. Semiconductor substrate-based BGA interconnection and methods of farication same
US6221769B1 (en) * 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6667551B2 (en) * 2000-01-21 2003-12-23 Seiko Epson Corporation Semiconductor device and manufacturing thereof, including a through-hole with a wider intermediate cavity
US6852621B2 (en) * 2000-01-21 2005-02-08 Seiko Epson Corporation Semiconductor device and manufacturing method therefor, circuit board, and electronic equipment
US6844241B2 (en) * 2001-02-22 2005-01-18 Tru-Si Technologies, Inc. Fabrication of semiconductor structures having multiple conductive layers in an opening
US20020115290A1 (en) * 2001-02-22 2002-08-22 Halahan Patrick B. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US7001825B2 (en) * 2001-02-22 2006-02-21 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6479382B1 (en) * 2001-03-08 2002-11-12 National Semiconductor Corporation Dual-sided semiconductor chip and method for forming the chip with a conductive path through the chip that connects elements on each side of the chip
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US6770923B2 (en) * 2001-03-20 2004-08-03 Freescale Semiconductor, Inc. High K dielectric film
US6458696B1 (en) * 2001-04-11 2002-10-01 Agere Systems Guardian Corp Plated through hole interconnections
US7029937B2 (en) * 2002-03-19 2006-04-18 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
US6716737B2 (en) * 2002-07-29 2004-04-06 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US6846725B2 (en) * 2002-10-17 2005-01-25 Institute Of Microelectronics Wafer-level package for micro-electro-mechanical systems
US20040080040A1 (en) * 2002-10-28 2004-04-29 Sharp Kabushiki Kaisha Semiconductor device and chip-stack semiconductor device
US20050006768A1 (en) * 2003-02-27 2005-01-13 Mukundan Narasimhan Dielectric barrier layer films
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US20040217483A1 (en) * 2003-04-30 2004-11-04 Infineon Technologies Ag Semiconductor device and method for fabricating the semiconductor device
US7135762B2 (en) * 2003-05-19 2006-11-14 Seiko Epson Corporation Semiconductor device, stacked semiconductor device, methods of manufacturing them, circuit board, and electronic instrument
US6821877B1 (en) * 2003-06-24 2004-11-23 Anam Semiconductor Inc. Method of fabricating metal interconnection of semiconductor device
US20050121768A1 (en) * 2003-12-05 2005-06-09 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7109060B2 (en) * 2003-12-18 2006-09-19 Seiko Epson Corporation Manufacturing method of semiconductor device, semiconductor device, circuit substrate and electronic equipment
US20060043599A1 (en) * 2004-09-02 2006-03-02 Salman Akram Through-wafer interconnects for photoimager and memory wafers
US20080150089A1 (en) * 2006-11-06 2008-06-26 Yong-Chai Kwon Semiconductor device having through vias and method of manufacturing the same

Cited By (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080308910A1 (en) * 2005-08-05 2008-12-18 Micron Technology, Inc. Seminconductor device including through-wafer interconnect structure
US7880307B2 (en) 2005-08-05 2011-02-01 Micron Technology, Inc. Semiconductor device including through-wafer interconnect structure
US8736028B2 (en) 2005-09-01 2014-05-27 Micron Technology, Inc. Semiconductor device structures and printed circuit boards comprising semiconductor devices
US7898064B2 (en) 2005-09-01 2011-03-01 Micron Technology, Inc. Methods for forming through wafer interconnects and structures resulting therefrom
US8268723B2 (en) 2005-09-01 2012-09-18 Micron Technology, Inc. Methods for forming semiconductor device structures
US20110117739A1 (en) * 2005-09-01 2011-05-19 Micron Technology, Inc. Methods for forming semiconductor device structures
US8405191B2 (en) 2005-09-01 2013-03-26 Micron Technology, Inc. Semiconductor device structures
US8193646B2 (en) * 2005-12-07 2012-06-05 Micron Technology, Inc. Semiconductor component having through wire interconnect (TWI) with compressed wire
US9013044B2 (en) 2005-12-07 2015-04-21 Micron Technology, Inc. Through wire interconnect (TWI) for semiconductor components having wire in via and bonded connection with substrate contact
US20100264521A1 (en) * 2005-12-07 2010-10-21 Wood Alan G Semiconductor Component Having Through Wire Interconnect (TWI) With Compressed Wire
US8513797B2 (en) 2005-12-07 2013-08-20 Micron Technology, Inc. Stacked semiconductor component having through wire interconnect (TWI) with compressed wire
US8404523B2 (en) 2006-04-24 2013-03-26 Micron Technoloy, Inc. Method for fabricating stacked semiconductor system with encapsulated through wire interconnects (TWI)
US9018751B2 (en) 2006-04-24 2015-04-28 Micron Technology, Inc. Semiconductor module system having encapsulated through wire interconnect (TWI)
US8741667B2 (en) 2006-04-24 2014-06-03 Micron Technology, Inc. Method for fabricating a through wire interconnect (TWI) on a semiconductor substrate having a bonded connection and an encapsulating polymer layer
US8581387B1 (en) 2006-04-24 2013-11-12 Micron Technology, Inc. Through wire interconnect (TWI) having bonded connection and encapsulating polymer layer
US20100071941A1 (en) * 2006-05-04 2010-03-25 Hussein Makarem A Dielectric spacers for metal interconnects and method to form the same
US7923760B2 (en) 2006-05-04 2011-04-12 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7649239B2 (en) * 2006-05-04 2010-01-19 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US20070257368A1 (en) * 2006-05-04 2007-11-08 Hussein Makarem A Dielectric spacers for metal interconnects and method to form the same
US8394701B2 (en) 2006-05-04 2013-03-12 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US20080067664A1 (en) * 2006-09-15 2008-03-20 Samsung Electro-Mechanics Co., Ltd. Cap wafer, semiconductor chip having the same, and fabrication method thereof
US7626258B2 (en) * 2006-09-15 2009-12-01 Samsung Electro-Mechanics Co., Ltd. Cap wafer, semiconductor chip having the same, and fabrication method thereof
US7772702B2 (en) 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US8102057B2 (en) * 2006-12-27 2012-01-24 Hewlett-Packard Development Company, L.P. Via design for flux residue mitigation
US20080160252A1 (en) * 2006-12-27 2008-07-03 Alexander Leon Via design for flux residue mitigation
US20090017626A1 (en) * 2007-07-09 2009-01-15 Samsung Electronics Co., Ltd. Semiconductor wet etchant and method of forming interconnection structure using the same
US8043974B2 (en) * 2007-07-09 2011-10-25 Samsung Electronics Co., Ltd. Semiconductor wet etchant and method of forming interconnection structure using the same
US9282640B2 (en) 2007-08-15 2016-03-08 Tessera, Inc. Interconnection element with posts formed by plating
US20090145645A1 (en) * 2007-08-15 2009-06-11 Tessera, Inc. Interconnection element with posts formed by plating
US8505199B2 (en) 2007-08-15 2013-08-13 Tessera, Inc. Method of fabricating an interconnection element having conductive posts
US20090148594A1 (en) * 2007-08-15 2009-06-11 Tessera, Inc. Interconnection element with plated posts formed on mandrel
US8222086B2 (en) 2007-09-24 2012-07-17 Research Triangle Institute Integrated semiconductor substrate structure using incompatible processes
US8404585B2 (en) 2007-09-24 2013-03-26 Research Triangle Institute Preventing breakage of long metal signal conductors on semiconductor substrates
US20110183469A1 (en) * 2007-09-24 2011-07-28 Research Triangle Institute Integrated semiconductor substrate structure using incompatible processes
US7999388B2 (en) 2007-09-24 2011-08-16 Research Triangle Institute Preventing breakage of long metal signal conductors on semiconductor substrates
US7831874B2 (en) 2007-09-24 2010-11-09 Sixis, Inc. Local defect memories on semiconductor substrates in a stack computer
US20090079058A1 (en) * 2007-09-24 2009-03-26 Research Triangle Institute Semiconductor substrate elastomeric stack
US7944041B2 (en) 2007-09-24 2011-05-17 Research Triangle Institute Integrated semiconductor substrate structure using incompatible processes
US20090079084A1 (en) * 2007-09-24 2009-03-26 Research Triangle Institute Preventing breakage of long metal signal conductors on semiconductor substrates
US20090079059A1 (en) * 2007-09-24 2009-03-26 Research Triangle Institute Integrated semiconductor substrate structure using incompatible processes
US7829994B2 (en) 2007-09-24 2010-11-09 Sixis, Inc. Semiconductor substrate elastomeric stack
US8008134B2 (en) 2007-09-25 2011-08-30 Research Triangle Institute Large substrate structural vias
US20100200540A1 (en) * 2007-09-25 2010-08-12 Sixis, Inc. Large substrate structural vias
US7709966B2 (en) * 2007-09-25 2010-05-04 Sixis, Inc. Large substrate structural vias
US20090079056A1 (en) * 2007-09-25 2009-03-26 Rti International Large substrate structural vias
US20120286400A1 (en) * 2007-12-27 2012-11-15 Stats Chippac, Ltd. Semiconductor Device with Optical Sensor and Method of Forming Interconnect Structure on Front and Backside of the Device
US9525080B2 (en) * 2007-12-27 2016-12-20 STATS ChipPAC Pte. Ltd. Semiconductor device with optical sensor and method of forming interconnect structure on front and backside of the device
US8866248B2 (en) 2007-12-27 2014-10-21 Stats Chippac, Ltd. Semiconductor device with optical sensor and method of forming interconnect structure on front and backside of the device
US20110049662A1 (en) * 2007-12-27 2011-03-03 Stats Chippac, Ltd. Semiconductor Device with Optical Sensor and Method of Forming Interconnect Structure on Front and Backside of the Device
US20110027930A1 (en) * 2008-03-11 2011-02-03 The Royal Institution For The Advancement Of Learning/Mcgill University Low Temperature Wafer Level Processing for MEMS Devices
US7973416B2 (en) * 2008-05-12 2011-07-05 Texas Instruments Incorporated Thru silicon enabled die stacking scheme
US20100200961A1 (en) * 2008-05-12 2010-08-12 Satyendra Singh Chauhan Thru silicon enabled die stacking scheme
US20100320575A9 (en) * 2008-05-12 2010-12-23 Satyendra Singh Chauhan Thru silicon enabled die stacking scheme
US20090302479A1 (en) * 2008-06-06 2009-12-10 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Semiconductor structures having vias
US9165888B2 (en) 2008-09-11 2015-10-20 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US8680654B2 (en) * 2008-09-11 2014-03-25 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US20100187665A1 (en) * 2009-01-26 2010-07-29 Sixis, Inc. Integral metal structure with conductive post portions
US8129834B2 (en) 2009-01-26 2012-03-06 Research Triangle Institute Integral metal structure with conductive post portions
US20110084400A1 (en) * 2009-10-09 2011-04-14 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
US8779560B2 (en) 2009-10-09 2014-07-15 Ps4 Luxco S.A.R.L. Semiconductor device and manufacturing method thereof
US8519514B2 (en) * 2009-10-09 2013-08-27 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
CN102176431A (en) * 2010-10-12 2011-09-07 日月光半导体制造股份有限公司 Semiconductor assembly and semiconductor packaging structure having the semiconductor assembly
US8853857B2 (en) * 2011-05-05 2014-10-07 International Business Machines Corporation 3-D integration using multi stage vias
US20120280395A1 (en) * 2011-05-05 2012-11-08 International Business Machines Corporation 3-D Integration using Multi Stage Vias
US20130062210A1 (en) * 2011-09-13 2013-03-14 Hoya Corporation Manufacturing method of substrate and manufacturing method of wiring substrate
US20130075146A1 (en) * 2011-09-22 2013-03-28 Hoya Corporation Manufacturing method of substrate, manufacturing method of wiring substrate, glass substrate and wiring substrate
US9232652B2 (en) * 2011-09-22 2016-01-05 Hoya Corporation Manufacturing method of substrate, manufacturing method of wiring substrate, glass substrate and wiring substrate
US9960110B2 (en) 2011-12-30 2018-05-01 Intel Corporation Self-enclosed asymmetric interconnect structures
US20140004361A1 (en) * 2012-06-28 2014-01-02 Nikhil Sharma Substrate cores for laser through hole formation
US9064872B2 (en) 2012-12-04 2015-06-23 Intel Corporation Semiconductor interconnect structures
US9455224B2 (en) 2012-12-04 2016-09-27 Intel Corporation Semiconductor interconnect structures
US9754886B2 (en) 2012-12-04 2017-09-05 Intel Corporation Semiconductor interconnect structures
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US20180374786A1 (en) * 2014-12-24 2018-12-27 Medtronic, Inc. Feedthrough assemblies and methods of forming same
US10535596B2 (en) * 2014-12-24 2020-01-14 Medtronic, Inc. Feedthrough assemblies and methods of forming same
US10813238B2 (en) 2014-12-24 2020-10-20 Medtronic, Inc. Hermetically-sealed packages including feedthrough assemblies
US11950387B2 (en) 2014-12-24 2024-04-02 Medtronic, Inc. Methods for forming hermetically-sealed packages including feedthrough assemblies
US10420509B2 (en) 2015-12-21 2019-09-24 Medtronic, Inc. Sealed package and method of forming same
US10765372B2 (en) 2015-12-21 2020-09-08 Medtronic, Inc. Sealed package and method of forming same
US11419552B2 (en) 2015-12-21 2022-08-23 Medtronic, Inc. Sealed package and method of forming same
US11744518B2 (en) 2015-12-21 2023-09-05 Medtronic, Inc. Sealed package and method of forming same

Also Published As

Publication number Publication date
US7109068B2 (en) 2006-09-19
US20060046468A1 (en) 2006-03-02

Similar Documents

Publication Publication Date Title
US7109068B2 (en) Through-substrate interconnect fabrication methods
US7564118B2 (en) Chip and wafer integration process using vertical connections
CN100573854C (en) Semiconductor device, circuit substrate and electronic equipment
US8736028B2 (en) Semiconductor device structures and printed circuit boards comprising semiconductor devices
JP5532394B2 (en) Semiconductor device, circuit board, and electronic equipment
US7378342B2 (en) Methods for forming vias varying lateral dimensions
US6838774B2 (en) Interlocking conductor method for bonding wafers to produce stacked integrated circuits
TWI320198B (en) Methods of forming through-wafer interconnects and structures resulting therefrom
JP3908148B2 (en) Multilayer semiconductor device
US6611052B2 (en) Wafer level stackable semiconductor package
US9515006B2 (en) 3D device packaging using through-substrate posts
US20040256734A1 (en) Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US9484293B2 (en) Semiconductor devices with close-packed via structures having in-plane routing and method of making same
US9455237B2 (en) Bowl-shaped solder structure
TWI596680B (en) Low cte component with wire bond interconnects
CN102064153A (en) Semiconductor device and method of manufacturing the semiconductor device
US9093456B2 (en) Stack of semiconductor structures and corresponding manufacturing method
TW201426963A (en) Wafer stacking structure and method of manufacturing the same
JP2014135505A (en) Semiconductor device, circuit board and electronic apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION