US20060193983A1 - Apparatus and methods for plasma vapor deposition processes - Google Patents

Apparatus and methods for plasma vapor deposition processes Download PDF

Info

Publication number
US20060193983A1
US20060193983A1 US11/413,662 US41366206A US2006193983A1 US 20060193983 A1 US20060193983 A1 US 20060193983A1 US 41366206 A US41366206 A US 41366206A US 2006193983 A1 US2006193983 A1 US 2006193983A1
Authority
US
United States
Prior art keywords
maintenance
chamber
plasma
conductive material
inject
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/413,662
Inventor
Garo Derderian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/413,662 priority Critical patent/US20060193983A1/en
Publication of US20060193983A1 publication Critical patent/US20060193983A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Definitions

  • the present invention relates to plasma vapor deposition processes used to deposit layers of conductive materials or other types of materials in the fabrication of microfeature devices.
  • Thin film deposition techniques are widely used to build interconnects, plugs, gates, capacitors, transistors and other microfeatures in the manufacturing of microelectronic devices.
  • Thin film deposition techniques are continually improved to meet the ever increasing demands of the industry because the microfeature sizes are constantly decreasing and the number of microfeature layers is constantly increasing.
  • the density of microfeatures and the aspect ratios of depressions e.g., the ratio of the depth to the size of the opening
  • Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • CVD chemical vapor deposition
  • one or more reactive precursors are mixed in a gas or vapor state and then the precursor mixture is presented to the surface of the workpiece.
  • the surface of the workpiece catalyzes a reaction between the precursors to form a solid, thin film at the workpiece surface.
  • a common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • CVD processes are routinely employed in many stages of manufacturing microelectronic components.
  • Atomic layer deposition is another thin film deposition technique that is gaining prominence in manufacturing microfeatures on workpieces.
  • FIGS. 1A and 1 B schematically illustrate the basic operation of ALD processes.
  • a layer of gas molecules A coats the surface of a workpiece W.
  • the layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules and then purging the chamber with a purge gas to remove excess A molecules.
  • This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures.
  • the layer of A molecules is then exposed to another precursor gas containing B molecules.
  • the A molecules react with the B molecules to form an extremely thin layer of solid material C on the workpiece W.
  • Such thin layers are referred to herein as nanolayers because they are typically less than 1 nm thick and usually less than 2 ⁇ thick.
  • each cycle may form a layer having a thickness of approximately 0.5-1.0 ⁇ .
  • the chamber is then purged again with a purge gas to remove excess B molecules.
  • FIG. 2 schematically illustrates a conventional plasma processing system including a processing vessel 2 and a microwave transmitting window 4 .
  • the plasma processing system further includes a microwave generator 6 having a rectangular wave guide 8 and a disk-shaped antenna 10 .
  • the microwaves radiated by the antenna 10 propagate through the window 4 and into the processing vessel 2 to produce a plasma by electron cyclotron resonance.
  • the plasma causes a desired material to be coated onto a workpiece W.
  • plasma CVD processes are useful for several applications, such as gate hardening, they are difficult to use in depositing conductive materials onto the wafer.
  • a secondary deposit of the metal accumulates on the interior surface of the window 4 .
  • This secondary deposit of metal builds up on the window 4 as successive microfeature workpieces are processed.
  • the secondary deposit of metal has a low transmissivity to the microwave energy radiating from the antenna 10 . After a period of time, the secondary deposit of metal can block the microwave energy from propagating through the window 4 and into the processing vessel 2 .
  • the secondary deposit of metal is also generally non-uniform across the interior surface of the window 4 . Therefore, the secondary deposit of metal on the window 4 can prevent the plasma from forming or produce non-uniform films on the workpiece.
  • the interior of the reaction chamber must be cleaned periodically. For example, flowing ClF 3 through the processing vessel 2 is one possible process to clean the window 4 .
  • This process requires that the reaction chamber be cooled from a deposition temperature of approximately 400° C. to a cleaning temperature of approximately 300° C. The chamber is then purged of the cleaning agent and reheated back to the 400° C. deposition temperature.
  • the cleaning process generally requires 3-4 hours to complete, and it may need to be performed frequently when depositing a metal onto the workpiece.
  • residual molecules of the cleaner may remain in the chamber and contaminate the resulting film or otherwise disrupt the deposition process. Therefore, it has not been economical to use plasma vapor deposition processes for depositing certain types of metal layers or other conductive materials on microfeature workpieces.
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a schematic cross-sectional view of a plasma vapor deposition system in accordance with the prior art.
  • FIG. 3 is a schematic cross-sectional view of a plasma vapor deposition system in accordance with an embodiment of the invention.
  • FIG. 4 is a flow chart of a method in accordance with an embodiment of the invention.
  • FIGS. 5A and 5B are schematic cross-sectional views of a portion of a transmitting window used in a plasma vapor deposition system at various stages of an embodiment of a method in accordance with the invention.
  • microfeature workpiece is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other features are fabricated.
  • microfeature workpieces can be semiconductor wafers (e.g., silicon or gallium arsenide wafers), glass substrates, insulative substrates, and many other types of materials.
  • microfeature workpieces typically have submicron features with dimensions of a few nanometers or greater.
  • gas is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature).
  • vapors i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature.
  • One aspect of the invention is directed toward a method of forming a conductive layer on a microfeature workpiece.
  • the method comprises depositing an electrically conductive material onto a first microfeature workpiece in a vapor deposition process by flowing a gas into a plasma zone of a vapor deposition chamber and transmitting an energy into the plasma zone via a transmitting window.
  • the energy transmitted through the window and into the plasma zone produces a plasma from the gas.
  • the energy for example, can be microwave radiation.
  • the plasma produced from the gas forms a conductive layer on the workpiece using either CVD or ALD processes.
  • the process of forming the conductive layer on the workpiece secondarily deposits a residual film on the window.
  • the residual film has a first transmissivity to the plasma energy.
  • This embodiment of the method further includes changing the residual film on the window to have a second transmissivity to the plasma energy.
  • the second transmissivity to the plasma energy for example, can be less than the first transmissivity.
  • changing the residual film to have a second transmissivity to the energy increases the amount of plasma energy that can propagate through the window and into the plasma zone.
  • the procedure of changing the residual film to have a second transmissivity comprises transforming the conductive material on the window into a substantially dielectric material.
  • the procedure of changing the residual film to have a second transmissivity comprises transforming the conductive material on the window into a substantially dielectric material.
  • one embodiment comprises transforming the conductive material on the window into a substantially dielectric material by changing the conductive material to an oxide.
  • suitable conductive materials that can be deposited on the workpiece and secondarily deposited on the window include Ti, Cu, Al, Ni and/or Co; all of these materials can be oxidized to become dielectric materials with a higher transmissivity to the plasma energy than they have in a non-oxidized state.
  • the residual film of material secondarily deposited onto the window is changed or transformed to have a different transmissivity at a temperature that is at least relatively close to the temperature at which the material is deposited.
  • the electrically conductive material can be transformed into a substantially dielectric material at a maintenance temperature of approximately 80% to 120% of the deposition temperature.
  • the maintenance temperature is approximately 95% to 105% of the deposition temperature, or in still other embodiments the maintenance temperature is approximately equal to the deposition temperature.
  • the maintenance temperature can be within approximately 50° C. of the deposition temperature.
  • Still another aspect of the invention is directed toward an apparatus for depositing a material onto a microfeature workpiece.
  • the apparatus includes a reaction chamber having a workpiece holder and a plasma zone, an energy source configured to generate and direct a plasma energy toward the plasma zone, and a transmitting window through which the plasma energy can propagate from the energy source to the plasma zone.
  • the apparatus further includes a controller coupled to a process gas unit and a maintenance gas unit.
  • the process gas unit and the maintenance gas unit may be part of a single gas source system coupled to the reaction chamber.
  • the controller contains computer operable instructions that cause: (a) a first gas and/or a second gas to be injected into the chamber in a manner that forms a conductive material on the workpiece; and (b) a maintenance gas to be injected into the chamber to increase the energy transmissivity of residual conductive material deposited on the window.
  • the first section discusses aspects of vapor deposition processing systems that may be used in accordance with selected embodiments of the invention.
  • the second section outlines methods in accordance with embodiments of the invention.
  • FIG. 3 is a schematic cross-sectional view of a plasma vapor deposition system 100 for depositing a material onto a microfeature workpiece.
  • the deposition system 100 includes a reactor 110 , a gas supply 170 configured to produce and/or contain gases, and a controller 190 containing computer operable instructions that cause the gas supply 170 to selectively deliver one or more gases to the reactor 110 .
  • the deposition system 100 can perform CVD, ALD, and/or pseudo ALD processes.
  • the deposition system 100 is suitable for plasma vapor deposition of several different types of materials, and it has particular utility for depositing conductive materials using microwave energy to generate a plasma in the chamber 110 .
  • prior art plasma vapor deposition systems provide the additional energy to cause the necessary reaction, they also secondarily deposit the conductive material onto the interior surface of the reactor 110 .
  • the secondary deposition of the conductive material on the interior surfaces of the reaction chamber impedes the microwave energy from entering the reaction chamber and forming the plasma.
  • the prior art plasma vapor deposition chambers are thus unsuitable for depositing many metals.
  • the deposition system 100 resolves this problem by transforming the secondarily deposited material on the interior surfaces of the reactor 110 into a material that has a sufficient transmissivity to the microwave energy or other type of plasma energy.
  • Several embodiments of the vapor deposition system 100 can transform the secondarily deposited material without having to significantly cool or otherwise shut down the deposition system 100 .
  • the reactor 110 includes a reaction chamber 120 , a gas distributor 122 coupled to the gas supply 170 , a workpiece holder 124 for holding a workpiece W, and a plasma zone 126 where a plasma can be generated.
  • the gas distributor 122 can be an annular antechamber having a plurality of ports for injecting or flowing the gases G into the reaction chamber 120 . More specifically, the gas distributor 122 can be a manifold having a plurality of different conduits so that individual gases are delivered into the plasma zone 126 through dedicated ports.
  • the reactor 110 can further include a window 130 having a first surface 132 and a second surface 134 .
  • the window 130 can be a plate or pane of material through which energy propagates into the reaction chamber 120 to generate a plasma in the plasma zone 126 .
  • the window 130 accordingly has a high transmissivity to the plasma energy that generates the plasma.
  • the window 130 can be a quartz plate or other material that readily transmits microwaves.
  • the reactor 110 further includes an energy system having a generator 140 for generating a plasma energy, an energy guide 142 coupled to the generator 140 , and an antenna 144 or other type of transmitter coupled to the energy guide 142 .
  • the generator 140 can be a microwave generator.
  • the generator 140 can produce microwave energy at 2.45 GHz or another frequency suitable for producing a plasma in the plasma zone 126 .
  • the generator 140 generates a plasma energy E that propagates through the energy guide 142 to the antenna 144 , and the antenna 144 transmits the plasma energy E through the window 130 to the plasma zone 126 .
  • the gas supply 170 can include a process gas module 172 , a maintenance fluid module 174 , and a valve system 176 .
  • the process gas module 172 can include a plurality of individual gas units 180 (identified by reference numbers 180 a - c ) for containing or producing process gases.
  • the process gas module 172 includes a first gas unit 180 a for a first process gas PG 1 , a second gas unit 180 b for a second process gas PG 2 , and a third gas unit 180 c for a third process gas PG 3 .
  • the first process gas PG 1 can be a first precursor gas and the second process gas PG 2 can be a second precursor gas selected to react with each other to form the layer of material on the workpiece W.
  • the third process gas PG 3 can be a purge gas, such as argon, for purging the first process gas PG, and/or the second process gas PG 2 from the reaction chamber 120 in ALD or CVD processes.
  • the process gas module 172 is not limited to having three gas units 180 a - c , but rather it can have any number of individual gas units required to provide the desired precursors and/or purge gases to the gas distributor 122 . As such, the process gas module 172 can include more or fewer precursor gases and/or purge gases than shown on FIG. 3 .
  • the maintenance fluid module 174 can include one or more maintenance fluids. At least one maintenance fluid MF 1 is selected to transform the conductive material produced by the reaction of the first and second process gases PG 1 and PG 2 into a benign material that is suitably transmissive to the plasma energy E in a preferred embodiment of the invention.
  • the interaction between the maintenance fluid MF 1 and the process gases PG 1 -PG 3 is explained in more detail below with reference to FIGS. 4-5B .
  • the controller 190 is coupled to the valve system 176 .
  • the controller 190 can also be coupled to the generator 140 and other components of the vapor deposition system 100 , or additional controllers may be included to operate other components.
  • the controller 190 can be a computer containing computer operable instructions in the form of hardware and/or software for controlling the valve system 176 in a manner set forth below with reference to the various methods discussed in FIGS. 4-5B .
  • FIG. 4 is a flow chart of a plasma vapor deposition method 400 for forming a conductive layer on a microfeature workpiece in accordance with an embodiment of the invention.
  • the method 400 includes a plasma vapor deposition procedure 402 and a maintenance procedure 404 .
  • the plasma vapor deposition procedure 402 and the maintenance procedure 404 can be performed in the deposition system 100 shown in FIG. 3 .
  • One embodiment of the plasma vapor deposition procedure 402 comprises generating a plasma from a gas injected into the plasma zone 126 of the reaction chamber 120 .
  • the controller 190 can cause the valve system 176 to inject a process gas into the plasma zone 126 via the gas distributor 122 while the generator 140 generates microwaves at a frequency selected to excite the molecules of the process gas to create a plasma.
  • the controller 190 operates the valve system 176 to inject the first and second process gases PG 1 and PG 2 into the plasma zone 126 concurrently.
  • the first and second process gases PG 1 and PG 2 can be mixed in the gas distributor 122 or in the plasma zone 126 in CVD applications.
  • the controller 190 operates the valve system 176 to inject discrete pulses of the first and second process gases PH 1 and PG 2 into the plasma zone 126 at separate times.
  • the controller 190 can operate the valve assembly 176 to repeatedly produce a pulse train having pulses PG 1 -PG 3 -PG 2 -PG 3 ; the first and second process gases PG 1 and PG 2 can be reactive precursors, and the third process gas PG 3 can be a purge gas.
  • the plasma is generated from one or both of the first and second process gases PH 1 and PG 2 to form the conductive material. Referring to FIG.
  • the conductive material formed from the plasma vapor deposition procedure 402 forms a residual film 198 on the second surface 134 of the window 130 .
  • the residual film 198 on the window 130 blocks or impedes a substantial portion of the plasma energy E from entering the plasma zone 126 .
  • the maintenance procedure 404 accordingly changes the residual film 198 on the second surface 134 of the window 130 to have a different transmissivity to the plasma energy E.
  • the maintenance procedure 404 involves increasing the transmissivity of the residual film 198 to be more transmissive to the plasma energy E.
  • the transmissivity of the residual film 198 can be increased by transforming the conductive material into a substantially dielectric material.
  • the conductive material comprises at least one of Ti, Cu, Al, Ni and/or Co, it can be transformed into a substantially dielectric material by an oxidizing process.
  • tungsten (w), nitrides (e.g., TiN, WN, etc.), borides, sulfides and carbides deposited on the wafer can form a residual film on the window 130 , and then these materials can be transformed to be more transmissive to the plasma energy by an oxidization process or another process.
  • One specific embodiment of the maintenance procedure 404 includes injecting the maintenance fluid MF 1 into the reaction chamber 120 after terminating the plasma vapor deposition procedure 402 and removing the workpiece W from the reactor 110 .
  • the controller 190 causes the valve system 176 to terminate the flows of the process gases PG 1 -PG 3 and to initiate the flow of the maintenance fluid MF 1 .
  • the flow of maintenance fluid MF 1 transforms the residual film 198 into a benign film 199 that is more transmissive to the plasma energy E.
  • the maintenance fluid MF 1 can comprise a fluid containing oxygen atoms or molecules, such as O 2 , ozone, water, alcohol, etc.
  • the maintenance procedure 404 can be performed at a temperature approximately equal to the temperature of the plasma vapor deposition procedure 402 .
  • the maintenance procedure 404 can occur at a maintenance temperature T 2 approximately 80-120% of T 1 .
  • the maintenance temperature T 2 can be 95-105% of T 1 , or in still other embodiments the maintenance temperature T 2 can be approximately equal to T 1 .
  • the maintenance temperature T 2 should be within approximately 50° C. of T 1 to limit the amount of time to cool/heat the reaction chamber 120 between the vapor deposition procedure 402 and the maintenance procedure 404 .
  • the maintenance procedure 404 can be performed between each wafer or after a plurality of wafers have been processed through the vapor deposition system 100 .
  • the controller 190 can operate the valve system 176 to deposit a conductive film on a plurality of wafers in a single-wafer process before the controller 190 stops the plasma vapor deposition procedure 402 and initiates the maintenance procedure 404 .
  • One specific application of the plasma vapor deposition system 100 shown in FIG. 3 and the method 400 illustrated in FIGS. 4-5B is to deposit a titanium film using TiCl 4 3 nd H 2 in an ALD process.
  • a titanium film can be formed using an ALD process in which the first process gas PG 1 is TiCl 4 , the second process gas PG 2 is H 2 , and the third process gas PG 3 is argon or another purge gas.
  • the controller 190 effectuates the plasma vapor deposition procedure 402 by operating the valve system 176 to repeatedly inject a pulse train of TiCl 4 (PG 1 ), purge gas (PG 3 ), H 2 (PG 2 ), and purge gas (PG 3 ).
  • the H 2 forms a plasma of hydrogen molecules as it is injected into the plasma zone 126 .
  • the unilayers of TiCl 4 on the workpiece W react with the hydrogen atoms from the plasma at the surface of the workpiece to create a titanium film across the workpiece.
  • the workpiece W is removed from the reaction chamber 120 and the controller 190 operates the valve system 176 to initiate the maintenance procedure 404 . More specifically, the controller 190 operates the valve system 176 to inject a maintenance fluid MF 1 containing oxygen into the reaction chamber 120 to transform the residual Ti film on the second surface 134 of the window 130 to titanium oxide. The controller 190 can then operate the valve system 176 to terminate the flow of maintenance fluid MF 1 from the maintenance fluid module 174 .
  • the maintenance procedure 404 can further include a pump out operation in which a vacuum pump 191 draws the maintenance fluid MF 1 out of the reaction chamber 120 .
  • the maintenance procedure 404 can further include a purge step in which the controller 190 operates the valve system 176 to inject the purge gas PG 3 into the reaction chamber 120 after terminating the flow of the maintenance fluid MF 1 and pumping out the reaction chamber 120 .
  • Another specific application of the plasma vapor deposition system 100 and the method 400 is to deposit a titanium film using TiCl 4 and H 2 in a CVD process or a pulsed CVD process.
  • the controller 190 operates the valve system 176 so that the TiCl 4 (PG 1 ) and the H 2 (PG 2 ) are injected into the reaction chamber 120 simultaneously.
  • the plasma energy E propagating from the antenna 144 generates a plasma from the H 2 molecules, which reacts with the TiCl 4 to form a Ti film across the face of the workpiece W.
  • the controller 190 can continue to process additional wafers through the reaction chamber 120 in a continuation of the deposition procedure 402 until a residual titanium film builds up on the second surface 134 of the window 130 to a degree that it disrupts the plasma energy E from entering the plasma zone 126 .
  • the controller 190 can then operate the valve system 176 to initiate a flow of the maintenance fluid MF 1 into the reaction chamber 120 to oxidize or otherwise transform the residual titanium film to be more transmissive to the plasma energy E.

Abstract

One aspect of the invention is directed toward a method of forming a conductive layer on a microfeature workpiece. In one embodiment, the method comprises depositing an electrically conductive material onto a first microfeature workpiece in a vapor deposition process by flowing a gas into a plasma zone of a vapor deposition chamber and transmitting an energy into the plasma zone via a transmitting window. The energy transmitted through the window and into the plasma zone produces a plasma from the gas. The energy, for example, can be microwave radiation. The plasma produced from the gas forms a conductive layer on the workpiece in either a CVD or an ALD process. The process of forming the conductive layer on the workpiece concomitantly forms a secondary deposit of a residual film on the window. The residual film has a first transmissivity to the energy used to generate the plasma. This embodiment of the method further includes changing the residual film on the window to have a second transmissivity to the energy. The second transmissivity, for example, can be less than the first transmissivity such that changing the residual film to have the second transmissivity increases the amount of plasma energy that can propagate through the window and into the plasma zone.

Description

    TECHNICAL FIELD
  • The present invention relates to plasma vapor deposition processes used to deposit layers of conductive materials or other types of materials in the fabrication of microfeature devices.
  • BACKGROUND
  • Thin film deposition techniques are widely used to build interconnects, plugs, gates, capacitors, transistors and other microfeatures in the manufacturing of microelectronic devices. Thin film deposition techniques are continually improved to meet the ever increasing demands of the industry because the microfeature sizes are constantly decreasing and the number of microfeature layers is constantly increasing. As a result, the density of microfeatures and the aspect ratios of depressions (e.g., the ratio of the depth to the size of the opening) are increasing. Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • One widely used thin film deposition technique is chemical vapor deposition (CVD). In a CVD system, one or more reactive precursors are mixed in a gas or vapor state and then the precursor mixture is presented to the surface of the workpiece. The surface of the workpiece catalyzes a reaction between the precursors to form a solid, thin film at the workpiece surface. A common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction. CVD processes are routinely employed in many stages of manufacturing microelectronic components.
  • Atomic layer deposition (ALD) is another thin film deposition technique that is gaining prominence in manufacturing microfeatures on workpieces. FIGS. 1A and 1 B schematically illustrate the basic operation of ALD processes. Referring to FIG. 1A, a layer of gas molecules A coats the surface of a workpiece W. The layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules and then purging the chamber with a purge gas to remove excess A molecules. This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures. The layer of A molecules is then exposed to another precursor gas containing B molecules. The A molecules react with the B molecules to form an extremely thin layer of solid material C on the workpiece W. Such thin layers are referred to herein as nanolayers because they are typically less than 1 nm thick and usually less than 2 Å thick. For example, each cycle may form a layer having a thickness of approximately 0.5-1.0 Å. The chamber is then purged again with a purge gas to remove excess B molecules.
  • Another type of CVD process is plasma CVD in which energy is added to the gases inside the reaction chamber to form a plasma. U.S. Pat. No. 6,347,602 discloses several types of plasma CVD reactors. FIG. 2 schematically illustrates a conventional plasma processing system including a processing vessel 2 and a microwave transmitting window 4. The plasma processing system further includes a microwave generator 6 having a rectangular wave guide 8 and a disk-shaped antenna 10. The microwaves radiated by the antenna 10 propagate through the window 4 and into the processing vessel 2 to produce a plasma by electron cyclotron resonance. The plasma causes a desired material to be coated onto a workpiece W.
  • Although plasma CVD processes are useful for several applications, such as gate hardening, they are difficult to use in depositing conductive materials onto the wafer. For example, when the precursors are introduced into the chamber to create a metal layer, a secondary deposit of the metal accumulates on the interior surface of the window 4. This secondary deposit of metal builds up on the window 4 as successive microfeature workpieces are processed. One problem is that the secondary deposit of metal has a low transmissivity to the microwave energy radiating from the antenna 10. After a period of time, the secondary deposit of metal can block the microwave energy from propagating through the window 4 and into the processing vessel 2. The secondary deposit of metal is also generally non-uniform across the interior surface of the window 4. Therefore, the secondary deposit of metal on the window 4 can prevent the plasma from forming or produce non-uniform films on the workpiece.
  • To reduce the effects of the secondary deposit of metal on the window 4, the interior of the reaction chamber must be cleaned periodically. For example, flowing ClF3 through the processing vessel 2 is one possible process to clean the window 4. This process, however, requires that the reaction chamber be cooled from a deposition temperature of approximately 400° C. to a cleaning temperature of approximately 300° C. The chamber is then purged of the cleaning agent and reheated back to the 400° C. deposition temperature. The cleaning process generally requires 3-4 hours to complete, and it may need to be performed frequently when depositing a metal onto the workpiece. Moreover, even after purging the cleaner from the chamber, residual molecules of the cleaner may remain in the chamber and contaminate the resulting film or otherwise disrupt the deposition process. Therefore, it has not been economical to use plasma vapor deposition processes for depositing certain types of metal layers or other conductive materials on microfeature workpieces.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a schematic cross-sectional view of a plasma vapor deposition system in accordance with the prior art.
  • FIG. 3 is a schematic cross-sectional view of a plasma vapor deposition system in accordance with an embodiment of the invention.
  • FIG. 4 is a flow chart of a method in accordance with an embodiment of the invention.
  • FIGS. 5A and 5B are schematic cross-sectional views of a portion of a transmitting window used in a plasma vapor deposition system at various stages of an embodiment of a method in accordance with the invention.
  • DETAILED DESCRIPTION
  • A. Overview
  • Various embodiments of the present invention provide workpiece processing systems and methods for depositing materials onto microfeature workpieces. Many specific details of the invention are described below with reference to systems for depositing metals or other conductive materials onto microfeature workpieces, but the invention is also applicable to depositing other materials (e.g., dielectrics that have a low transmissivity to the plasma energy). The term “microfeature workpiece” is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other features are fabricated. For example, microfeature workpieces can be semiconductor wafers (e.g., silicon or gallium arsenide wafers), glass substrates, insulative substrates, and many other types of materials. The microfeature workpieces typically have submicron features with dimensions of a few nanometers or greater. Furthermore, the term “gas” is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature). Several embodiments in accordance with the invention are set forth in FIGS. 3-5B and the following text to provide a thorough understanding of particular embodiments of the invention. A person skilled in the art, however, will understand that the invention may have additional embodiments, or that the invention may be practiced without several of the details of the embodiments shown in FIGS. 3-5B.
  • One aspect of the invention is directed toward a method of forming a conductive layer on a microfeature workpiece. In one embodiment, the method comprises depositing an electrically conductive material onto a first microfeature workpiece in a vapor deposition process by flowing a gas into a plasma zone of a vapor deposition chamber and transmitting an energy into the plasma zone via a transmitting window. The energy transmitted through the window and into the plasma zone produces a plasma from the gas. The energy, for example, can be microwave radiation. The plasma produced from the gas forms a conductive layer on the workpiece using either CVD or ALD processes. The process of forming the conductive layer on the workpiece secondarily deposits a residual film on the window. The residual film has a first transmissivity to the plasma energy. This embodiment of the method further includes changing the residual film on the window to have a second transmissivity to the plasma energy. The second transmissivity to the plasma energy, for example, can be less than the first transmissivity. As such, changing the residual film to have a second transmissivity to the energy increases the amount of plasma energy that can propagate through the window and into the plasma zone.
  • Additional aspects of the invention are directed toward particular procedures for changing the residual film on the window to have the second transmissivity to the plasma energy. When the residual film is a conductive material, the procedure of changing the residual film to have a second transmissivity comprises transforming the conductive material on the window into a substantially dielectric material. For example, one embodiment comprises transforming the conductive material on the window into a substantially dielectric material by changing the conductive material to an oxide. Several suitable conductive materials that can be deposited on the workpiece and secondarily deposited on the window include Ti, Cu, Al, Ni and/or Co; all of these materials can be oxidized to become dielectric materials with a higher transmissivity to the plasma energy than they have in a non-oxidized state.
  • In still another aspect of the invention, the residual film of material secondarily deposited onto the window is changed or transformed to have a different transmissivity at a temperature that is at least relatively close to the temperature at which the material is deposited. For example, when a conductive material is deposited onto the workpiece and secondarily deposited onto the window at a deposition temperature, the electrically conductive material can be transformed into a substantially dielectric material at a maintenance temperature of approximately 80% to 120% of the deposition temperature. In other embodiments, the maintenance temperature is approximately 95% to 105% of the deposition temperature, or in still other embodiments the maintenance temperature is approximately equal to the deposition temperature. In several embodiments, the maintenance temperature can be within approximately 50° C. of the deposition temperature.
  • Still another aspect of the invention is directed toward an apparatus for depositing a material onto a microfeature workpiece. In one embodiment, the apparatus includes a reaction chamber having a workpiece holder and a plasma zone, an energy source configured to generate and direct a plasma energy toward the plasma zone, and a transmitting window through which the plasma energy can propagate from the energy source to the plasma zone. The apparatus further includes a controller coupled to a process gas unit and a maintenance gas unit. The process gas unit and the maintenance gas unit may be part of a single gas source system coupled to the reaction chamber. The controller contains computer operable instructions that cause: (a) a first gas and/or a second gas to be injected into the chamber in a manner that forms a conductive material on the workpiece; and (b) a maintenance gas to be injected into the chamber to increase the energy transmissivity of residual conductive material deposited on the window.
  • For ease of understanding, the following discussion is divided into two areas of emphasis. The first section discusses aspects of vapor deposition processing systems that may be used in accordance with selected embodiments of the invention. The second section outlines methods in accordance with embodiments of the invention.
  • B. Embodiments of Plasma Vapor Deposition Systems for Fabricating Microfeatures on a Workpiece
  • FIG. 3 is a schematic cross-sectional view of a plasma vapor deposition system 100 for depositing a material onto a microfeature workpiece. In this embodiment, the deposition system 100 includes a reactor 110, a gas supply 170 configured to produce and/or contain gases, and a controller 190 containing computer operable instructions that cause the gas supply 170 to selectively deliver one or more gases to the reactor 110. The deposition system 100 can perform CVD, ALD, and/or pseudo ALD processes.
  • The deposition system 100 is suitable for plasma vapor deposition of several different types of materials, and it has particular utility for depositing conductive materials using microwave energy to generate a plasma in the chamber 110. To date, it has been difficult to deposit certain metals or other conductive materials without using a plasma enhanced system because one or more precursors may need additional energy to cause the reaction that forms the thin conductive film. Although prior art plasma vapor deposition systems provide the additional energy to cause the necessary reaction, they also secondarily deposit the conductive material onto the interior surface of the reactor 110. The secondary deposition of the conductive material on the interior surfaces of the reaction chamber impedes the microwave energy from entering the reaction chamber and forming the plasma. The prior art plasma vapor deposition chambers are thus unsuitable for depositing many metals. As explained in more detail below, the deposition system 100 resolves this problem by transforming the secondarily deposited material on the interior surfaces of the reactor 110 into a material that has a sufficient transmissivity to the microwave energy or other type of plasma energy. Several embodiments of the vapor deposition system 100, moreover, can transform the secondarily deposited material without having to significantly cool or otherwise shut down the deposition system 100.
  • Referring to the embodiment of the deposition system 100 shown in FIG. 3, the reactor 110 includes a reaction chamber 120, a gas distributor 122 coupled to the gas supply 170, a workpiece holder 124 for holding a workpiece W, and a plasma zone 126 where a plasma can be generated. The gas distributor 122 can be an annular antechamber having a plurality of ports for injecting or flowing the gases G into the reaction chamber 120. More specifically, the gas distributor 122 can be a manifold having a plurality of different conduits so that individual gases are delivered into the plasma zone 126 through dedicated ports.
  • The reactor 110 can further include a window 130 having a first surface 132 and a second surface 134. The window 130 can be a plate or pane of material through which energy propagates into the reaction chamber 120 to generate a plasma in the plasma zone 126. The window 130 accordingly has a high transmissivity to the plasma energy that generates the plasma. For example, when microwave energy is used to generate the plasma, the window 130 can be a quartz plate or other material that readily transmits microwaves.
  • The reactor 110 further includes an energy system having a generator 140 for generating a plasma energy, an energy guide 142 coupled to the generator 140, and an antenna 144 or other type of transmitter coupled to the energy guide 142. The generator 140 can be a microwave generator. For example, the generator 140 can produce microwave energy at 2.45 GHz or another frequency suitable for producing a plasma in the plasma zone 126. The generator 140 generates a plasma energy E that propagates through the energy guide 142 to the antenna 144, and the antenna 144 transmits the plasma energy E through the window 130 to the plasma zone 126.
  • Referring still to FIG. 3, the gas supply 170 can include a process gas module 172, a maintenance fluid module 174, and a valve system 176. The process gas module 172 can include a plurality of individual gas units 180 (identified by reference numbers 180 a-c) for containing or producing process gases. In one embodiment, the process gas module 172 includes a first gas unit 180 a for a first process gas PG1, a second gas unit 180 b for a second process gas PG2, and a third gas unit 180 c for a third process gas PG3. The first process gas PG1 can be a first precursor gas and the second process gas PG2 can be a second precursor gas selected to react with each other to form the layer of material on the workpiece W. The third process gas PG3 can be a purge gas, such as argon, for purging the first process gas PG, and/or the second process gas PG2 from the reaction chamber 120 in ALD or CVD processes. The process gas module 172 is not limited to having three gas units 180 a-c, but rather it can have any number of individual gas units required to provide the desired precursors and/or purge gases to the gas distributor 122. As such, the process gas module 172 can include more or fewer precursor gases and/or purge gases than shown on FIG. 3.
  • The maintenance fluid module 174 can include one or more maintenance fluids. At least one maintenance fluid MF1 is selected to transform the conductive material produced by the reaction of the first and second process gases PG1 and PG2 into a benign material that is suitably transmissive to the plasma energy E in a preferred embodiment of the invention. The interaction between the maintenance fluid MF1 and the process gases PG1-PG3 is explained in more detail below with reference to FIGS. 4-5B.
  • The controller 190 is coupled to the valve system 176. The controller 190 can also be coupled to the generator 140 and other components of the vapor deposition system 100, or additional controllers may be included to operate other components. The controller 190 can be a computer containing computer operable instructions in the form of hardware and/or software for controlling the valve system 176 in a manner set forth below with reference to the various methods discussed in FIGS. 4-5B.
  • C. Embodiments of Methods for Plasma Vapor Deposition of Conductive Material on Microfeature Workpieces [0027] FIG. 4 is a flow chart of a plasma vapor deposition method 400 for forming a conductive layer on a microfeature workpiece in accordance with an embodiment of the invention. The method 400 includes a plasma vapor deposition procedure 402 and a maintenance procedure 404. The plasma vapor deposition procedure 402 and the maintenance procedure 404 can be performed in the deposition system 100 shown in FIG. 3. The operation of the deposition system 100 shown in FIG. 3 in accordance with the method 400 shown in FIG. 4 enables the efficient use of plasma vapor deposition processes to deposit thin conductive films, such as titanium, on advanced microfeature workpieces that have very small feature sizes and high densities of features. Several embodiments of the plasma vapor deposition procedure 402 and the maintenance procedure 404 will be discussed below with reference to the plasma vapor deposition 100 system of FIG. 3.
  • One embodiment of the plasma vapor deposition procedure 402 comprises generating a plasma from a gas injected into the plasma zone 126 of the reaction chamber 120. For example, the controller 190 can cause the valve system 176 to inject a process gas into the plasma zone 126 via the gas distributor 122 while the generator 140 generates microwaves at a frequency selected to excite the molecules of the process gas to create a plasma. In a CVD process, the controller 190 operates the valve system 176 to inject the first and second process gases PG1 and PG2 into the plasma zone 126 concurrently. The first and second process gases PG1 and PG2 can be mixed in the gas distributor 122 or in the plasma zone 126 in CVD applications. In an ALD process, the controller 190 operates the valve system 176 to inject discrete pulses of the first and second process gases PH1 and PG2 into the plasma zone 126 at separate times. The controller 190, for example, can operate the valve assembly 176 to repeatedly produce a pulse train having pulses PG1-PG3-PG2-PG3; the first and second process gases PG1 and PG2 can be reactive precursors, and the third process gas PG3 can be a purge gas. The plasma is generated from one or both of the first and second process gases PH1 and PG2 to form the conductive material. Referring to FIG. 5A, the conductive material formed from the plasma vapor deposition procedure 402 forms a residual film 198 on the second surface 134 of the window 130. In the case of depositing a conductive material comprising Ti, Cu, Al, Ni and/or Co, the residual film 198 on the window 130 blocks or impedes a substantial portion of the plasma energy E from entering the plasma zone 126.
  • The maintenance procedure 404 accordingly changes the residual film 198 on the second surface 134 of the window 130 to have a different transmissivity to the plasma energy E. In one embodiment, the maintenance procedure 404 involves increasing the transmissivity of the residual film 198 to be more transmissive to the plasma energy E. For example, the transmissivity of the residual film 198 can be increased by transforming the conductive material into a substantially dielectric material. When the conductive material comprises at least one of Ti, Cu, Al, Ni and/or Co, it can be transformed into a substantially dielectric material by an oxidizing process. In other embodiments, tungsten (w), nitrides (e.g., TiN, WN, etc.), borides, sulfides and carbides deposited on the wafer can form a residual film on the window 130, and then these materials can be transformed to be more transmissive to the plasma energy by an oxidization process or another process.
  • One specific embodiment of the maintenance procedure 404 includes injecting the maintenance fluid MF1 into the reaction chamber 120 after terminating the plasma vapor deposition procedure 402 and removing the workpiece W from the reactor 110. In this embodiment, the controller 190 causes the valve system 176 to terminate the flows of the process gases PG1-PG3 and to initiate the flow of the maintenance fluid MF1. Referring to FIG. 5B, the flow of maintenance fluid MF1 transforms the residual film 198 into a benign film 199 that is more transmissive to the plasma energy E. The maintenance fluid MF1 can comprise a fluid containing oxygen atoms or molecules, such as O2, ozone, water, alcohol, etc.
  • The maintenance procedure 404 can be performed at a temperature approximately equal to the temperature of the plasma vapor deposition procedure 402. For example, if the plasma vapor deposition procedure 402 occurs at a process temperature T1, then the maintenance procedure 404 can occur at a maintenance temperature T2 approximately 80-120% of T1. In other embodiments, the maintenance temperature T2 can be 95-105% of T1, or in still other embodiments the maintenance temperature T2 can be approximately equal to T1. In general, the maintenance temperature T2 should be within approximately 50° C. of T1 to limit the amount of time to cool/heat the reaction chamber 120 between the vapor deposition procedure 402 and the maintenance procedure 404.
  • The maintenance procedure 404 can be performed between each wafer or after a plurality of wafers have been processed through the vapor deposition system 100. For example, the controller 190 can operate the valve system 176 to deposit a conductive film on a plurality of wafers in a single-wafer process before the controller 190 stops the plasma vapor deposition procedure 402 and initiates the maintenance procedure 404.
  • One specific application of the plasma vapor deposition system 100 shown in FIG. 3 and the method 400 illustrated in FIGS. 4-5B is to deposit a titanium film using TiCl4 3nd H2 in an ALD process. A titanium film can be formed using an ALD process in which the first process gas PG1 is TiCl4, the second process gas PG2 is H2, and the third process gas PG3 is argon or another purge gas. In this embodiment, the controller 190 effectuates the plasma vapor deposition procedure 402 by operating the valve system 176 to repeatedly inject a pulse train of TiCl4 (PG1), purge gas (PG3), H2 (PG2), and purge gas (PG3). The H2 forms a plasma of hydrogen molecules as it is injected into the plasma zone 126. The unilayers of TiCl4 on the workpiece W react with the hydrogen atoms from the plasma at the surface of the workpiece to create a titanium film across the workpiece. After the titanium film reaches a desired thickness, the workpiece W is removed from the reaction chamber 120 and the controller 190 operates the valve system 176 to initiate the maintenance procedure 404. More specifically, the controller 190 operates the valve system 176 to inject a maintenance fluid MF1 containing oxygen into the reaction chamber 120 to transform the residual Ti film on the second surface 134 of the window 130 to titanium oxide. The controller 190 can then operate the valve system 176 to terminate the flow of maintenance fluid MF1 from the maintenance fluid module 174. The maintenance procedure 404 can further include a pump out operation in which a vacuum pump 191 draws the maintenance fluid MF1 out of the reaction chamber 120. In another embodiment, the maintenance procedure 404 can further include a purge step in which the controller 190 operates the valve system 176 to inject the purge gas PG3 into the reaction chamber 120 after terminating the flow of the maintenance fluid MF1 and pumping out the reaction chamber 120.
  • Another specific application of the plasma vapor deposition system 100 and the method 400 is to deposit a titanium film using TiCl4 and H2 in a CVD process or a pulsed CVD process. In this case, the controller 190 operates the valve system 176 so that the TiCl4 (PG1) and the H2 (PG2) are injected into the reaction chamber 120 simultaneously. The plasma energy E propagating from the antenna 144 generates a plasma from the H2 molecules, which reacts with the TiCl4 to form a Ti film across the face of the workpiece W. The controller 190 can continue to process additional wafers through the reaction chamber 120 in a continuation of the deposition procedure 402 until a residual titanium film builds up on the second surface 134 of the window 130 to a degree that it disrupts the plasma energy E from entering the plasma zone 126. The controller 190 can then operate the valve system 176 to initiate a flow of the maintenance fluid MF1 into the reaction chamber 120 to oxidize or otherwise transform the residual titanium film to be more transmissive to the plasma energy E.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. Accordingly, the invention is not limited except as by the appended claims.

Claims (15)

1-24. (canceled)
25. An apparatus for depositing a material onto a microfeature workpiece, comprising:
a reaction chamber including a workpiece holder positioned relative to a plasma zone in the chamber, an energy source configured to generate a plasma energy and direct the plasma energy toward the plasma zone, and a window transmissive of the plasma energy between the energy source and the plasma zone;
a process gas supply unit containing first and second process gases selected to react with each other to form a conductive material, wherein the process gas unit is configured to deliver at least one of the first and second gases to the plasma zone to deposit the conductive material on the workpiece;
a maintenance gas unit containing a maintenance gas selected to increase a transmissivity of the conductive material formed by reacting the first and second gases to the plasma energy, wherein the maintenance gas unit is configured to deliver the maintenance gas to the window; and
a controller coupled to the process gas unit and the maintenance gas unit, the controller containing computer operable instructions causing (a) the first and second gases to be injected into the chamber in a manner that deposits the conductive material onto the workpiece, and (b) the maintenance gas to be injected into the chamber in a manner that increases the transmissivity of the conductive material deposited onto the window to the plasma energy.
26. The apparatus of claim 25 wherein the conductive material resulting from reacting the first and second process gases comprises a metal, and the maintenance fluid comprises oxygen for transforming the conductive material on the window into a substantially dielectric material by changing the metal to an oxide.
27. The apparatus of claim 25 wherein the conductive material resulting from reacting the first and second process gases comprises at least one of Ti, Cu, Al, Ni and/or Co, and the maintenance fluid comprises oxygen for transforming the conductive material into a substantially dielectric material comprising an oxide of Ti, Cu, Al, Ni and/or Co.
28. The apparatus of claim 25 wherein the controller contains computer readable instructions to inject the first and second process gases into the chamber at a deposition temperature and to inject the maintenance fluid into the chamber at a maintenance temperature of approximately 80% to 120% of the deposition temperature.
29. The apparatus of claim 25 wherein the controller contains computer readable instructions to inject the first and second process gases into the chamber at a deposition temperature and to inject the maintenance fluid into the chamber at a maintenance temperature of approximately 95% to 105% of the deposition temperature.
30. The apparatus of claim 25 wherein the controller contains computer readable instructions to inject the first and second process gases into the chamber at a deposition temperature and to inject the maintenance fluid into the chamber at a maintenance temperature approximately equal to the deposition temperature.
31. The apparatus of claim 25 wherein the controller contains computer readable instructions to inject the first and second process gases into the chamber at a deposition temperature and to inject the maintenance fluid into the chamber at a maintenance temperature within approximately 50° C. of the deposition temperature.
32. An apparatus for depositing a material onto a microfeature workpiece, comprising:
a reaction chamber including a workpiece holder positioned relative to a plasma zone in the chamber, an energy source configured to generate a plasma energy and direct the plasma energy toward the plasma zone, and a window transmissive of the plasma energy between the energy source and the plasma zone; and
a controller coupled to a process gas unit and a maintenance gas unit, the controller containing computer operable instructions that cause (a) a first gas and a second gas to be injected into the chamber in a manner that deposits a conductive material onto the workpiece and a residual conductive material onto the window, and (b) a maintenance gas to be injected into the chamber in a manner that reacts with the residual conductive material on the window to increase the transmissivity of the residual conductive material to the plasma energy.
33. The apparatus of claim 32 wherein the conductive material deposited on the workpiece and the window comprises a metal, and the maintenance gas comprises oxygen for transforming the metal into an oxide.
34. The apparatus of claim 32 wherein the conductive material deposited on the workpiece comprises at least one of Ti, Cu, Al, Ni and/or Co, and the maintenance gas comprises oxygen for transforming the conductive material into an oxide of at least one of the Ti, Cu, Al, Ni and/or Co.
35. The apparatus of claim 32 wherein the controller contains computer readable instructions to inject the first and second process gases into the chamber at a deposition temperature and to inject the maintenance fluid into the chamber at a maintenance temperature of approximately 80% to 120% of the deposition temperature.
40. The apparatus of claim 35 wherein the controller contains computer readable instructions to inject the first and second process gases into the chamber at a deposition temperature and to inject the maintenance fluid into the chamber at a maintenance temperature of approximately 95% to 105% of the deposition temperature.
41. The apparatus of claim 35 wherein the controller contains computer readable instructions to inject the first and second process gases into the chamber at a deposition temperature and to inject the maintenance fluid into the chamber at a maintenance temperature approximately equal to the deposition temperature.
42. The apparatus of claim 35 wherein the controller contains computer readable instructions to inject the first and second process gases into the chamber at a deposition temperature and to inject the maintenance fluid into the chamber at a maintenance temperature within approximately 50° C. of the deposition temperature.
US11/413,662 2003-10-09 2006-04-27 Apparatus and methods for plasma vapor deposition processes Abandoned US20060193983A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/413,662 US20060193983A1 (en) 2003-10-09 2006-04-27 Apparatus and methods for plasma vapor deposition processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/683,606 US7323231B2 (en) 2003-10-09 2003-10-09 Apparatus and methods for plasma vapor deposition processes
US11/413,662 US20060193983A1 (en) 2003-10-09 2006-04-27 Apparatus and methods for plasma vapor deposition processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/683,606 Division US7323231B2 (en) 2003-10-09 2003-10-09 Apparatus and methods for plasma vapor deposition processes

Publications (1)

Publication Number Publication Date
US20060193983A1 true US20060193983A1 (en) 2006-08-31

Family

ID=34520560

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/683,606 Expired - Lifetime US7323231B2 (en) 2003-10-09 2003-10-09 Apparatus and methods for plasma vapor deposition processes
US11/413,662 Abandoned US20060193983A1 (en) 2003-10-09 2006-04-27 Apparatus and methods for plasma vapor deposition processes

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/683,606 Expired - Lifetime US7323231B2 (en) 2003-10-09 2003-10-09 Apparatus and methods for plasma vapor deposition processes

Country Status (1)

Country Link
US (2) US7323231B2 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7740704B2 (en) * 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
KR100897176B1 (en) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 Inductively Coupled Plasma Processing Apparatus
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
TW200946714A (en) * 2008-02-18 2009-11-16 Mitsui Engineering & Shipbuilding Co Ltd Atomic layer deposition apparatus and atomic layer deposition method
US20100143710A1 (en) * 2008-12-05 2010-06-10 Lotus Applied Technology, Llc High rate deposition of thin films with improved barrier layer properties
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
KR20110127389A (en) * 2010-05-19 2011-11-25 삼성전자주식회사 Plasma processing apparatus
US20120272892A1 (en) * 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
KR102176189B1 (en) * 2013-03-12 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 Multi-zone gas injection assembly with azimuthal and radial distribution control
US10781519B2 (en) * 2018-06-18 2020-09-22 Tokyo Electron Limited Method and apparatus for processing substrate

Citations (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) * 1897-03-23 Roller-bearing
US3618919A (en) * 1969-11-03 1971-11-09 Btu Eng Corp Adjustable heat and gas barrier
US3620934A (en) * 1966-08-08 1971-11-16 Fer Blanc Sarl Centre Rech Du Method of electrolytic tinning sheet steel
US3630769A (en) * 1968-04-24 1971-12-28 Plessey Co Ltd PRODUCTION OF VAPOR-DEPOSITED Nb{11 B{11 Sn CONDUCTOR MATERIAL
US3630881A (en) * 1970-01-22 1971-12-28 Ibm Cathode-target assembly for rf sputtering apparatus
US3634212A (en) * 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US4018949A (en) * 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4098923A (en) * 1976-06-07 1978-07-04 Motorola, Inc. Pyrolytic deposition of silicon dioxide on semiconductors using a shrouded boat
US4242182A (en) * 1978-07-21 1980-12-30 Francine Popescu Bright tin electroplating bath
US4242370A (en) * 1978-03-17 1980-12-30 Abdalla Mohamed I Method of manufacturing thin film electroluminescent devices
US4269625A (en) * 1978-12-04 1981-05-26 U.S. Philips Corporation Bath for electroless depositing tin on substrates
US4289061A (en) * 1977-10-03 1981-09-15 Hooker Chemicals & Plastics Corp. Device and assembly for mounting parts
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4388342A (en) * 1979-05-29 1983-06-14 Hitachi, Ltd. Method for chemical vapor deposition
US4397753A (en) * 1982-09-20 1983-08-09 Circuit Chemistry Corporation Solder stripping solution
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4438724A (en) * 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4469801A (en) * 1980-09-04 1984-09-04 Toshio Hirai Titanium-containing silicon nitride film bodies and a method of producing the same
US4509456A (en) * 1981-07-28 1985-04-09 Veb Zentrum Fur Forschung Und Technologie Mikroelektronik Apparatus for guiding gas for LP CVD processes in a tube reactor
US4545136A (en) * 1981-03-16 1985-10-08 Sovonics Solar Systems Isolation valve
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4593644A (en) * 1983-10-26 1986-06-10 Rca Corporation Continuous in-line deposition system
US4681777A (en) * 1986-05-05 1987-07-21 Engelken Robert D Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates
US4826579A (en) * 1982-06-25 1989-05-02 Cel Systems Corporation Electrolytic preparation of tin and other metals
US4894132A (en) * 1987-10-21 1990-01-16 Mitsubishi Denki Kabushiki Kaisha Sputtering method and apparatus
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
US4923715A (en) * 1986-03-31 1990-05-08 Kabushiki Kaisha Toshiba Method of forming thin film by chemical vapor deposition
US4948979A (en) * 1987-12-21 1990-08-14 Kabushiki Kaisha Toshiba Vacuum device for handling workpieces
US4949669A (en) * 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US4966646A (en) * 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5017404A (en) * 1988-09-06 1991-05-21 Schott Glaswerke Plasma CVD process using a plurality of overlapping plasma columns
US5020476A (en) * 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
US5062446A (en) * 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5091207A (en) * 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
US5131752A (en) * 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5172849A (en) * 1991-09-25 1992-12-22 General Motors Corporation Method and apparatus for convection brazing of aluminum heat exchangers
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5223113A (en) * 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5232749A (en) * 1991-04-30 1993-08-03 Micron Technology, Inc. Formation of self-limiting films by photoemission induced vapor deposition
US5248527A (en) * 1991-03-01 1993-09-28 C. Uyemura And Company, Limited Process for electroless plating tin, lead or tin-lead alloy
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5325020A (en) * 1990-09-28 1994-06-28 Abtox, Inc. Circular waveguide plasma microwave sterilizer apparatus
US5364219A (en) * 1991-06-24 1994-11-15 Tdk Corporation Apparatus for clean transfer of objects
US5366557A (en) * 1990-06-18 1994-11-22 At&T Bell Laboratories Method and apparatus for forming integrated circuit layers
US5372837A (en) * 1990-05-30 1994-12-13 Sharp Kabushiki Kaisha Method of manufacturing thin film EL device utilizing a shutter
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6375744B2 (en) * 1997-04-02 2002-04-23 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPS6320490A (en) * 1986-07-14 1988-01-28 Toshiba Corp Method for cleaning film forming apparatus
JPS63111177A (en) * 1986-10-29 1988-05-16 Hitachi Ltd Thin film forming device by microwave plasma
JP2703813B2 (en) * 1989-11-13 1998-01-26 昭和電工株式会社 Gas dispersion plate of fluidized bed type gas phase polymerization equipment
US5656211A (en) * 1989-12-22 1997-08-12 Imarx Pharmaceutical Corp. Apparatus and method for making gas-filled vesicles of optimal size
US5716796A (en) * 1990-01-23 1998-02-10 Medical Devices Corporation Optical blood hemostatic analysis apparatus and method
EP0493119B1 (en) * 1990-12-28 1994-08-17 Hokkai Can Co., Ltd. Welded cans
JP3039583B2 (en) * 1991-05-30 2000-05-08 株式会社日立製作所 Valve and semiconductor manufacturing apparatus using the same
JP3238432B2 (en) 1991-08-27 2001-12-17 東芝機械株式会社 Multi-chamber type single wafer processing equipment
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2987663B2 (en) * 1992-03-10 1999-12-06 株式会社日立製作所 Substrate processing equipment
JPH06295862A (en) 1992-11-20 1994-10-21 Mitsubishi Electric Corp Compound semiconductor fabrication system and organic metal material vessel
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
JP3288490B2 (en) 1993-07-09 2002-06-04 富士通株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
US5626936A (en) 1993-09-09 1997-05-06 Energy Pillow, Inc. Phase change insulation system
JP3394293B2 (en) 1993-09-20 2003-04-07 株式会社日立製作所 Method for transporting sample and method for manufacturing semiconductor device
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
KR950020993A (en) * 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
FI95421C (en) * 1993-12-23 1996-01-25 Heikki Ihantola Device and method for treating semiconductors, such as silicon wafer
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
KR960002534A (en) 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
US5418180A (en) 1994-06-14 1995-05-23 Micron Semiconductor, Inc. Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
WO1996000307A1 (en) 1994-06-24 1996-01-04 Nisshin Steel Co., Ltd. Seal apparatus of heat-treatment furnace using furnace atmosphere gas containing hydrogen gas
JP3468859B2 (en) * 1994-08-16 2003-11-17 富士通株式会社 Gas phase processing apparatus and gas phase processing method
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3360098B2 (en) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
JP3246708B2 (en) 1995-05-02 2002-01-15 東京エレクトロン株式会社 Trap device and unreacted process gas exhaust mechanism using the same
US5885425A (en) 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5640751A (en) 1995-07-17 1997-06-24 Thermionics Laboratories, Inc. Vacuum flange
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5536317A (en) 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a quartz crystal thickness/rate controller
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JP3768575B2 (en) 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド CVD apparatus and chamber cleaning method
US5754390A (en) * 1996-01-23 1998-05-19 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5792700A (en) 1996-05-31 1998-08-11 Micron Technology, Inc. Semiconductor processing method for providing large grain polysilicon films
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5746434A (en) 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
US5868159A (en) 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
JP3310171B2 (en) 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5865417A (en) * 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5992463A (en) * 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US5729896A (en) * 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US5846275A (en) 1996-12-31 1998-12-08 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US5833888A (en) 1996-12-31 1998-11-10 Atmi Ecosys Corporation Weeping weir gas/liquid interface structure
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5846330A (en) 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US6211078B1 (en) * 1997-08-18 2001-04-03 Micron Technology, Inc. Method of improving resist adhesion for use in patterning conductive layers
US20010050267A1 (en) * 1997-08-26 2001-12-13 Hwang Jeng H. Method for allowing a stable power transmission into a plasma processing chamber
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
WO1999029923A1 (en) * 1997-12-05 1999-06-17 Tegal Corporation Plasma reactor with a deposition shield
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
KR100524204B1 (en) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 Gas processor
US6032923A (en) * 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
KR100267885B1 (en) * 1998-05-18 2000-11-01 서성기 Deposition apparatus
JP3813741B2 (en) * 1998-06-04 2006-08-23 尚久 後藤 Plasma processing equipment
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
TW364054B (en) * 1998-12-31 1999-07-11 United Microelectronics Corp Measurement tool for distance between shower head and heater platform
KR100331544B1 (en) * 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
US6214714B1 (en) * 1999-06-25 2001-04-10 Applied Materials, Inc. Method of titanium/titanium nitride integration
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
JP2001077088A (en) * 1999-09-02 2001-03-23 Tokyo Electron Ltd Plasma processing device
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6705345B1 (en) * 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
DE60125338T2 (en) * 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100458982B1 (en) * 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US6602346B1 (en) * 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
TW556004B (en) * 2001-01-31 2003-10-01 Planar Systems Inc Methods and apparatus for the production of optical filters
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100384558B1 (en) * 2001-02-22 2003-05-22 삼성전자주식회사 Method for forming dielectric layer and capacitor using thereof
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6890386B2 (en) * 2001-07-13 2005-05-10 Aviza Technology, Inc. Modular injector and exhaust assembly
US20030027428A1 (en) * 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
JP2003045864A (en) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc Substrate processing system
US6666982B2 (en) * 2001-10-22 2003-12-23 Tokyo Electron Limited Protection of dielectric window in inductively coupled plasma generation
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers

Patent Citations (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) * 1897-03-23 Roller-bearing
US3620934A (en) * 1966-08-08 1971-11-16 Fer Blanc Sarl Centre Rech Du Method of electrolytic tinning sheet steel
US3630769A (en) * 1968-04-24 1971-12-28 Plessey Co Ltd PRODUCTION OF VAPOR-DEPOSITED Nb{11 B{11 Sn CONDUCTOR MATERIAL
US3618919A (en) * 1969-11-03 1971-11-09 Btu Eng Corp Adjustable heat and gas barrier
US3630881A (en) * 1970-01-22 1971-12-28 Ibm Cathode-target assembly for rf sputtering apparatus
US3634212A (en) * 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US4018949A (en) * 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4098923A (en) * 1976-06-07 1978-07-04 Motorola, Inc. Pyrolytic deposition of silicon dioxide on semiconductors using a shrouded boat
US4289061A (en) * 1977-10-03 1981-09-15 Hooker Chemicals & Plastics Corp. Device and assembly for mounting parts
US4242370A (en) * 1978-03-17 1980-12-30 Abdalla Mohamed I Method of manufacturing thin film electroluminescent devices
US4242182A (en) * 1978-07-21 1980-12-30 Francine Popescu Bright tin electroplating bath
US4269625A (en) * 1978-12-04 1981-05-26 U.S. Philips Corporation Bath for electroless depositing tin on substrates
US4388342A (en) * 1979-05-29 1983-06-14 Hitachi, Ltd. Method for chemical vapor deposition
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4469801A (en) * 1980-09-04 1984-09-04 Toshio Hirai Titanium-containing silicon nitride film bodies and a method of producing the same
US4545136A (en) * 1981-03-16 1985-10-08 Sovonics Solar Systems Isolation valve
US4509456A (en) * 1981-07-28 1985-04-09 Veb Zentrum Fur Forschung Und Technologie Mikroelektronik Apparatus for guiding gas for LP CVD processes in a tube reactor
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4826579A (en) * 1982-06-25 1989-05-02 Cel Systems Corporation Electrolytic preparation of tin and other metals
US4438724A (en) * 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4397753A (en) * 1982-09-20 1983-08-09 Circuit Chemistry Corporation Solder stripping solution
US4593644A (en) * 1983-10-26 1986-06-10 Rca Corporation Continuous in-line deposition system
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4923715A (en) * 1986-03-31 1990-05-08 Kabushiki Kaisha Toshiba Method of forming thin film by chemical vapor deposition
US4681777A (en) * 1986-05-05 1987-07-21 Engelken Robert D Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates
US4966646A (en) * 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
US4894132A (en) * 1987-10-21 1990-01-16 Mitsubishi Denki Kabushiki Kaisha Sputtering method and apparatus
US4948979A (en) * 1987-12-21 1990-08-14 Kabushiki Kaisha Toshiba Vacuum device for handling workpieces
US5017404A (en) * 1988-09-06 1991-05-21 Schott Glaswerke Plasma CVD process using a plurality of overlapping plasma columns
US4949669A (en) * 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
US5091207A (en) * 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
US5020476A (en) * 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
US5372837A (en) * 1990-05-30 1994-12-13 Sharp Kabushiki Kaisha Method of manufacturing thin film EL device utilizing a shutter
US5366557A (en) * 1990-06-18 1994-11-22 At&T Bell Laboratories Method and apparatus for forming integrated circuit layers
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5131752A (en) * 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5223113A (en) * 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5325020A (en) * 1990-09-28 1994-06-28 Abtox, Inc. Circular waveguide plasma microwave sterilizer apparatus
US5062446A (en) * 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5248527A (en) * 1991-03-01 1993-09-28 C. Uyemura And Company, Limited Process for electroless plating tin, lead or tin-lead alloy
US5232749A (en) * 1991-04-30 1993-08-03 Micron Technology, Inc. Formation of self-limiting films by photoemission induced vapor deposition
US5364219A (en) * 1991-06-24 1994-11-15 Tdk Corporation Apparatus for clean transfer of objects
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5172849A (en) * 1991-09-25 1992-12-22 General Motors Corporation Method and apparatus for convection brazing of aluminum heat exchangers
US6375744B2 (en) * 1997-04-02 2002-04-23 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean

Also Published As

Publication number Publication date
US20050087130A1 (en) 2005-04-28
US7323231B2 (en) 2008-01-29

Similar Documents

Publication Publication Date Title
US20060193983A1 (en) Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7235484B2 (en) Nanolayer thick film processing system and method
US6689220B1 (en) Plasma enhanced pulsed layer deposition
US6921555B2 (en) Method and system for sequential processing in a two-compartment chamber
US7153542B2 (en) Assembly line processing method
US7344755B2 (en) Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US20120202353A1 (en) Nanolayer deposition using plasma treatment
KR100824088B1 (en) Film forming process method
US7211506B2 (en) Methods of forming cobalt layers for semiconductor devices
US7771535B2 (en) Semiconductor manufacturing apparatus
US20040053472A1 (en) Method for film formation of gate insulator, apparatus for film formation of gate insulator, and cluster tool
EP1540034A2 (en) Method for energy-assisted atomic layer depositon and removal
US7427572B2 (en) Method and apparatus for forming silicon nitride film
JP5083173B2 (en) Processing method and processing apparatus
US20060134345A1 (en) Systems and methods for depositing material onto microfeature workpieces
US6858085B1 (en) Two-compartment chamber for sequential processing
JP2775648B2 (en) CVD method
TWI559381B (en) Atomic layer deposition of metal alloy films
JP7446650B1 (en) Atomic layer deposition apparatus and atomic layer deposition method
KR20010036268A (en) Method for forming a metallic oxide layer by an atomic layer deposition
WO2024029320A1 (en) Film forming method and film forming apparatus
US20230402285A1 (en) Method of forming carbon-based spacer for euv photoresist patterns
WO2023205015A1 (en) Area selective carbon-based film deposition

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION