US20060197126A1 - Methods for forming structures including strained-semiconductor-on-insulator devices - Google Patents

Methods for forming structures including strained-semiconductor-on-insulator devices Download PDF

Info

Publication number
US20060197126A1
US20060197126A1 US11/416,423 US41642306A US2006197126A1 US 20060197126 A1 US20060197126 A1 US 20060197126A1 US 41642306 A US41642306 A US 41642306A US 2006197126 A1 US2006197126 A1 US 2006197126A1
Authority
US
United States
Prior art keywords
layer
strained
substrate
relaxed
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/416,423
Inventor
Anthony Lochtefeld
Thomas Langdo
Richard Hammond
Matthew Currie
Glyn Braithwaite
Eugene Fitzgerald
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Amber Wave Systems Inc
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US11/416,423 priority Critical patent/US20060197126A1/en
Assigned to AMBERWAVE SYSTEMS CORPORATION reassignment AMBERWAVE SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAMMOND, RICHARD, FITZGERALD, EUGENE A., BRAITHWAITE, GLYN, CURRIE, MATTHEW T., LANGDO, THOMAS A., LOCHTEFELD, ANTHONY J.
Publication of US20060197126A1 publication Critical patent/US20060197126A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76259Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along a porous layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • H01L29/66916Unipolar field-effect transistors with a PN junction gate, i.e. JFET with a PN heterojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76275Vertical isolation by bonding techniques

Definitions

  • This invention relates to devices and structures comprising strained semiconductor layers and insulator layers.
  • Strained silicon-on-insulator structures for semiconductor devices combine the benefits of two advanced approaches to performance enhancement: silicon-on-insulator (SOI) technology and strained silicon (Si) technology.
  • SOI silicon-on-insulator
  • Si strained silicon
  • the strained silicon-on-insulator configuration offers various advantages associated with the insulating substrate, such as reduced parasitic capacitances and improved isolation.
  • Strained Si provides improved carrier mobilities.
  • Devices such as strained Si metal-oxide-semiconductor field-effect transistors (MOSFETs) combine enhanced carrier mobilities with the advantages of insulating substrates.
  • MOSFETs metal-oxide-semiconductor field-effect transistors
  • Strained-silicon-on-insulator substrates are typically fabricated as follows. First, a relaxed silicon-germanium (SiGe) layer is formed on an insulator by one of several techniques such as separation by implantation of oxygen (SIMOX), wafer bonding and etch back; wafer bonding and hydrogen exfoliation layer transfer; or recrystallization of amorphous material. Then, a strained Si layer is epitaxially grown to form a strained-silicon-on-insulator structure, with strained Si disposed over SiGe. The relaxed-SiGe-on-insulator layer serves as the template for inducing strain in the Si layer. This induced strain is typically greater than 10-3.
  • This structure has limitations. It is not conducive to the production of fully-depleted strained-semiconductor-on-insulator devices in which the layer over the insulating material must be thin enough [ ⁇ 300 angstroms ( ⁇ )] to allow for full depletion of the layer during device operation. Fully depleted transistors may be the favored version of SOI for MOSFET technologies beyond the 90 nm technology node.
  • the relaxed SiGe layer adds to the total thickness of this layer and thus makes it difficult to achieve the thicknesses required for fully depleted silicon-on-insulator device fabrication.
  • the relaxed SiGe layer is not required if a strained Si layer can be produced directly on the insulating material. Thus, there is a need for a method to produce strained silicon - or other semiconductor—layers directly on insulating substrates.
  • Double gate MOSFETs have the potential for superior performance in comparison to standard single-gate bulk or single-gate SOI MOSFET devices. This is due to the fact that two gates (one above and one below the channel) allow much greater control of channel charge then a single gate. This configuration has the potential to translate to higher drive current and lower stand-by leakage current.
  • Fin-field-effect transistors like double-gate MOSFETs, typically have two gates (one on either side of the channel, where the channel is here oriented vertically) allowing much greater control of channel charge than in a single gate device. This configuration also has the potential to translate to higher drive current and lower stand-by leakage current.
  • Devices related to the finFET such as the wrap-around gate FET (gate on both sides of as well as above the channel) allow even more channel charge control and hence even more potential for improved drive current and leakage current performance.
  • the bipolar-CMOS (BiCMOS) process is a combination of both the bipolar transistor and MOSFET/CMOS processes. Individually, the CMOS process allows low power dissipation, high packing density and the ability to integrate complexity with high-speed yields. A major contribution to power dissipation in CMOS circuits originates from driving the load capacitance that is usually the gate of sequentially linked logic cells. The size of these gates may be kept sufficiently small, but when driving higher loads (such as input/output buffers or data buses) the load or capacitance of such devices is substantially larger and therefore requires greater gate width (hence area) of transistor, which inevitably drives down the switching speed of the MOSFET.
  • the bipolar transistor has significant advantages in terms of the drive current per unit active area and reduced noise signal. Additionally, the switching speed is enhanced due to the effectively exponential output current swing with respect to input signal. This means that the transconductance of a bipolar transistor is significantly higher than that of a MOS transistor when the same current is passed. Higher transconductance enables the charging process to take place approximately ten times more quickly in emitter coupled logic circuits, or high fan out/load capacitance.
  • bipolar technology has not replaced the high packing density microprocessor CMOS process for a number of reasons, including issues of yield and the increased area required for device isolation.
  • integration of bipolar and CMOS may provide the best aspects of the composite devices.
  • the present invention includes a strained-semiconductor-on-insulator (SSOI) substrate structure and methods for fabricating the substrate structure.
  • MOSFETs fabricated on this substrate will have the benefits of SOI MOSFETs as well as the benefits of strained Si mobility enhancement.
  • the formation of BiCMOS structures on SSOI substrates provides the combined benefits of BiCMOS design platforms and enhanced carrier mobilities.
  • SSOI substrates also enable enhanced carrier mobilities, process simplicity, and better device isolation for double-gate MOSFETs and finFETs.
  • This approach enables the fabrication of well-controlled, epitaxially-defined, thin strained semiconductor layers directly on an insulator layer. Tensile strain levels of ⁇ 10 ⁇ 3 or greater are possible in these structures, and are not diminished after thermal anneal cycles. In some embodiments, the strain-inducing relaxed layer is not present in the final structure, eliminating some of the key problems inherent to current strained Si-on-insulator solutions. This fabrication process is suitable for the production of enhanced-mobility substrates applicable to partially or fully depleted SSOI technology.
  • the invention features a structure including a substrate having a dielectric layer disposed thereon and a fin-field-effect transistor disposed over the substrate.
  • the fin-field-effect-transistor includes a source region and a drain region disposed in contact with the dielectric layer, the source and the drain regions including a strained semiconductor material.
  • the fin-field-effect-transistor also includes at least one fin extending between the source and the drain regions, the fin including a strained semiconductor material.
  • a gate is disposed above the strained semiconductor layer, extending over at least one fin and between the source and the drain regions.
  • a gate dielectric layer is disposed between the gate and the fin.
  • the fin may include at least one of a group II, a group III, a group IV, a group V, of a group VI element.
  • the strained semiconductor layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained semiconductor layer may be compressively strained and may include, e.g., compressively strained germanium.
  • the invention features a method for forming a structure, the method including providing a substrate having a dielectric layer disposed thereon, and a first strained semiconductor layer disposed in contact with the dielectric layer.
  • a fin-field-effect transistor is formed on the substrate by patterning the first strained semiconductor layer to define a source region, a drain region, and at least one fin disposed between the source and the drain regions.
  • a dielectric layer is formed, at least a portion of the dielectric layer being disposed over the fin, and a gate is formed over the dielectric layer portion disposed over the fin.
  • the first strained semiconductor layer may include at least one of a group II, a group III, a group IV, a group V, or a group VI element.
  • the strained semiconductor layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained semiconductor layer may be compressively strained and may include, e.g., compressively strained germanium.
  • the invention features a structure including a dielectric layer disposed over a substrate; and a transistor formed over the dielectric layer.
  • the transistor includes a first gate electrode in contact with the dielectric layer, a strained semiconductor layer disposed over the first gate electrode; and a second gate electrode disposed over the strained semiconductor layer.
  • the strained semiconductor layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI elements.
  • the strained semiconductor layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained semiconductor layer may be compressively strained and may include, e.g., compressively strained germanium.
  • the strained semiconductor layer may have a strain level greater than 10 ⁇ 3 .
  • a first gate insulator layer may be disposed between the first gate electrode and the strained semiconductor layer.
  • a second gate insulator layer may be disposed between the strained semiconductor layer and the second gate electrode.
  • the strained semiconductor layer may include a source.
  • the strained semiconductor layer may include a drain.
  • a sidewall spacer may be disposed proximate the second gate electrode. The sidewall spacer may include a dielectric or a conductive material.
  • the invention features a method for forming a structure, the method including forming a substrate having a first gate electrode layer disposed over a substrate insulator layer, a first gate insulator layer disposed over the first gate electrode layer, and a strained semiconductor layer disposed over the first gate insulator layer.
  • a second gate insulator layer is formed over the strained semiconductor layer, and a second gate electrode layer is formed over the second gate insulator layer.
  • a second gate electrode is defined by removing a portion of the second gate insulator layer.
  • a dielectric sidewall spacer is formed proximate the second gate electrode.
  • a portion of the strained semiconductor layer, a portion of the first gate insulator layer, and a portion of the first gate electrode layer are removed to define a vertical structure disposed over the substrate insulator layer, the vertical structure including a strained layer region, a first gate insulator region, and-a first gate electrode layer region disposed under the second gate electrode.
  • a first gate electrode is defined by laterally shrinking the first gate electrode layer region.
  • the strained semiconductor layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained semiconductor layer may be compressively strained and may include compressively strained germanium.
  • a conductive sidewall spacer may be formed proximate the dielectric sidewall spacer.
  • a source and/or a drain may be defined in the strained semiconductor layer.
  • the invention features a structure including a strained semiconductor layer disposed over a dielectric layer and a bipolar transistor.
  • the bipolar transistor includes a collector disposed in a portion of the strained semiconductor layer, a base disposed over the collector, and an emitter disposed over the base.
  • the strained layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained layer may be compressively strained.
  • the invention features a relaxed substrate including a bulk material, a strained layer disposed in contact with the relaxed substrate; and a bipolar transistor.
  • the bipolar transistor includes a collector disposed in a portion of the strained layer, a base disposed over the collector, and an emitter disposed over the base. The strain of the strained layer is not induced by the underlying substrate.
  • the strained layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained layer may be compressively strained.
  • the invention features a structure including a relaxed substrate including a bulk material, a strained layer disposed in contact with the relaxed substrate; and a bipolar transistor including.
  • the bipolar transistor includes a collector disposed in a portion of the strained layer, a base disposed over the collector, and an emitter disposed over the base.
  • the strain of the strained layer is independent of a lattice mismatch between the strained layer and the relaxed substrate.
  • the strained layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained layer may be compressively strained.
  • the invention includes a method for forming a structure, the method including providing a substrate having a strained semiconductor layer disposed over a dielectric layer, defining a collector in a portion of the strained semiconductor layer; forming a base over the collector; and forming an emitter over the base.
  • the strained layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained layer may be compressively strained.
  • the invention includes method for forming a structure, the method including providing a first substrate having a strained layer disposed thereon, the strained layer including a first semiconductor material.
  • the strained layer is bonded to a second substrate, the second substrate including a bulk material.
  • the first substrate is removed from the strained layer, with the strained layer remaining bonded to the bulk semiconductor material.
  • a collector is defined in a portion of the strained layer.
  • a base is formed over the collector; and an emitter is formed over the base.
  • the strain of the strained layer is not induced by the second substrate and the strain is independent of lattice mismatch between the strained layer and the second substrate.
  • the strained layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained layer may be compressively strained.
  • the invention features a method for forming a structure, the method including providing a relaxed substrate comprising a bulk material and a strained layer disposed in contact with the relaxed substrate, the strain of the strained layer not being induced by the underlying substrate and the strain being independent of a lattice mismatch between the strained layer and the relaxed substrate.
  • a collector is defined in a portion of the strained layer.
  • a base is formed over the collector, and an emitter is formed over the base.
  • the strained layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained layer may be compressively strained.
  • the invention features a method for forming a structure, the method includes providing a substrate having a strained semiconductor layer disposed over a substrate dielectric layer and forming a transistor in the strained layer.
  • Forming the transistor includes forming a gate dielectric layer above a portion of the strained semiconductor layer, forming a gate contact above the gate dielectric layer, and forming a source region and a drain region in a portion of the strained semiconductor layer, proximate the gate dielectric layer.
  • a portion of the strained layer and the substrate dielectric layer are removed to expose a portion of the substrate.
  • a collector is defined in the exposed portion of the substrate.
  • a base is formed over the collector; and an emitter is formed over the base.
  • the strained layer may be tensilely strained and may include, e.g., tensilely strained silicon.
  • the strained layer may be compressively strained.
  • FIGS. 1A-6 are schematic cross-sectional views of substrates illustrating a method for fabricating an SSOI substrate
  • FIG. 7 is a schematic cross-sectional view illustrating an alternative method for fabricating the SSOI substrate illustrated in FIG. 6 ;
  • FIG. 8 is a schematic cross-sectional view of a transistor formed on the SSOI substrate illustrated in FIG. 6 ;
  • FIGS. 9-10 are schematic cross-sectional views of substrate(s) illustrating a method for fabricating an alternative SSOI substrate
  • FIG. 11 is a schematic cross-sectional view of a substrate having several layers formed thereon;
  • FIGS. 12-13 are schematic cross-sectional views of substrates illustrating a method for fabricating an alternative strained semiconductor substrate
  • FIG. 14 is a schematic cross-sectional view of the SSOI substrate illustrated in FIG. 6 after additional processing;
  • FIGS. 15-21B are cross-sectional and top views of substrates illustrating a method for fabricating a fin-field-effect transistor (finFET) on an SSOI substrate;
  • FIGS. 22-35 are cross-sectional views of substrates illustrating a method for fabricating a dual-gate transistor on an SSOI substrate
  • FIGS. 36-39 are cross-sectional views of substrates illustrating a method for fabricating a bipolar transistor on an SSOI substrate.
  • FIGS. 40A-41D are schematic cross-sectional views of substrates illustrating alternative methods for fabricating an SSOI substrate.
  • FIGS. 1A-2B illustrate formation of a suitable strained layer on a wafer for bonding, as further described below.
  • an epitaxial wafer 8 has a plurality of layers 10 disposed over a substrate 12 .
  • Substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe.
  • a relaxed layer 16 is disposed over graded buffer layer 14 .
  • Si 1-x Ge x may include Si 0.70 Ge 0.30 and T 2 may be approximately 1.5 ⁇ m.
  • Relaxed layer 16 may be fully relaxed, as determined by triple axis X-ray diffraction, and may have a threading dislocation density of ⁇ 1 ⁇ 10 6 dislocations/cm 2 , as determined by etch pit density (EPD) analysis.
  • EPD etch pit density
  • threading dislocation density may be measured as either the number of dislocations intersecting a unit area within a unit volume or the line length of dislocation per unit volume. Threading dislocation density, therefore, may be expressed in either units of dislocations/cm 2 or cm/cm 3 .
  • Relaxed layer 16 may have a surface particle density of, e.g., less than about 0.3 particles/cm 2 .
  • relaxed layer 16 produced in accordance with the present invention may have a localized light-scattering defect level of less than about 0.3 defects/cm 2 for particle defects having a size (diameter) greater than 0.13 microns, a defect level of about 0.2 defects/cm 2 for particle defects having a size greater than 0.16 microns, a defect level of about 0 . 1 defects/cm 2 for particle defects having a size greater than 0 . 2 microns, and a defect level of about 0.03 defects/cm 2 for defects having a size greater than 1 micron.
  • Process optimization may enable reduction of the localized light-scattering defect levels to about 0.09 defects/cm 2 for particle defects having a size greater than 0.09 microns and to 0.05 defects/cm 2 for particle defects having a size greater than 0.12 microns.
  • Substrate 12 , graded layer 14 , and relaxed layer 16 may be formed from various materials systems, including various combinations of group II, group III, group IV, group V, and group VI elements.
  • each of substrate 12 , graded layer 14 , and relaxed layer 16 may include a III-V compound.
  • Substrate 12 may include gallium arsenide (GaAs)
  • graded layer 14 and relaxed layer 16 may include indium gallium arsenide (InGaAs) or aluminum gallium arsenide (AlGaAs). These examples are merely illustrative, and many other material systems are suitable.
  • a strained semiconductor layer 18 is disposed over relaxed layer 16 .
  • Strained layer 18 may include a semiconductor such as at least one of a group II, a group 111 , a group IV, a group V, and a group VI element.
  • Strained semiconductor layer 18 may include, for example, Si, Ge, SiGe, GaAs, indium phosphide (InP), and/or zinc selenide (ZnSe).
  • strained semiconductor layer 18 may include approximately 100% Ge, and may be compressively strained.
  • Strained layer 18 has a thickness T 3 of, for example, 50-1000 ⁇ . In an embodiment, T 3 may be approximately 200-500 ⁇ .
  • Strained layer 18 may be formed by epitaxy, such as by atmospheric-pressure CVD (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), by molecular beam epitaxy (MBE), or by atomic layer deposition (ALD).
  • APCVD atmospheric-pressure CVD
  • LPCVD low- (or reduced-) pressure CVD
  • UHVCVD ultra-high-vacuum CVD
  • MBE molecular beam epitaxy
  • ALD atomic layer deposition
  • Strained layer 18 containing Si may be formed by CVD with precursors such as silane, disilane, or trisilane.
  • Strained layer 18 containing Ge may be formed by CVD with precursors such as germane or digermane.
  • the epitaxial growth system may be a single-wafer or multiple-wafer batch reactor. The growth system may also utilize a low-energy plasma to enhance layer growth kinetics.
  • Strained layer 18 may be formed at a relatively low temperature, e.g., less than 700° C., to facilitate the definition of an abrupt interface 17 between strained layer 18 and relaxed layer 16 .
  • This abrupt interface 17 may enhance the subsequent separation of strained layer 18 from relaxed layer 16 , as discussed below with reference to FIGS. 4 and 5 .
  • Abrupt interface 17 is characterized by the transition of Si or Ge content (in this example) proceeding in at least 1 decade (order of magnitude in atomic concentration) per nanometer of depth into the sample. In an embodiment, this abruptness may be better than 2 decades per nanometer.
  • strained layer 18 may be formed in a dedicated chamber of a deposition tool that is not exposed to Ge source gases, thereby avoiding cross-contamination and improving the quality of the interface between strained layer 18 and relaxed layer 16 .
  • strained layer 18 may be formed from an isotopically pure silicon precursor(s). Isotopically pure Si has better thermal conductivity than conventional Si. Higher thermal conductivity may help dissipate heat from devices subsequently formed on strained layer 18 , thereby maintaining the enhanced carrier mobilities provided by strained layer 18 .
  • strained layer 18 After formation, strained layer 18 has an initial misfit dislocation density, of, for example, 0-10 5 cm/cm 2 . In an embodiment, strained layer 18 has an initial misfit dislocation density of approximately 0 cm/cm 2 . Because misfit dislocations are linear defects generally lying within a plane between two crystals within an area, they may be measured in terms of total line length per unit area. Misfit dislocation density, therefore, may be expressed in units of dislocations/cm or cm/cm 2 . In one embodiment, strained layer 18 is tensilely strained, e.g., Si formed over SiGe. In another embodiment, strained layer 18 is compressively strained, e.g., Ge formed over SiGe.
  • Strained layer 18 may have a surface particle density of, e.g., less than about 0.3 particles/cm 2 .
  • surface particle density includes not only surface particles but also light-scattering defects, and crystal-originated pits (COPs), and other defects incorporated into strained layer 18 .
  • strained layer 18 produced in accordance with the present invention may have a localized light-scattering defect level of less than about 0.3 defects/cm 2 for particle defects having a size (diameter) greater than 0.13 microns, a defect level of about 0.2 defects/cm 2 for particle defects having a size greater than 0.16 microns, a defect level of about 0.1 defects/cm 2 for particle defects having a size greater than 0.2 microns, and a defect level of about 0.03 defects/cm 2 for defects having a size greater than 1 micron.
  • Process optimization may enable reduction of the localized light-scattering defect levels to about 0.09 defects/cm 2 for particle defects having a size greater than 0.09 microns and to 0.05 defects/cm 2 for particle defects having a size greater than 0.12 microns.
  • These surface particles may be incorporated in strained layer 18 during the formation of strained layer 18 , or they may result from the propagation of surface defects from an underlying layer, such as relaxed layer 16 .
  • graded layer 14 may be absent from the structure.
  • Relaxed layer 16 may be formed in various ways, and the invention is not limited to embodiments having graded layer 14 .
  • strained layer 18 may be formed directly on substrate 12 . In this case, the strain in layer 18 may be induced by lattice mismatch between layer 18 and substrate 12 , induced mechanically, e.g., by the deposition of overlayers, such as Si 3 N 4 , or induced by thermal mismatch between layer 18 and a subsequently grown layer, such as a SiGe layer.
  • a uniform semiconductor layer (not shown), having a thickness of approximately 0.5 ⁇ m and comprising the same semiconductor material as substrate 12 , is disposed between graded buffer layer 14 and substrate 12 .
  • This uniform semiconductor layer may be grown to improve the material quality of layers subsequently grown on substrate 12 , such as graded buffer layer 14 , by providing a clean, contaminant-free surface for epitaxial growth.
  • relaxed layer 16 may be planarized prior to growth of strained layer 18 to eliminate the crosshatched surface roughness induced by graded buffer layer 14 . (See, e.g., M. T. Currie, et al., Appl. Phys. Lett., 72 (14) p.
  • the planarization may be performed by a method such as chemical mechanical polishing (CMP), and may improve the quality of a subsequent bonding process (see below) because it minimizes the wafer surface roughness and increases wafer flatness, thus providing a greater surface area for bonding.
  • CMP chemical mechanical polishing
  • a relaxed semiconductor regrowth layer 19 including a semiconductor such as SiGe may be grown on relaxed layer 16 , thus improving the quality of subsequent strained layer 18 growth by ensuring a clean surface for the growth of strained layer 18 .
  • Growing on this clean surface may be preferable to growing strained material, e.g., silicon, on a surface that is possibly contaminated by oxygen and carbon from the planarization process.
  • the conditions for epitaxy of the relaxed semiconductor regrowth layer 19 on the planarized relaxed layer 16 should be chosen such that surface roughness of the resulting structure, including layers formed over regrowth layer 19 , is minimized to ensure a surface suitable for subsequent high quality bonding.
  • High quality bonding may be defined as the existence of a bond between two wafers that is substantially free of bubbles or voids at the interface. Measures that may help ensure a smooth surface for strained layer 18 growth, thereby facilitating bonding, include substantially matching a lattice of the semiconductor regrowth layer 19 to that of the underlying relaxed layer 16 , by keeping the regrowth thickness below approximately 1 ⁇ m, and/or by keeping the growth temperature below approximately 850° C. for at least a portion of the semiconductor layer 19 growth. It may also be advantageous for relaxed layer 16 to be substantially free of particles or areas with high threading dislocation densities (i.e., threading dislocation pile-ups) which could induce non-planarity in the regrowth and decrease the quality of the subsequent bond.
  • threading dislocation densities i.e., threading dislocation pile-ups
  • hydrogen ions are implanted into relaxed layer 16 to define a cleave plane 20 .
  • This implantation is similar to the SMARTCUT process that has been demonstrated in silicon by, e.g., SOITEC, based in Grenoble, France.
  • Implantation parameters may include implantation of hydrogen (H 2 + ) to a dose of 2.5-5 ⁇ 10 16 ions/cm 2 at an energy of, e.g., 50-100 keV.
  • H 2 + may be implanted at an energy of 75 keV and a dose of 4 ⁇ 10 16 ions/cm 2 through strained layer 18 into relaxed layer 16 .
  • other implanted species may be used, such as H + or He + , with the dose and energy being adjusted accordingly.
  • the implantation may also be performed prior to the formation of strained layer 18 .
  • the subsequent growth of strained layer 18 is preferably performed at a temperature low enough to prevent premature cleaving along cleave plane 20 , i.e., prior to the wafer bonding process.
  • This cleaving temperature is a complex function of the implanted species, implanted dose, and implanted material. Typically, premature cleaving may be avoided by maintaining a growth temperature below approximately 500° C.
  • a thin layer 21 of another material, such as Si, may be formed over strained layer 18 prior to bonding (see discussion with respect to FIG. 3 ).
  • This thin layer 21 may be formed to enhance subsequent bonding of strained layer 18 to an insulator, such as an oxide.
  • Thin layer 21 may have a thickness T 21 of, for example, 0.5-5 nm.
  • strained layer 18 may be planarized by, e.g., CMP, to improve the quality of the subsequent bond.
  • Strained layer 18 may have a low surface roughness, e.g., less than 0.5 nm root mean square (RMS).
  • RMS root mean square
  • a dielectric layer 22 may be formed over strained layer 18 prior to ion implantation into relaxed layer 16 to improve the quality of the subsequent bond.
  • Dielectric layer 22 may be, e.g., silicon dioxide (SiO 2 ) deposited by, for example, LPCVD or by high density plasma (HDP). An LPCVD deposited SiO 2 layer may be subjected to a densification step at elevated temperature.
  • Suitable conditions for this densification step may be, for example, a 10 minute anneal at 800° C. in a nitrogen ambient.
  • dielectric layer 22 may include low-temperature oxide (LTO), which may be subsequently densified at elevated temperature in nitrogen or oxygen ambients.
  • LTO low-temperature oxide
  • Suitable conditions for this densification step can be a 10 minute anneal at 800° C. in an oxygen ambient.
  • Dielectric layer 22 may be planarized by, e.g., CMP to improve the quality of the subsequent bond.
  • strained layer 18 comprises approximately 100% Ge and dielectric layer 22 comprises, for example, germanium dioxide (GeO 2 ); germanium oxynitride (GeON); a high-k insulator having a higher dielectric constant than that of Si such as hafnium oxide (HfO 2 ) or hafnium silicate (HfSiON, HfSiO 4 ); or a multilayer structure including GeO 2 and SiO 2 .
  • Ge has an oxidation behavior different from that of Si, and the deposition methods may be altered accordingly.
  • epitaxial wafer 8 is bonded to a handle wafer 50 .
  • Either handle wafer 50 , epitaxial wafer 8 , or both have a top dielectric layer (see, e.g., dielectric layer 22 in FIG. 2B ) to facilitate the bonding process and to serve as an insulator layer in the final substrate structure.
  • Handle wafer 50 may have a dielectric layer 52 disposed over a semiconductor substrate 54 .
  • Dielectric layer 52 may include, for example, SiO 2 .
  • dielectric layer 52 includes a material having a melting point (T m ) higher than a T m of pure SiO 2 , i.e., higher than 1 700 ° C.
  • handle wafer 50 may include a combination of a bulk semiconductor material and a dielectric layer, such as a silicon on insulator substrate.
  • Semiconductor substrate 54 includes a semiconductor material such as, for example, Si, Ge, or SiGe.
  • Handle wafer 50 and epitaxial wafer 8 are cleaned by a wet chemical cleaning procedure to facilitate bonding, such as by a hydrophilic surface preparation process to assist the bonding of a semiconductor material, e.g., strained layer 18 , to a dielectric material, e.g., dielectric layer 52 .
  • a suitable prebonding surface preparation cleaning procedure could include a modified megasonic RCA SC1 clean containing ammonium hydroxide, hydrogen peroxide, and water (NH 4 OH:H 2 O 2 :H 2 O) at a ratio of 1:4:20 at 60° C. for 10 minutes, followed by a deionized (DI) water rinse and spin dry.
  • DI deionized
  • top surfaces 60 , 62 of handle wafer 50 and epitaxial wafer 8 may be subjected to a plasma activation, either before, after, or instead of a wet clean, to increase the bond strength.
  • the plasma environment may include at least one of the following species: oxygen, ammonia, argon, nitrogen, diborane, and phosphine.
  • handle wafer 50 and epitaxial wafer 8 are bonded together by bringing top surfaces 60 , 62 in contact with each other at room temperature.
  • the bond strength may be greater than 1000 mJ/m 2 , achieved at a low temperature, such as less than 600° C.
  • a split is induced at cleave plane 20 by annealing handle wafer 50 and epitaxial wafer 8 after they are bonded together.
  • This split may be induced by an anneal at 300-700° C., e.g., 550° C., inducing hydrogen exfoliation layer transfer (i.e., along cleave plane 20 ) and resulting in the formation of two separate wafers 70 , 72 .
  • One of these wafers ( 70 ) has a first portion 80 of relaxed layer 16 (see FIG. 1A ) disposed over strained layer 18 .
  • Strained layer 18 is in contact with dielectric layer 52 on semiconductor substrate 54 .
  • the other of these wafers ( 72 ) includes substrate 12 , graded layer 14 , and a remaining portion 82 of relaxed layer 16 .
  • wafer splitting may be induced by mechanical force in addition to or instead of annealing.
  • wafer 70 with strained layer 18 may be annealed further at 600-900° C., e.g., at a temperature greater than 800° C., to strengthen the bond between the strained layer 18 and dielectric layer 52 . In some embodiments, this anneal is limited to an upper temperature of about 900° C. to avoid the destruction of a strained Si/relaxed SiGe heterojunction by diffusion.
  • Wafer 72 may be planarized, and used as starting substrate 8 for growth of another strained layer 18 .
  • wafer 72 may be “recycled” and the process illustrated in FIGS. 1A-5 may be repeated.
  • An alternative “recyling” method may include providing relaxed layer 16 that is several microns thick and repeating the process illustrated in FIGS. 1A-5 , starting with the formation of strained layer 18 . Because the formation of this thick relaxed layer 16 may lead to bowing of substrate 12 , a layer including, e.g., oxide or nitride, may be formed on the backside of substrate 12 to counteract the bowing. Alternatively substrate 12 may be pre-bowed when cut and polished, in anticipation of the bow being removed by the formation of thick relaxed layer 16 .
  • relaxed layer portion 80 is removed from strained layer 18 .
  • removal of relaxed layer portion 80 includes oxidizing the relaxed layer portion 80 by wet (steam) oxidation. For example, at temperatures below approximately 800° C., such as temperatures between 600-750° C., wet oxidation will oxidize SiGe much more rapidly then Si, such that the oxidation front will effectively stop when it reaches the strained layer 18 , in embodiments in which strained layer 18 includes Si.
  • the difference between wet oxidation rates of SiGe and Si may be even greater at lower temperatures, such as approximately 400° C. -600° C.
  • SiGe may be efficiently removed at low temperatures with oxidation stopping when strained layer 18 is reached.
  • This wet oxidation results in the transformation of SiGe to a thermal insulator 90 , e.g., Si x Ge y O z .
  • the thermal insulator 90 resulting from this oxidation is removed in a selective wet or dry etch, e.g., wet hydrofluoric acid. In some embodiments, it may be more economical to oxidize and strip several times, instead of just once.
  • wet oxidation may not completely remove the relaxed layer portion 80 .
  • a localized rejection of Ge may occur during oxidation, resulting in the presence of a residual Ge-rich SiGe region at the oxidation front, on the order of, for example, several nanometers in lateral extent.
  • a surface clean may be performed to remove this residual Ge.
  • the residual Ge may be removed by a dry oxidation at, e.g., 600° C., after the wet oxidation and strip described above.
  • Another wet clean may be performed in conjunction with—or instead of—the dry oxidation.
  • Examples of possible wet etches for removing residual Ge include a Piranha etch, i.e., a wet etch that is a mixture of sulfuric acid and hydrogen peroxide (H 2 SO 4 :H 2 O 2 ) at a ratio of, for example, 3:1.
  • An HF dip may be performed after the Piranha etch.
  • an RCA SC1 clean may be used to remove the residual Ge.
  • the process of Piranha or RCA SC1 etching and HF removal of resulting oxide may be repeated more than once.
  • relaxed layer portion including, e.g., SiGe is removed by etching and annealing under a hydrochloric acid (HCl) ambient.
  • HCl hydrochloric acid
  • the surface Ge concentration of the final strained Si surface is preferably less than about 1 ⁇ 10 12 atoms/cm 2 when measured by a technique such as total reflection x-ray fluorescence (TXRF) or the combination of vapor phase decomposition (VPD) with a spectroscopy technique such as graphite furnace atomic absorption spectroscopy (GFAAS) or inductively-coupled plasma mass spectroscopy (ICP-MS).
  • TXRF total reflection x-ray fluorescence
  • VPD vapor phase decomposition
  • GFAAS graphite furnace atomic absorption spectroscopy
  • ICP-MS inductively-coupled plasma mass spectroscopy
  • a smoother surface may improve the uniformity of subsequent complete removal of a remainder of relaxed layer portion 80 by, e.g., wet chemical etching.
  • strained layer 18 may be planarized. Planarization of strained layer 18 may be performed by, e.g., CMP; an anneal at a temperature greater than, for example, 800° C., in a hydrogen (H 2 ) or hydrochloric acid (HCl) containing ambient; or cluster ion beam smoothing.
  • a SSOI substrate 100 has strained layer 18 disposed over an insulator, such as dielectric layer 52 formed on semiconductor substrate 54 .
  • Strained layer 18 has a thickness T 4 selected from a range of, for example, 50-1000 ⁇ , with a thickness uniformity of better than approximately ⁇ 5% and a surface roughness of less than approximately 20 ⁇ .
  • Dielectric layer 52 has a thickness T 52 selected from a range of, for example, 500-3000 ⁇ .
  • strained layer 18 includes approximately 100% Si or 100% Ge having one or more of the following material characteristics: misfit dislocation density of, e.g., 0-10 5 cm/cm 2 ; a threading dislocation density of about 10 1 -10 7 dislocations/cm 2 ; a surface roughness of approximately 0.0.1-1 nm RMS; and a thickness uniformity across SSOI substrate 100 of better than approximately ⁇ 10% of a mean desired thickness; and a thickness T 4 of less than approximately 200 ⁇ .
  • SSOI substrate 100 has a thickness uniformity of better than approximately ⁇ 5% of a mean desired thickness.
  • dielectric layer 52 has a T m greater than that of SiO 2 .
  • SSOI substrate 100 may be subjected to high temperatures, i.e., up to 1100° C. High temperatures may result in the relaxation of strained layer 18 at an interface between strained layer 18 and dielectric layer 52 .
  • the use of dielectric layer with a T m greater than 1700° C. may help keep strained layer 18 from relaxing at the interface between strained layer 18 and dielectric layer 52 when SSOI substrate is subjected to high temperatures.
  • the misfit dislocation density of strained layer 18 may be lower than its initial dislocation density.
  • the initial dislocation density may be lowered by, for example, performing an etch of a top surface 92 of strained layer 18 .
  • This etch may be a wet etch, such as a standard microelectronics clean step such as an RCA SC1, i.e., hydrogen peroxide, ammonium hydroxide, and water (H 2 O 2 +NH 4 OH+H 2 O), which at, e.g., 80° C. may remove silicon.
  • bonding voids may have a density equivalent to the density of surface particles formed on strained layer 18 , e.g., less than about 0.3 voids/cm 2 .
  • strained semiconductor layer 18 includes Si and is substantially free of Ge; further, any other layer disposed in contact with strained semiconductor layer 18 prior to device processing, e.g., dielectric layer 52 , is also substantially free of Ge.
  • relaxed layer portion 80 may be removed by a selective wet etch that stops at the strained layer 18 to obtain SSOI substrate 100 (see FIG. 6 ).
  • a suitable selective SiGe wet etch may be a solution containing nitric acid (HNO 3 ) and dilute HF at a ratio of 3:1 or a solution containing H 2 O 2 , HF, and acetic acid (CH 3 COOH) at a ratio of 2:1:3.
  • relaxed layer portion 80 may be removed by a dry etch that stops at strained layer 18 .
  • relaxed layer portion 80 may be removed completely or in part by a chemical-mechanical polishing step or by mechanical grinding.
  • Strained semiconductor-on-insulator substrate 100 may be further processed by CMOS SOI MOSFET fabrication methods.
  • a transistor 200 may be formed on SSOI substrate 100 .
  • Forming transistor 200 includes forming a gate dielectric layer 210 above strained layer 18 by, for example, growing an SiO 2 layer by thermal oxidation.
  • gate dielectric layer 210 may include a high-k material with a dielectric constant higher than that of SiO 2 , such as HfO 2 , HfSiON, or HfSiO 4 .
  • gate dielectric layer 210 may be a stacked structure, e.g., a thin SiO 2 layer capped with a high-k material.
  • Gate 212 is formed over gate dielectric layer 210 .
  • Gate 212 may be formed of a conductive material, such as doped semiconductor, e.g., polycrystalline Si or polycrystalline SiGe; a metal, e.g., titanium (Ti), tungsten (W), molybdenum (Mo), tantalum (Ta), nickel (Ni), or iridium (Ir); or metal compounds, e.g., titanium nitride (TiN), titanium silicon nitride (TiSiN), tungsten nitride (WN), tantalum nitride (TaN), tantalum silicide (TaSi), nickel silicide (NiSi), or iridium oxide (IrO 2 ), that provide an appropriate workfunction.
  • a conductive material such as doped semiconductor, e.g., polycrystalline Si or polycrystalline SiGe
  • a metal e.g., titanium (Ti), tungsten (W), mo
  • Source region 214 and a drain region 216 are formed in a portion 218 of strained semiconductor layer 18 , proximate gate dielectric layer 210 .
  • Source and drain regions 214 , 216 may be formed by, e.g., ion implantation of either n-type or p-type dopants.
  • strained semiconductor layer 18 may be compressively strained when, for example, layer 18 includes strained Ge. Compressively strained layers may be prone to undulation when subjected to large temperature changes. The risk of such undulation may be reduced by reducing the thermal budget of a process for fabricating devices, such as transistor 200 . The thermal budget may reduced by, for example, using atomic layer deposition (ALD) to deposit gate dielectric layer 210 . Furthermore, a maximum temperature for forming gate 212 may be limited to, e.g., 600° C. by, for example, the use of materials comprising metal or metal compounds, rather than polysilicon or other gate materials that may require higher formation and/or dopant activation temperatures.
  • ALD atomic layer deposition
  • a transistor 250 formed on SSOI substrate 100 may have an elevated source region and an elevated drain region proximate a first and a second sidewall spacer 252 , 254 .
  • These elevated regions may be formed as follows.
  • a semiconductor layer 256 a - 256 c is formed selectively on exposed silicon surfaces, i.e., on top surface 258 of a gate 259 containing silicon, a top surface 260 of a source 262 defined in strained layer 18 , and top surface 264 of a drain 266 defined in strained layer 18 .
  • semiconductor layer 256 a - 256 c is an epitaxial layer, such as epitaxial silicon, epitaxial germanium, or epitaxial silicon-germanium.
  • No semiconductor layer is formed on non-silicon features, such as sidewall spacers 252 , 254 and dielectric isolation regions 268 , 270 .
  • Semiconductor layer 256 a - 256 c has a thickness T 256 of, for example, approximately 100-500 ⁇ .
  • Semiconductor layer 256 a - 256 c has a low resistivity of, e.g., 0.001 ohm-cm, that facilitates the formation of low-resistance contacts.
  • semiconductor layer 256 a - 256 c is, for example, epitaxial silicon doped with, for example, arsenic to a concentration of 1 ⁇ 10 20 atoms/cm 3 .
  • Semiconductor layer 256 a - 256 c may be doped in situ, during deposition. In alternative embodiments, semiconductor layer 256 a - 256 c may be doped after deposition by ion implantation or by gas-, plasma- or solid-source diffusion.
  • the doping of semiconductor layer 256 a - 256 c and the formation of source 262 and drain 266 are performed simultaneously. Portions of semiconductor layer 256 a , 256 c disposed over source 262 and drain 266 may have top surfaces substantially free of facets. In an embodiment, portions of source 262 , drain 266 , and/or gate 259 may be etched away to define recess prior to deposition of semiconductor layer 256 a - 256 c , and semiconductor layer 256 a - 256 c may then be deposited in the recesses thus formed.
  • Metal layer 272 is formed over transistor 250 .
  • Metal layer 272 is formed by, for example, sputter deposition.
  • Metal layer 272 has a thickness T 272 of, e.g., 50-200 ⁇ and includes a metal such as cobalt, titanium, tungsten, nickel, or platinum.
  • the metal is selected to react with semiconductor layer 256 a - 256 c to form a low-resistance metal-semiconductor alloy when exposed to heat, as described below.
  • the metal is also selected such that the metal-semiconductor alloy remains stable at temperatures typically required to complete transistor 250 fabrication, e.g., 400- 700° C.
  • a first rapid thermal anneal is performed, e.g., at 550° C. for 60 seconds.
  • This heating step initiates a reaction between metal layer 272 and semiconductor layers 256 a - 256 c , forming a high resistivity phase of a metal-semiconductor alloy, e.g., cobalt silicide (CoSi).
  • a metal-semiconductor alloy e.g., cobalt silicide (CoSi).
  • Portions of metal layer 272 are removed by a wet etch, such as sulfuric acid and hydrogen peroxide.
  • the wet etch may be ammonium hydroxide, peroxide, and water.
  • This wet etch removes portions of metal layer 272 disposed over dielectric material, such as over first and second sidewall spacers 252 , 254 and isolation regions 268 , 270 . Portions 274 of metal layer 272 disposed over semiconductor layer 256 a - 256 c that have reacted to form the metal-semiconductor alloy remain in place after the anneal and wet etch.
  • SSOI substrate 100 including transistor 250 , is subjected to a second heat treatment.
  • SSOI substrate 100 undergoes a rapid thermal anneal at 800° C. for 60 seconds in a nitrogen ambient. This heating step initiates a reaction in the metal-semiconductor alloy layer which substantially lowers its resistivity, to form a substantially homogeneous contact layer 276 a - 276 c .
  • Contact layer 276 a - 276 c includes a metal-semiconductor alloy, e.g., a metal silicide such as a low resistivity phase of cobalt silicide (CoSi 2 ).
  • Contact layer 276 a - 276 c has a thickness T 276 of, for example, 400 ⁇ .
  • Contact layer 276 a - 276 c has a low sheet resistance, e.g., less than about 10 ⁇ / ⁇ , and enables a good quality contact to be made to source 262 and drain 266 , as well as to gate 259 .
  • contact layer 276 a - 276 c may consume substantially all of semiconductor layer 256 a - 256 c .
  • a bottommost boundary 278 a of contact layer 276 a therefore, shares an interface 280 a with strained layer 18 in source 262
  • a bottommost boundary 278 c of contact layer 276 c therefore, shares an interface 280 c with strained layer 18 in drain 266 .
  • a bottonunost boundary 278 b of contact layer 276 b shares an interface 280 b with gate 259 .
  • contact layer portions 276 a , 276 c may extend into strained layer 18 .
  • Interfaces 280 a , 280 c between contact layer 276 a , 276 c and strained layer 18 are then disposed within source 262 and drain 266 , respectively, above bottommost boundaries 282 a , 282 c of strained layer 18 .
  • Interfaces 280 a , 280 c have a low contact resistivity, e.g., less than approximately 5 ⁇ 10 ⁇ 7 ⁇ -cm 2 .
  • contact layer 276 a - 276 c may not consume all of semiconductor layer 256 a - 256 c (see FIG. 8D ).
  • a bottommost boundary 278 a of contact layer 276 a therefore, shares an interface with semiconductor layer 256 a over source 262
  • a bottommost boundary 278 c of contact layer 276 c therefore, shares an interface with semiconductor layer 256 c over drain 266 .
  • strained layer 18 includes a strained material, carrier mobilities in strained layer 18 are enhanced, facilitating lower sheet resistances. This strain also results in a reduced energy bandgap, thereby lowering the contact resistivity between the metal-semiconductor alloy and the strained layer.
  • an SSOI structure may include, instead of a single strained layer, a plurality of semiconductor layers disposed on an insulator layer.
  • epitaxial wafer 300 includes strained layer 18 , relaxed layer 16 , graded layer 14 , and substrate 12 .
  • a semiconductor layer 310 is disposed over strained layer 18 .
  • Strained layer 18 may be tensilely strained and semiconductor layer 310 may be compressively strained.
  • strained layer 18 may be compressively strained and semiconductor layer 310 may be tensilely strained. Strain may be induced by lattice mismatch with respect to an adjacent layer, as described above, or mechanically.
  • strain may be induced by the deposition of overlayers, such as Si 3 N 4 .
  • semiconductor layer 310 is relaxed.
  • Semiconductor layer 310 includes a semiconductor material, such as at least one of a group II, a group III, a group IV, a group V, and a group VI element.
  • Epitaxial wafer 300 is processed in a manner analogous to the processing of epitaxial wafer 8 , as described with reference to FIGS. 1-7 .
  • processing of epitaxial wafer 300 results in the formation of SSOI substrate 350 , having strained layer 18 disposed over semiconductor layer 310 .
  • Semiconductor layer 310 is bonded to dielectric layer 52 , disposed over substrate 54 .
  • strained layer 18 may be tensilely strained and semiconductor layer 310 may be compressively strained.
  • strained layer 18 may be compressively strained and semiconductor layer 310 may be tensilely strained.
  • semiconductor layer 310 may be relaxed.
  • a thin strained layer 84 may be grown between strained layer 18 and relaxed layer 16 to act as an etch stop during etching, such as wet etching.
  • thin strained layer 84 may include Si 1-x Ge x , with a higher Ge content (x) than the Ge content (y) of relaxed layer 16 , and hence be compressively strained.
  • the composition of the relaxed layer 16 is 20 % Ge (Si 0.80 Ge 0.20 )
  • thin strained layer 84 may contain 40% Ge (Si 0.60 Ge 0.40 ) to provide a more robust etch stop.
  • a second strained layer such as thin strained layer 84 with higher Ge content than relaxed layer 16 , may act as a preferential cleave plane in the hydrogen exfoliation/cleaving procedure described above.
  • thin strained layer 84 may contain Si 1-x Ge x with lower Ge content than relaxed layer 16 .
  • thin strained layer 84 may act as a diffusion barrier during the wet oxidation process. For example, if the composition of relaxed layer 16 is 20% Ge (Si 0.80 Ge 0.20 ), thin strained layer 84 may contain 10% Ge (Si 0.90 Ge 0.10 ) to provide a barrier to Ge diffusion from the higher Ge content relaxed layer 16 during the oxidation process.
  • thin strained layer 84 may be replaced with a thin graded Si 1-z Ge z layer in which the Ge composition (z) of the graded layer is decreased from relaxed layer 16 to the strained layer 18 .
  • a small amount, e.g., approximately 20-100 ⁇ , of strained layer 18 may be removed at an interface 105 between strained layer 18 and relaxed layer portion 80 . This may be achieved by overetching after relaxed layer portion 80 is removed. Alternatively, this removal of strained layer 18 may be performed by a standard microelectronics clean step such as an RCA SC1, i.e., hydrogen peroxide, ammonium hydroxide, and water (H 2 O 2 +NH 4 OH+H 2 O), which at, e.g., 80° C. may remove silicon.
  • RCA SC1 i.e., hydrogen peroxide, ammonium hydroxide, and water (H 2 O 2 +NH 4 OH+H 2 O)
  • This silicon removal may remove any misfit dislocations that formed at the original strained layer 18 /relaxed layer 80 interface 105 if strained layer 18 was grown above the critical thickness.
  • the critical thickness may be defined as the thickness of strained layer 18 beyond which it becomes energetically favorable for the strain in the layer to partially relax via the introduction of misfit dislocations at interface 105 between strained layer 18 and relaxed layer 16 .
  • the method illustrated in FIGS. 1-7 provides a technique for obtaining strained layers above a critical thickness without misfit dislocations that may compromise the performance of deeply scaled MOSFET devices.
  • handle wafer 50 may have a structure other than a dielectric layer 52 disposed over a semiconductor substrate 54 .
  • a bulk relaxed substrate 400 may comprise a bulk material 410 such as a semiconductor material, e.g., bulk silicon.
  • bulk material 410 may be a bulk dielectric material, such as Al 2 O 3 (e.g., alumina or sapphire) or SiO 2 (e.g., quartz).
  • Epitaxial wafer 8 may then be bonded to handle wafer 400 (as described above with reference to FIGS. 1-6 ), with strained layer 18 being bonded to the bulk material 410 comprising handle wafer 400 .
  • a hydrophobic clean may be performed, such as an HF dip after an RCA SC1 clean.
  • a strained-semiconductor-on-semiconductor (SSOS) substrate 420 is formed, having strained layer 18 disposed in contact with relaxed substrate 400 .
  • the strain of strained layer 18 is not induced by underlying relaxed substrate 400 , and is independent of any lattice mismatch between strained layer 18 and relaxed substrate 400 .
  • strained layer 18 and relaxed substrate 400 include the same semiconductor material, e.g., silicon.
  • Relaxed substrate 400 may have a lattice constant equal to a lattice constant of strained layer 18 in the absence of strain.
  • Strained layer 18 may have a strain greater than approximately 1 ⁇ 10 ⁇ 3 .
  • Strained layer 18 may have been formed by epitaxy, and may have a thickness T 5 of between approximately 20 ⁇ -1000 ⁇ , with a thickness uniformity of better than approximately ⁇ 10%. In an embodiment, strained layer 18 may have a thickness uniformity of better than approximately ⁇ 5%. Surface 92 of strained layer 18 may have a surface roughness of less than 20 ⁇ .
  • the SSOI structure 100 including semiconductor substrate 54 and dielectric layer 52 , it may be favorable to selectively relax the strain in at least a portion of strained layer 18 .
  • Ion implantation parameters may be, for example, an implant of Si ions at a dose of 1 ⁇ 10 15 -1 ⁇ 10 17 ions/cm 2 , at an energy of 5-75 keV.
  • a relaxed portion 502 of strained layer 18 is relaxed, while a strained portion 504 of strained layer 18 remains strained.
  • various other transistors may be formed on SSOI substrate 100 fabricated by the methods described above All of these transistors may also be formed on SSOI substrate 100 fabricated with the use of a porous semiconductor substrate, as described below with reference to FIGS. 40A-41D .
  • a finFET (or any variant of the basic finFET structure such as the wrap-around gate FET, tri-gate FET, or omega FET) may be fabricated on SSOI substrate 100 as described below.
  • the finFET and related devices include two gates located on either side of a FET channel region. Unlike in a traditional planar FET, this channel region is raised above the wafer surface: the channel (or portions of the channel) falls in a plane perpendicular to the wafer surface. There may in addition be gates above and/or below the channel region, such as in the wrap-around gate FET.
  • SSOI substrate 100 includes strained layer 18 and dielectric layer 52 disposed over substrate 54 .
  • strained layer 18 includes Si and has thickness T 6 of, e.g., 200-1000 ⁇ .
  • Dielectric layer 52 may be formed from SiO 2 , with thickness T 7 selected from the range of, e.g., 500-3000 ⁇ .
  • Substrate 54 may be formed from, e.g., Si.
  • strained layer 18 is patterned to define a plurality of fins 600 .
  • Fins 600 are defined by the formation of a photolithographic mask (not shown) over strained layer 18 , followed by anisotropic reactive ion etching (RIE) of strained layer 18 .
  • Fins 600 have a width W 1 of, e.g., 50-300 ⁇ .
  • the photomask/RIE steps also define source mesa region 602 and drain mesa region 604 .
  • Fins 600 , source mesa region 602 , and source mesa region 604 include portions of strained layer 18 not removed by RIE.
  • the photolithographic mask is removed after the RIE of strained layer 18 .
  • a gate insulator layer 610 is formed over SSOI substrate 100 .
  • Gate insulator layer 610 is conformally formed over fins 600 , as well as over source and drain mesa regions 602 , 604 .
  • Gate insulator layer 610 may include, e.g., thermally grown SiO 2 , or a high-k dielectric like HfO 2 or HfSiON, and have a thickness T 8 of, e.g., 10-100 ⁇ .
  • gate insulator layer 610 is grown, and is therefore formed only over exposed silicon surfaces, i.e., over fins 600 and source and drain mesa regions 602 , 604 .
  • gate insulator layer 610 is deposited, and is therefore formed over an entire top surface of SSOI substrate 100 .
  • a gate electrode material 620 is conformally formed over gate insulator layer 610 , including over fins 600 .
  • Gate electrode material 620 may be, e.g., polycrystalline silicon (“polysilicon”), deposited by CVD, such as by UHVCVD, APCVD, LPCVD, or PECVD, having a thickness T 62 selected from the range of, e.g., 100-2000 ⁇ .
  • a photolithographic mask (not shown) is formed over gate electrode material 620 . Portions of gate electrode material 620 are selectively removed by, e.g., RIE to define a gate 622 crossing over fins 600 , and terminating in a gate contact area 624 . Portions of gate insulator layer 610 are exposed (or even removed) by the RIE of gate electrode material 620 .
  • a plurality of dopants are introduced into source and drain mesa regions 602 , 604 to define source 630 and drain 632 .
  • dopants such as arsenic or phosphorus may be implanted into mesa regions 602 , 604 .
  • Possible implantation parameters may be, for example, arsenic with a dose of 2 ⁇ 10 15 atoms/cm 2 implanted at an energy of 10-50 kilo-electron volts (keV).
  • dopants such as boron may be implanted into mesa regions 602 , 604 .
  • Possible implantation parameters may be, for example, boron, with a dose of 2 ⁇ 10 15 atoms/cm 2 at an energy of 3-15 keV.
  • CMOS regions may be protected by a mask during the implantation of p-type dopants into PMOS regions.
  • PMOS regions may be protected by a mask during the implantation of n-type dopants into NMOS regions.
  • a suitable mask for both types of implantation may be, e.g., photoresist.
  • Gate dopants 634 serve to increase a conductivity of gate electrode material 620 .
  • Gate dopants 630 may be, for example, implanted arsenic or phosphorous ions for an n-type finFET.
  • Dopants for both n-type and p-type finFETs may be implanted at an angle of 20-50°, with zero degrees being normal to SSOI substrate 100 . Implanting at an angle may be desired in order to implant ions into a side of exposed fins 600 and also into a side of the vertical surfaces of gate electrode material 620 .
  • spacer insulator material is formed over SSOI substrate 100 , including over gate 622 , gate contact 624 , source 630 , and drain 632 .
  • Spacer insulator material may be, for example, SiO 2 or Si 3 N 4 deposited by CVD and have a thickness T 9 of, for example, 100-1000 ⁇ .
  • portions of spacer insulator material are removed by an anisotropic RIE to define a plurality of sidewall spacers 642 proximate vertical surfaces, such as fins 600 , gate 622 , and gate contact area 624 . Horizontal surfaces, such as top surfaces of fins 600 , are substantially free of the spacer insulator material.
  • the portions of gate insulator layer 610 exposed by the RIE of gate electrode material 620 may be removed from top surfaces of source 630 , and drain 632 by, e.g., a dip in hydrofluoric acid (HF), such as for 5-30 seconds in a solution containing, e.g., 0.5-5% HF. Alternately, this removal may be via RIE, with an etchant species such as, e.g., CHF 3 .
  • HF hydrofluoric acid
  • a self-aligned silicide (“salicide”) is formed over SSOI substrate 100 to provide low resistance contacts as follows.
  • a conductive layer is formed over SSOI substrate 100 .
  • a metal such as cobalt or nickel is deposited by, e.g., CVD or sputtering, with the conductive layer having a thickness of, e.g., 50-200 ⁇ .
  • An anneal is performed to react the conductive layer with the underlying semiconductor, e.g., exposed portions of gate 622 and gate contact area 624 , to form salicide 650 including, e.g., cobalt silicide or nickel silicide.
  • Anneal parameters may be, for example, 400-800° C. for 10-120 seconds. Unreacted portions of the conductive layer disposed directly over insulator material, such as exposed portions of dielectric layer 52 and sidewall spacers 642 , are removed by a chemical strip. A suitable chemical strip may be a solution including H 2 SO 4 :H 2 O 2 at a ratio of 3:1. A second anneal may be performed to further lower resistivity of salicide 650 . The second anneal parameters may be, for example, 600-900° C. for 10-120 seconds. A finFET 655 includes fins 600 , gate insulator 610 , source 630 , drain 632 , and gate 622 . A finFET 655 having three fins 600 is illustrated in FIG. 21B . The three fins 600 share a common source 630 and a common drain 632 . A single transistor may have multiple fins to increase current drive in comparison to a transistor with a single fin.
  • gate dielectric material may be removed from the top surfaces of the source and drain mesa regions immediately after the RIE of the gate electrode.
  • raised source and drain regions may be formed, as described above with reference to FIGS. 8B-8D .
  • epitaxial wafer 8 has layers 10 disposed over substrate 12 .
  • Substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe.
  • Relaxed layer 16 is disposed over graded buffer layer 14 .
  • Strained semiconductor layer 18 is disposed over relaxed layer 16 .
  • Strained layer 18 comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
  • Strained layer 18 may include, for example, Si and may be tensilely strained.
  • a first gate insulator layer 700 is formed over strained layer 18 .
  • First gate insulator layer 700 may include SiO 2 or a high-k dielectric like HfO 2 or HfSiON, and may be grown or deposited.
  • First gate insulator layer 700 may have a thickness T 11 of, e.g., 10-100 ⁇ .
  • a first gate electrode layer 702 is formed over first gate insulator layer 700 .
  • First gate electrode layer 702 may include a conductive material, for example, doped polycrystalline silicon or tungsten, and may have a thickness T 12 of, for example, 500-2000 ⁇ .
  • ions 704 are introduced to define cleave plane 20 in relaxed layer 16 , in the manner described above with reference to FIG. 2A .
  • Handle wafer 50 includes dielectric layer 52 disposed over semiconductor substrate 54 .
  • the bond between epitaxial wafer 8 and handle wafer 50 may be strengthened by an anneal at a relatively low temperature such as, e.g., 200-300° C.
  • Epitaxial wafer 8 is separated from handle wafer 50 by inducing a split along cleave plane 20 with an anneal at, e.g., 300-700°C.
  • a SSOI substrate 710 includes strained layer 18 disposed over first gate insulator 700 , first gate electrode layer 702 , insulator 52 , and substrate 54 .
  • Residual portion 80 of relaxed layer 16 is disposed over strained layer 18 .
  • Relaxed layer portion 80 is selectively removed by, e.g., thermal oxidation and HF strip in the manner discussed above with reference to FIGS. 4 and 5 .
  • a second gate insulator layer 720 is formed over strained layer 18 .
  • Second gate insulator layer 720 may include SiO 2 or a high-k dielectric like HfO 2 or HfSiON, and may be grown or deposited.
  • First gate insulator layer 720 may have a thickness T 13 of, e.g., 10-100 ⁇ .
  • a second gate electrode layer 722 is formed over second gate insulator layer 720 .
  • Second gate electrode layer 722 may include a conductive material such as, for example, doped polycrystalline silicon, and may have a thickness T 14 of, for example, 500-2000 ⁇ .
  • second gate electrode layer 722 is patterned by photolithography and RIE to define a second gate electrode 730 .
  • a source 732 and a drain 734 are formed in strained layer 18 by, e.g., implanting dopants, such as n-type or p-type dopants, into strained layer 18 .
  • a spacer dielectric layer is deposited and etched back to define dielectric sidewall spacers 736 proximate second gate electrode 730 .
  • Conductive spacer layer 740 is deposited over strained layer 18 , second gate electrode 730 , and dielectric sidewall spacers 736 .
  • Conductive spacer layer 740 includes a conductive material, such as doped polycrystalline silicon or a metal.
  • Conductive spacer layer 740 has a thickness T 15 of, e.g., 500-2000 ⁇ .
  • conductive spacer layer 740 is anisotropically etched to form conductive sidewall spacers 742 , proximate dielectric sidewall spacers 736 .
  • a vertical structure 744 includes strained layer 18 , first gate insulator layer 700 , and first gate electrode layer 702 regions disposed under second gate electrode 730 and sidewall spacers 736 , 742 .
  • Vertical structure 744 has a width W 2 of, e.g., 1000-5000 ⁇
  • an isotropic etch is performed to laterally shrink first gate electrode layer 702 region disposed under second gate electrode 730 , thus defining first gate electrode 750 .
  • This isotropic etch may be a wet etch, such as hydrogen peroxide (in an embodiment in which first gate electrode layer 702 includes tungsten) or an isotropic dry etch.
  • the width of first gate electrode layer 702 may be reduced such that both the first gate electrode 750 and the second gate electrode 730 have approximately the same width W 3 that is less than W 2 , e.g., 100-2000 ⁇ .
  • a thick insulator layer 760 is deposited over insulator layer 52 and vertical structure 744 , i.e., over second gate electrode 730 and conductive sidewall spacers 742 , as well as proximate strained layer 18 , first gate insulator layer 700 , and first gate electrode 750 .
  • Thick insulator layer 760 has an initial thickness T 16 over insulator 52 of, e.g., 5000 ⁇ .
  • Thick insulator layer 760 is then planarized by, e.g., CMP.
  • contact holes 770 are formed through thick insulator layer 760 to conductive sidewall spacers 742 and second gate electrode 730 .
  • Contact holes 770 may be defined by the use of photolithography and RIE.
  • Contact holes 770 are filled with a conductive material such as, e.g., a metal such as titanium or tungsten.
  • the conductive material is patterned by photolithography and etch to define contacts 780 to source 732 , drain 734 , first gate electrode 750 at a first gate electrode 793 , and second gate electrode 730 at a second gate electrode 795 .
  • Double gate transistor 790 includes first gate electrode 750 , second gate electrode 730 , first gate insulator layer 700 , second gate insulator layer 722 , source 732 , and drain 734 .
  • a heterojunction bipolar transistor may be formed on SSOI substrate 100 , including strained layer 18 , dielectric layer 52 , and substrate 54 .
  • a collector 810 for the HBT is formed in a portion of strained layer 18 by the introduction of dopants into the strained layer 18 portion.
  • Collector 810 includes a low-doped region 811 and a high-doped region 812 .
  • Low-doped region 811 is doped at a relatively low level, for example at 5 ⁇ 10 16 -1 ⁇ 10 18 atoms/cm 3 , and has a thickness T 20 of, for example, 100-1000 ⁇ .
  • High-doped region 812 is doped to a level not less than the doping level of low-doped region 811 , preferably to a relatively high level of, e.g., 1 ⁇ 10 19 -1 ⁇ 10 21 atoms/cm 3 .
  • Low-doped region 811 and high-doped region 812 are doped with the same type of dopants, and both may be doped either n-type or p-type. In an embodiment, both regions are doped n-type.
  • Collector 810 may be electrically isolated from other devices formed on the substrate through the use of, for example, trench isolation (not shown).
  • a total thickness T 21 of collector 810 may be increased to improve performance by subsequent additional deposition of a material that is lattice matched to the original strained layer 18 portion.
  • the additional material may be, for example, SiGe lattice-matched to strained layer 18 .
  • a masking layer is formed over collector 810 .
  • the masking layer may include a dielectric material, such as, e.g., SiO 2 or Si 3 N 4 .
  • Photoresist is disposed over the masking layer and patterned to expose an area of the masking layer. This area is removed by, e.g., wet etching or RIE, to define a mask 910 disposed over strained layer 18 .
  • Mask 910 exposes a region 920 of collector 810 .
  • a base 1010 is formed over region 920 of collector 810 .
  • Base 1010 may be formed selectively by, e.g., selective deposition of a semiconductor material only over region 920 defined by mask 910 .
  • the selective deposition can be done by CVD methods, such as by APCVD, LPCVD, UHVCVD, or by MBE.
  • base 1010 may be deposited non-selectively. The non-selectively grown material will thus also form on a top surface 1012 of mask 910 , and may be removed by further photolithography and etch steps.
  • Base 1010 has a thickness T 22 of, e.g., of 50-1000 ⁇ .
  • T 22 may be, for example 300-500 ⁇ .
  • Base 1010 includes a semiconductor material like Si or SiGe.
  • base 1010 is relaxed or compressively strained.
  • the in-plane lattice constant of collector 810 (strained layer 18 ) was defined by relaxed layer 16 (see FIG. 1A ). Therefore, in order that base 1010 be relaxed, the Ge content of base 1010 should be equal to the Ge content of relaxed layer 16 (see FIG. 1A ). Similarly, in order that base 1010 be compressively strained, the Ge content of base 1010 should be greater than the Ge content of relaxed layer 16 . This difference in Ge content also provides a base 1010 with a bandgap no larger than that of collector 810 , which can be advantageous to device operation.
  • base 1010 is tensilely strained. In order that base 1010 be tensilely strained, the Ge content of base 1010 should be less than the Ge content of relaxed layer 16 (see FIG. 1A ).
  • base 1010 may be formed from the same material as collector 810 , for example strained Si.
  • Base 1010 is doped the opposite doping type as the collector, i.e., base 1010 is p-type doped for an n-type doped collector.
  • Base 1010 may be doped during the deposition process, but may also be doped after deposition by ion implantation.
  • Base 1010 may be doped to a level of 1 ⁇ 10 18 -1 ⁇ 10 19 atoms/cm 3 .
  • the base doping may be significantly higher, e.g., ⁇ 10 20 atoms/cm 3 .
  • the outdiffusion of dopants from base 1010 may be deleterious to device performance, and therefore the p-type doping of base 1010 may be reduced within base 1010 in regions adjacent to an emitter 1110 /base 1010 interface (see FIG. 39 ) and a base 1010 /collector 810 interface 1014 .
  • These regions with reduced doping may have thicknesses of, e.g., 10 ⁇ -30 ⁇ .
  • base 1010 contains an element with a concentration of 1 ⁇ 10 18 -1 ⁇ 10 20 atoms/cm 3 that suppresses the diffusion of dopants out of base 1010 during subsequent high temperature processing steps.
  • a suitable element for diffusion suppression may be, for example, carbon.
  • base 1010 may be formed of SiGe, with the Ge content of base 1010 being not uniform across the thickness of base 1010 .
  • the Ge content of base 1010 may be graded in concentration, with higher Ge content at base-collector interface 1014 and lower Ge content at a base upper surface 1016 .
  • the Ge content of base 1010 can have a trapezoidal or triangular profile.
  • an emitter 1110 is formed on base 1010 .
  • Emitter 1110 may be formed by the deposition of a semiconductor layer over base 1010 and mask 910 .
  • the semiconductor layer may be subsequently patterned by photolithographic and etch steps to define emitter 1110 .
  • Emitter 1110 may include a semiconductor material such as Si or SiGe, and may have a Ge content lower than the Ge content of base 1010 .
  • emitter 1110 has a Ge content equal to that of relaxed layer 16 (see FIG. 1A ) that originally defined the in-plane lattice constant of strained layer 18 (and hence collector 810 ).
  • the Ge content of emitter 1110 may be lower than that of relaxed layer 16 , and, therefore, emitter 1110 is tensilely strained.
  • emitter 1110 may include the same material as strained layer 18 /collector 810 , such as, for example, strained Si.
  • Emitter 1110 has two regions: an upper emitter region 1111 and a lower emitter region 1112 .
  • Lower emitter region 1112 has a thickness T 23 of 10-2000 ⁇ and is doped with a same doping type as collector 810 (and hence the opposite doping type of base 1010 ).
  • lower emitter region 11 12 and collector 810 may be doped n-type and base 1010 may be doped p-type.
  • Lower emitter region 112 may be doped at a concentration of 1 ⁇ 10 17 -5 ⁇ 10 18 atoms/cm 3 , for example 1 ⁇ 10 18 atoms/cm 3 .
  • Upper emitter region 1111 has a thickness T 24 of, for example, 100-4000 ⁇ and is doped the same doping type as lower emitter region 1112 .
  • Upper emitter region 1111 may be doped at a concentration of 1 ⁇ 10 19 -1 ⁇ 10 21 atoms/cm 3 , for example 1 ⁇ 10 20 -5 ⁇ 10 20 atoms/cm 3 .
  • An HBT 1200 includes collector 810 , base 1010 , and emitter 1110 .
  • HBT 1200 may be a standalone device or may be interconnected to other devices fabricated on SSOI substrate 100 , such as, for example, transistor 200 (see FIG. 8A ), finFET 655 (see FIGS. 21A and 21B ), or double-gate transistor 790 (see FIG. 33 ).
  • HBT 1200 may be formed on SSOS substrate 420 (see FIG. 13 ) by the steps described above with reference to FIGS. 36-39 .
  • HBT 1200 may be formed on relaxed portion 504 of strained layer 18 (see FIG. 14 ) by the steps described above with reference to FIGS. 36-39 .
  • collector 810 is formed in relaxed portion 504 .
  • HBT 1200 may be formed on a region of SSOI substrate 100 (see FIG. 6 ) in which portions of strained layer 18 and dielectric layer 52 have been removed by the steps described with reference to FIGS. 36-39 .
  • collector 810 is formed in substrate 54 and may be increased in thickness by deposition of another semiconductor layer as described above. This configuration enables the interconnection of HBT 1200 formed directly on semiconductor substrate 54 with devices formed on other portions of SSOI substrate 100 , for example transistor 200 of FIG. 8A .
  • SSOI structure 100 may be formed by the use of a porous semiconductor substrate.
  • substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe.
  • a plurality of pores 1514 i.e., microvoids, are formed to define a porous layer 1516 in a portion of substrate 12 .
  • Pores 1514 may have a median diameter of 5-10 nm and a pitch of 10-50 nm.
  • Porous layer 1516 may have a porosity of 10-50% and may extend a depth of d 15 into substrate 12 of approximately 1-5 ⁇ m.
  • pores 1514 may be formed by, for example, submerging substrate 12 into a vessel 1517 containing an electrolyte 1518 , such as hydrofluoric acid (HF), possibly mixed with ethanol, with a cathode 1520 and an anode 1522 disposed in the electrolyte 1518 .
  • a back surface chucking holder 1519 a with a vacuum pad 1519 b may hold substrate 12 while it is submerged in vessel 1517 .
  • a current may be generated between cathode 1520 and anode 1522 , through substrate 12 , resulting in the electrochemical etching of substrate 12 , thereby forming pores 1514 at a top surface 1524 of substrate 12 .
  • substrate 12 may be planarized, e.g., by CMP.
  • a plurality of layers 10 may be formed over porous top surface 1524 of substrate 12 , as described with reference to FIG. 1A .
  • Layers 10 may include, for example, graded buffer layer 14 , relaxed layer 16 , and strained layer 18 .
  • Pores 1514 define cleave plane 20 in porous layer 1516 of substrate 12 .
  • substrate 12 with layers 10 is bonded to handle wafer 50 , including semiconductor substrate 54 and dielectric layer 52 , as described with reference to FIG. 3 .
  • a dielectric layer may be formed on a top surface of layers 10 to facilitate the bonding process and to serve as an insulator layer in the final substrate structure.
  • a split is induced at cleave plane 20 by, for example, cleaving porous layer 1516 by a water or an air jet.
  • the split results in the formation of two separate wafers 1570 , 1572 .
  • One of these wafers ( 1572 ) has graded layer 14 and relaxed layer 16 (see FIG. 40 c ) disposed over strained layer 18 , with a first portion 1580 of substrate 12 disposed over graded layer 14 .
  • First portion 1580 of substrate 12 may be just trace amounts of material surrounding pores 1514 .
  • Strained layer 18 is in contact with dielectric layer 52 on semiconductor substrate 54 .
  • the other of these wafers ( 1570 ) includes a second portion 1582 of substrate 12 , including the bulk of substrate 12 with perhaps trace amounts of material surrounding pores 1514 .
  • first portion 1580 of substrate 12 is removed from graded layer 14 by a wet chemical cleaning process utilizing, for example a mixture of hydrogen peroxide (H 2 O 2 ) and HF.
  • Graded layer 14 and relaxed layer 16 are removed in any one of the methods described for the removal of relaxed layer portion 80 with reference to FIGS. 4 and 5 . Removal of graded and relaxed layers 14 , 16 results in the formation of SSOI substrate 100 .
  • SSOI substrate 100 may also be formed by the use of porous intermediate layers.
  • plurality of layers 10 may be formed over substrate 12 , layers 10 including graded layer 14 , relaxed layer 16 , and strained layer 18 (see FIG. 1A ).
  • a plurality of pores 1614 may be formed in a top portion of relaxed layer 16 , thereby defining a porous layer 1616 in a top portion 1617 of relaxed layer 16 .
  • Pores 1614 may be formed by the methods described above with reference to the formation of pores 1514 in FIG. 40B .
  • Porous layer 1616 may have a thickness T 16 of, e.g., 1-5 ⁇ m.
  • Strained layer 18 may then be formed directly over porous layer 1616 .
  • Pores 1614 define cleave plane 20 in porous layer 1616 .
  • Si 1-x Ge x may include Si 0.70 Ge 0.30 and T 17 may be approximately 50 nm.
  • Second relaxed layer 1620 may be fully relaxed, as determined by triple axis X-ray diffraction, and may have a threading dislocation density of ⁇ 1 ⁇ 10 6 /cm 2 , as determined by etch pit density (EPD) analysis.
  • Strained layer 18 may be formed over second relaxed layer 1620 .
  • Pores 1614 define cleave plane 20 in porous layer 1616 .
  • substrate 12 with layers 10 is bonded to handle wafer 50 , including semiconductor substrate 54 and dielectric layer 52 , as described with reference to FIG. 3 .
  • a split is induced at cleave plane 20 by, for example, cleaving porous layer 1616 by a water or an airjet.
  • the split results in the formation of two separate wafers 1670 , 1672 .
  • One of these wafers ( 1670 ) has top portion 1617 of relaxed layer 16 (see FIG. 41A ) disposed over strained layer 18 .
  • Strained layer 18 is in contact with dielectric layer 52 on semiconductor substrate 54 .
  • the other of these wafers ( 1672 ) includes the substrate 12 , graded layer 14 , and a bottom portion 1674 of relaxed layer 16 .
  • top portion 1617 of relaxed layer 16 is removed in any one of the methods described for the removal of relaxed layer portion 80 with reference to FIGS. 4 and 5 . Removal of top portion 1617 of relaxed layer 16 results in the formation of SSOI substrate 100 .
  • strained silicon layer 18 having a thickness of 54 nanometers (nm) along with ⁇ 350 nm of Si 0.70 Ge 0.30 have been transferred by hydrogen exfoliation to Si handle wafer 50 having dielectric layer 52 formed from thermal SiO 2 with a thickness of approximately 100 nm.
  • the implant conditions were a dose of 4 ⁇ 10 16 ions/cm 3 of H 2 + at 75 keV.
  • the anneal procedure was 1 hour at 550° C. to split the SiGe layer, followed by a 1 hour, 800° C. strengthening anneal.
  • strained Si layer 18 The integrity of strained Si layer 18 and good bonding to dielectric layer 52 after layer transfer and anneal were confirmed with cross-sectional transmission electron microscopy (XTEM).
  • XTEM cross-sectional transmission electron microscopy
  • An SSOI structure 100 was characterized by XTEM and analyzed via Raman spectroscopy to determine the strain level of the transferred strained Si layer 18 .
  • An XTEM image of the transferred intermediate SiGe/strained Si/SiO 2 structure showed transfer of the 54 nm strained Si layer 18 and ⁇ 350 nm of the Si 0.70 Ge 0.30 relaxed layer 16 .
  • Strained Si layer 18 had a good integrity and bonded well to SiO 2 54 layer after the annealing process.
  • the final structure includes strained Si layer 18 having a thickness of 49 nm on dielectric layer 52 including SiO 2 and having a thickness of 100 nm.
  • Raman spectroscopy data enabled a comparison of the bonded and cleaved structure before and after SiGe layer 16 removal. Based on peak positions the compostion of the relaxed SiGe layer and strain in the Si layer may be calculated. See, for example, J. C. Tsang, et al., J. Appl. Phys. 75 (12) p. 8098 (1994), incorporated herein by reference.
  • the fabricated SSOI structure 100 had a clear strained Si peak visible at ⁇ 511/cm. Thus, the SSOI structure 100 maintained greater than 1% tensile strain in the absence of the relaxed SiGe layer 16 .
  • the absence of Ge—Ge, Si—Ge, and Si—Si relaxed SiGe Raman peaks in the SSOI structure confirmed the complete removal of SiGe layer 16 .
  • the thermal stability of the strained Si layer was evaluated after a 3 minute 1000° C. rapid thermal anneal (RTA) to simulate an aggregate thermal budget of a CMOS process.
  • RTA rapid thermal anneal
  • a Raman spectroscopy comparision was made of SSOI structure 100 as processed and after the RTA step.
  • a scan of the as-bonded and cleaved sample prior to SiGe layer removal was used for comparision.
  • the strained Si peak was visible and the peak position did not shift.
  • the strain in SSOI structure 100 was stable and was not diminished by thermal processing.
  • bubbles or flaking of the strained Si surface 18 were not observed by Nomarski optical microscopy after the RTA, indicating good mechanical stability of SSOI structure 100 .

Abstract

The benefits of strained semiconductors are combined with silicon-on-insulator approaches to substrate and device fabrication.

Description

    RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Application 60/386,968 filed Jun. 7, 2002, U.S. Provisional Application 60/404,058 filed Aug. 15, 2002, and U.S. Provisional Application 60/416,000 filed Oct. 4, 2002; the entire disclosures of these three provisional applications are hereby incorporated by reference.
  • FIELD OF THE INVENTION
  • This invention relates to devices and structures comprising strained semiconductor layers and insulator layers.
  • BACKGROUND
  • Strained silicon-on-insulator structures for semiconductor devices combine the benefits of two advanced approaches to performance enhancement: silicon-on-insulator (SOI) technology and strained silicon (Si) technology. The strained silicon-on-insulator configuration offers various advantages associated with the insulating substrate, such as reduced parasitic capacitances and improved isolation. Strained Si provides improved carrier mobilities. Devices such as strained Si metal-oxide-semiconductor field-effect transistors (MOSFETs) combine enhanced carrier mobilities with the advantages of insulating substrates.
  • Strained-silicon-on-insulator substrates are typically fabricated as follows. First, a relaxed silicon-germanium (SiGe) layer is formed on an insulator by one of several techniques such as separation by implantation of oxygen (SIMOX), wafer bonding and etch back; wafer bonding and hydrogen exfoliation layer transfer; or recrystallization of amorphous material. Then, a strained Si layer is epitaxially grown to form a strained-silicon-on-insulator structure, with strained Si disposed over SiGe. The relaxed-SiGe-on-insulator layer serves as the template for inducing strain in the Si layer. This induced strain is typically greater than 10-3.
  • This structure has limitations. It is not conducive to the production of fully-depleted strained-semiconductor-on-insulator devices in which the layer over the insulating material must be thin enough [<300 angstroms (Å)] to allow for full depletion of the layer during device operation. Fully depleted transistors may be the favored version of SOI for MOSFET technologies beyond the 90 nm technology node. The relaxed SiGe layer adds to the total thickness of this layer and thus makes it difficult to achieve the thicknesses required for fully depleted silicon-on-insulator device fabrication. The relaxed SiGe layer is not required if a strained Si layer can be produced directly on the insulating material. Thus, there is a need for a method to produce strained silicon - or other semiconductor—layers directly on insulating substrates.
  • Double-Gate MOSFETs
  • Double gate MOSFETs have the potential for superior performance in comparison to standard single-gate bulk or single-gate SOI MOSFET devices. This is due to the fact that two gates (one above and one below the channel) allow much greater control of channel charge then a single gate. This configuration has the potential to translate to higher drive current and lower stand-by leakage current.
  • finFETs
  • Fin-field-effect transistors (finFETs), like double-gate MOSFETs, typically have two gates (one on either side of the channel, where the channel is here oriented vertically) allowing much greater control of channel charge than in a single gate device. This configuration also has the potential to translate to higher drive current and lower stand-by leakage current. Devices related to the finFET, such as the wrap-around gate FET (gate on both sides of as well as above the channel) allow even more channel charge control and hence even more potential for improved drive current and leakage current performance.
  • Bipolar-CMOS
  • The bipolar-CMOS (BiCMOS) process is a combination of both the bipolar transistor and MOSFET/CMOS processes. Individually, the CMOS process allows low power dissipation, high packing density and the ability to integrate complexity with high-speed yields. A major contribution to power dissipation in CMOS circuits originates from driving the load capacitance that is usually the gate of sequentially linked logic cells. The size of these gates may be kept sufficiently small, but when driving higher loads (such as input/output buffers or data buses) the load or capacitance of such devices is substantially larger and therefore requires greater gate width (hence area) of transistor, which inevitably drives down the switching speed of the MOSFET.
  • The bipolar transistor has significant advantages in terms of the drive current per unit active area and reduced noise signal. Additionally, the switching speed is enhanced due to the effectively exponential output current swing with respect to input signal. This means that the transconductance of a bipolar transistor is significantly higher than that of a MOS transistor when the same current is passed. Higher transconductance enables the charging process to take place approximately ten times more quickly in emitter coupled logic circuits, or high fan out/load capacitance.
  • Pure bipolar technology has not replaced the high packing density microprocessor CMOS process for a number of reasons, including issues of yield and the increased area required for device isolation. However, integration of bipolar and CMOS may provide the best aspects of the composite devices.
  • The advantages of BiCMOS process may be summarized as follows:
  • 1. Improved speed performance of highly integrated functionality of CMOS technology;
  • 2. Lower power dissipation than bipolar technology;
  • 3. Lower sensitivity to fan out and capacitive load;
  • 4. Increased flexibility of input/output interface;
  • 5. Reduced clock skew;
  • 6. Improved internal gate delay; and
  • 7. Reduced need for aggressive scaling because a 1-2 μm BiCMOS process offers circuit speed equivalent to that of sub-micron CMOS.
  • SUMMARY
  • The present invention includes a strained-semiconductor-on-insulator (SSOI) substrate structure and methods for fabricating the substrate structure. MOSFETs fabricated on this substrate will have the benefits of SOI MOSFETs as well as the benefits of strained Si mobility enhancement. For example, the formation of BiCMOS structures on SSOI substrates provides the combined benefits of BiCMOS design platforms and enhanced carrier mobilities. SSOI substrates also enable enhanced carrier mobilities, process simplicity, and better device isolation for double-gate MOSFETs and finFETs.
  • By eliminating the SiGe relaxed layer traditionally found beneath the strained Si layer, the use of SSOI technology is simplified. For example, issues such as the diffusion of Ge into the strained Si layer during high temperature processes are avoided.
  • This approach enables the fabrication of well-controlled, epitaxially-defined, thin strained semiconductor layers directly on an insulator layer. Tensile strain levels of ˜10−3 or greater are possible in these structures, and are not diminished after thermal anneal cycles. In some embodiments, the strain-inducing relaxed layer is not present in the final structure, eliminating some of the key problems inherent to current strained Si-on-insulator solutions. This fabrication process is suitable for the production of enhanced-mobility substrates applicable to partially or fully depleted SSOI technology.
  • In an aspect, the invention features a structure including a substrate having a dielectric layer disposed thereon and a fin-field-effect transistor disposed over the substrate. The fin-field-effect-transistor includes a source region and a drain region disposed in contact with the dielectric layer, the source and the drain regions including a strained semiconductor material. The fin-field-effect-transistor also includes at least one fin extending between the source and the drain regions, the fin including a strained semiconductor material. A gate is disposed above the strained semiconductor layer, extending over at least one fin and between the source and the drain regions. A gate dielectric layer is disposed between the gate and the fin.
  • One or more of the following features may be included. The fin may include at least one of a group II, a group III, a group IV, a group V, of a group VI element. The strained semiconductor layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained semiconductor layer may be compressively strained and may include, e.g., compressively strained germanium.
  • In another aspect, the invention features a method for forming a structure, the method including providing a substrate having a dielectric layer disposed thereon, and a first strained semiconductor layer disposed in contact with the dielectric layer. A fin-field-effect transistor is formed on the substrate by patterning the first strained semiconductor layer to define a source region, a drain region, and at least one fin disposed between the source and the drain regions. A dielectric layer is formed, at least a portion of the dielectric layer being disposed over the fin, and a gate is formed over the dielectric layer portion disposed over the fin.
  • One or more of the following features may be included. The first strained semiconductor layer may include at least one of a group II, a group III, a group IV, a group V, or a group VI element. The strained semiconductor layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained semiconductor layer may be compressively strained and may include, e.g., compressively strained germanium.
  • In another aspect, the invention features a structure including a dielectric layer disposed over a substrate; and a transistor formed over the dielectric layer. The transistor includes a first gate electrode in contact with the dielectric layer, a strained semiconductor layer disposed over the first gate electrode; and a second gate electrode disposed over the strained semiconductor layer.
  • One or more of the following features may be included. The strained semiconductor layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI elements.
  • The strained semiconductor layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained semiconductor layer may be compressively strained and may include, e.g., compressively strained germanium. The strained semiconductor layer may have a strain level greater than 10−3.
  • A first gate insulator layer may be disposed between the first gate electrode and the strained semiconductor layer. A second gate insulator layer may be disposed between the strained semiconductor layer and the second gate electrode. The strained semiconductor layer may include a source. The strained semiconductor layer may include a drain. A sidewall spacer may be disposed proximate the second gate electrode. The sidewall spacer may include a dielectric or a conductive material.
  • In another aspect, the invention features a method for forming a structure, the method including forming a substrate having a first gate electrode layer disposed over a substrate insulator layer, a first gate insulator layer disposed over the first gate electrode layer, and a strained semiconductor layer disposed over the first gate insulator layer. A second gate insulator layer is formed over the strained semiconductor layer, and a second gate electrode layer is formed over the second gate insulator layer. A second gate electrode is defined by removing a portion of the second gate insulator layer. A dielectric sidewall spacer is formed proximate the second gate electrode. A portion of the strained semiconductor layer, a portion of the first gate insulator layer, and a portion of the first gate electrode layer are removed to define a vertical structure disposed over the substrate insulator layer, the vertical structure including a strained layer region, a first gate insulator region, and-a first gate electrode layer region disposed under the second gate electrode. A first gate electrode is defined by laterally shrinking the first gate electrode layer region.
  • One or more of the following features may be included. The strained semiconductor layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained semiconductor layer may be compressively strained and may include compressively strained germanium. A conductive sidewall spacer may be formed proximate the dielectric sidewall spacer. A source and/or a drain may be defined in the strained semiconductor layer.
  • In another aspect, the invention features a structure including a strained semiconductor layer disposed over a dielectric layer and a bipolar transistor. The bipolar transistor includes a collector disposed in a portion of the strained semiconductor layer, a base disposed over the collector, and an emitter disposed over the base.
  • One or more of the following features may be included. The strained layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained layer may be compressively strained.
  • In another aspect, the invention features a relaxed substrate including a bulk material, a strained layer disposed in contact with the relaxed substrate; and a bipolar transistor. The bipolar transistor includes a collector disposed in a portion of the strained layer, a base disposed over the collector, and an emitter disposed over the base. The strain of the strained layer is not induced by the underlying substrate.
  • One or more of the following features may be included. The strained layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained layer may be compressively strained.
  • In another aspect, the invention features a structure including a relaxed substrate including a bulk material, a strained layer disposed in contact with the relaxed substrate; and a bipolar transistor including. The bipolar transistor includes a collector disposed in a portion of the strained layer, a base disposed over the collector, and an emitter disposed over the base. The strain of the strained layer is independent of a lattice mismatch between the strained layer and the relaxed substrate.
  • One or more of the following features may be included. The strained layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained layer may be compressively strained.
  • In another aspect, the invention includes a method for forming a structure, the method including providing a substrate having a strained semiconductor layer disposed over a dielectric layer, defining a collector in a portion of the strained semiconductor layer; forming a base over the collector; and forming an emitter over the base.
  • One or more of the following features may be included. The strained layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained layer may be compressively strained.
  • In another aspect, the invention includes method for forming a structure, the method including providing a first substrate having a strained layer disposed thereon, the strained layer including a first semiconductor material. The strained layer is bonded to a second substrate, the second substrate including a bulk material. The first substrate is removed from the strained layer, with the strained layer remaining bonded to the bulk semiconductor material. A collector is defined in a portion of the strained layer. A base is formed over the collector; and an emitter is formed over the base. The strain of the strained layer is not induced by the second substrate and the strain is independent of lattice mismatch between the strained layer and the second substrate.
  • One or more of the following features may be included. The strained layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained layer may be compressively strained.
  • In another aspect, the invention features a method for forming a structure, the method including providing a relaxed substrate comprising a bulk material and a strained layer disposed in contact with the relaxed substrate, the strain of the strained layer not being induced by the underlying substrate and the strain being independent of a lattice mismatch between the strained layer and the relaxed substrate. A collector is defined in a portion of the strained layer. A base is formed over the collector, and an emitter is formed over the base.
  • One or more of the following features may be included. The strained layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained layer may be compressively strained.
  • In another aspect, the invention features a method for forming a structure, the method includes providing a substrate having a strained semiconductor layer disposed over a substrate dielectric layer and forming a transistor in the strained layer. Forming the transistor includes forming a gate dielectric layer above a portion of the strained semiconductor layer, forming a gate contact above the gate dielectric layer, and forming a source region and a drain region in a portion of the strained semiconductor layer, proximate the gate dielectric layer. A portion of the strained layer and the substrate dielectric layer are removed to expose a portion of the substrate. A collector is defined in the exposed portion of the substrate. A base is formed over the collector; and an emitter is formed over the base.
  • One or more of the following features may be included. The strained layer may be tensilely strained and may include, e.g., tensilely strained silicon. The strained layer may be compressively strained.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIGS. 1A-6 are schematic cross-sectional views of substrates illustrating a method for fabricating an SSOI substrate;
  • FIG. 7 is a schematic cross-sectional view illustrating an alternative method for fabricating the SSOI substrate illustrated in FIG. 6;
  • FIG. 8 is a schematic cross-sectional view of a transistor formed on the SSOI substrate illustrated in FIG. 6;
  • FIGS. 9-10 are schematic cross-sectional views of substrate(s) illustrating a method for fabricating an alternative SSOI substrate;
  • FIG. 11 is a schematic cross-sectional view of a substrate having several layers formed thereon;
  • FIGS. 12-13 are schematic cross-sectional views of substrates illustrating a method for fabricating an alternative strained semiconductor substrate;
  • FIG. 14 is a schematic cross-sectional view of the SSOI substrate illustrated in FIG. 6 after additional processing;
  • FIGS. 15-21B are cross-sectional and top views of substrates illustrating a method for fabricating a fin-field-effect transistor (finFET) on an SSOI substrate;
  • FIGS. 22-35 are cross-sectional views of substrates illustrating a method for fabricating a dual-gate transistor on an SSOI substrate;
  • FIGS. 36-39 are cross-sectional views of substrates illustrating a method for fabricating a bipolar transistor on an SSOI substrate; and
  • FIGS. 40A-41D are schematic cross-sectional views of substrates illustrating alternative methods for fabricating an SSOI substrate.
  • Like-referenced features represent common features in corresponding drawings.
  • DETAILED DESCRIPTION
  • An SSOI structure may be formed by wafer bonding followed by cleaving. FIGS. 1A-2B illustrate formation of a suitable strained layer on a wafer for bonding, as further described below.
  • Referring to FIG. 1A, an epitaxial wafer 8 has a plurality of layers 10 disposed over a substrate 12. Substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe. The plurality of layers 10 includes a graded buffer layer 14, which may be formed of Si1-yGey, with a maximum Ge content of, e.g., 10-80% (i.e., y=0.1-0.8) and a thickness T1 of, for example, 1-8 micrometers (μm).
  • A relaxed layer 16 is disposed over graded buffer layer 14. Relaxed layer 16 may be formed of uniform Si1-xGex having a Ge content of, for example, 10-80% (i.e., x=0.1-0.8), and a thickness T2 of, for example, 0.2-2 μm. In some embodiments, Si1-xGex may include Si0.70Ge0.30 and T2 may be approximately 1.5 μm. Relaxed layer 16 may be fully relaxed, as determined by triple axis X-ray diffraction, and may have a threading dislocation density of <1×106 dislocations/cm2, as determined by etch pit density (EPD) analysis. Because threading dislocations are linear defects disposed within a volume of crystalline material, threading dislocation density may be measured as either the number of dislocations intersecting a unit area within a unit volume or the line length of dislocation per unit volume. Threading dislocation density, therefore, may be expressed in either units of dislocations/cm2 or cm/cm3. Relaxed layer 16 may have a surface particle density of, e.g., less than about 0.3 particles/cm2. Further, relaxed layer 16 produced in accordance with the present invention may have a localized light-scattering defect level of less than about 0.3 defects/cm2 for particle defects having a size (diameter) greater than 0.13 microns, a defect level of about 0.2 defects/cm2 for particle defects having a size greater than 0.16 microns, a defect level of about 0.1 defects/cm2 for particle defects having a size greater than 0.2 microns, and a defect level of about 0.03 defects/cm2 for defects having a size greater than 1 micron. Process optimization may enable reduction of the localized light-scattering defect levels to about 0.09 defects/cm2 for particle defects having a size greater than 0.09 microns and to 0.05 defects/cm2 for particle defects having a size greater than 0.12 microns.
  • Substrate 12, graded layer 14, and relaxed layer 16 may be formed from various materials systems, including various combinations of group II, group III, group IV, group V, and group VI elements. For example, each of substrate 12, graded layer 14, and relaxed layer 16 may include a III-V compound. Substrate 12 may include gallium arsenide (GaAs), graded layer 14 and relaxed layer 16 may include indium gallium arsenide (InGaAs) or aluminum gallium arsenide (AlGaAs). These examples are merely illustrative, and many other material systems are suitable.
  • A strained semiconductor layer 18 is disposed over relaxed layer 16. Strained layer 18 may include a semiconductor such as at least one of a group II, a group 111, a group IV, a group V, and a group VI element. Strained semiconductor layer 18 may include, for example, Si, Ge, SiGe, GaAs, indium phosphide (InP), and/or zinc selenide (ZnSe). In some embodiments, strained semiconductor layer 18 may include approximately 100% Ge, and may be compressively strained. Strained semiconductor layer 18 comprising 100% Ge may be formed over, e.g., relaxed layer 16 containing uniform Si1-xGex having a Ge content of, for example, 50-80% (i.e., x=0.5-0.8), preferably 70% (x=0.7). Strained layer 18 has a thickness T3 of, for example, 50-1000 Å. In an embodiment, T3 may be approximately 200-500 Å.
  • Strained layer 18 may be formed by epitaxy, such as by atmospheric-pressure CVD (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), by molecular beam epitaxy (MBE), or by atomic layer deposition (ALD). Strained layer 18 containing Si may be formed by CVD with precursors such as silane, disilane, or trisilane. Strained layer 18 containing Ge may be formed by CVD with precursors such as germane or digermane. The epitaxial growth system may be a single-wafer or multiple-wafer batch reactor. The growth system may also utilize a low-energy plasma to enhance layer growth kinetics. Strained layer 18 may be formed at a relatively low temperature, e.g., less than 700° C., to facilitate the definition of an abrupt interface 17 between strained layer 18 and relaxed layer 16. This abrupt interface 17 may enhance the subsequent separation of strained layer 18 from relaxed layer 16, as discussed below with reference to FIGS. 4 and 5. Abrupt interface 17 is characterized by the transition of Si or Ge content (in this example) proceeding in at least 1 decade (order of magnitude in atomic concentration) per nanometer of depth into the sample. In an embodiment, this abruptness may be better than 2 decades per nanometer.
  • In an embodiment in which strained layer 18 contains substantially 100% Si, strained layer 18 may be formed in a dedicated chamber of a deposition tool that is not exposed to Ge source gases, thereby avoiding cross-contamination and improving the quality of the interface between strained layer 18 and relaxed layer 16. Furthermore, strained layer 18 may be formed from an isotopically pure silicon precursor(s). Isotopically pure Si has better thermal conductivity than conventional Si. Higher thermal conductivity may help dissipate heat from devices subsequently formed on strained layer 18, thereby maintaining the enhanced carrier mobilities provided by strained layer 18.
  • After formation, strained layer 18 has an initial misfit dislocation density, of, for example, 0-105 cm/cm2. In an embodiment, strained layer 18 has an initial misfit dislocation density of approximately 0 cm/cm2. Because misfit dislocations are linear defects generally lying within a plane between two crystals within an area, they may be measured in terms of total line length per unit area. Misfit dislocation density, therefore, may be expressed in units of dislocations/cm or cm/cm2. In one embodiment, strained layer 18 is tensilely strained, e.g., Si formed over SiGe. In another embodiment, strained layer 18 is compressively strained, e.g., Ge formed over SiGe.
  • Strained layer 18 may have a surface particle density of, e.g., less than about 0.3 particles/cm2. As used herein, “surface particle density” includes not only surface particles but also light-scattering defects, and crystal-originated pits (COPs), and other defects incorporated into strained layer 18. Further, strained layer 18 produced in accordance with the present invention may have a localized light-scattering defect level of less than about 0.3 defects/cm2 for particle defects having a size (diameter) greater than 0.13 microns, a defect level of about 0.2 defects/cm2 for particle defects having a size greater than 0.16 microns, a defect level of about 0.1 defects/cm2 for particle defects having a size greater than 0.2 microns, and a defect level of about 0.03 defects/cm2 for defects having a size greater than 1 micron. Process optimization may enable reduction of the localized light-scattering defect levels to about 0.09 defects/cm2 for particle defects having a size greater than 0.09 microns and to 0.05 defects/cm2 for particle defects having a size greater than 0.12 microns. These surface particles may be incorporated in strained layer 18 during the formation of strained layer 18, or they may result from the propagation of surface defects from an underlying layer, such as relaxed layer 16.
  • In alternative embodiments, graded layer 14 may be absent from the structure. Relaxed layer 16 may be formed in various ways, and the invention is not limited to embodiments having graded layer 14. In other embodiments, strained layer 18 may be formed directly on substrate 12. In this case, the strain in layer 18 may be induced by lattice mismatch between layer 18 and substrate 12, induced mechanically, e.g., by the deposition of overlayers, such as Si3N4, or induced by thermal mismatch between layer 18 and a subsequently grown layer, such as a SiGe layer. In some embodiments, a uniform semiconductor layer (not shown), having a thickness of approximately 0.5 μm and comprising the same semiconductor material as substrate 12, is disposed between graded buffer layer 14 and substrate 12. This uniform semiconductor layer may be grown to improve the material quality of layers subsequently grown on substrate 12, such as graded buffer layer 14, by providing a clean, contaminant-free surface for epitaxial growth. In certain embodiments, relaxed layer 16 may be planarized prior to growth of strained layer 18 to eliminate the crosshatched surface roughness induced by graded buffer layer 14. (See, e.g., M. T. Currie, et al., Appl. Phys. Lett., 72 (14) p. 1718 (1998), incorporated herein by reference.) The planarization may be performed by a method such as chemical mechanical polishing (CMP), and may improve the quality of a subsequent bonding process (see below) because it minimizes the wafer surface roughness and increases wafer flatness, thus providing a greater surface area for bonding.
  • Referring to FIG. 1B, after planarization of relaxed layer 16, a relaxed semiconductor regrowth layer 19 including a semiconductor such as SiGe may be grown on relaxed layer 16, thus improving the quality of subsequent strained layer 18 growth by ensuring a clean surface for the growth of strained layer 18. Growing on this clean surface may be preferable to growing strained material, e.g., silicon, on a surface that is possibly contaminated by oxygen and carbon from the planarization process. The conditions for epitaxy of the relaxed semiconductor regrowth layer 19 on the planarized relaxed layer 16 should be chosen such that surface roughness of the resulting structure, including layers formed over regrowth layer 19, is minimized to ensure a surface suitable for subsequent high quality bonding. High quality bonding may be defined as the existence of a bond between two wafers that is substantially free of bubbles or voids at the interface. Measures that may help ensure a smooth surface for strained layer 18 growth, thereby facilitating bonding, include substantially matching a lattice of the semiconductor regrowth layer 19 to that of the underlying relaxed layer 16, by keeping the regrowth thickness below approximately 1 μm, and/or by keeping the growth temperature below approximately 850° C. for at least a portion of the semiconductor layer 19 growth. It may also be advantageous for relaxed layer 16 to be substantially free of particles or areas with high threading dislocation densities (i.e., threading dislocation pile-ups) which could induce non-planarity in the regrowth and decrease the quality of the subsequent bond.
  • Referring to FIG. 2A, in an embodiment, hydrogen ions are implanted into relaxed layer 16 to define a cleave plane 20. This implantation is similar to the SMARTCUT process that has been demonstrated in silicon by, e.g., SOITEC, based in Grenoble, France. Implantation parameters may include implantation of hydrogen (H2 +) to a dose of 2.5-5×1016 ions/cm2 at an energy of, e.g., 50-100 keV. For example, H2 + may be implanted at an energy of 75 keV and a dose of 4×1016 ions/cm2 through strained layer 18 into relaxed layer 16. In alternative embodiments, it may be favorable to implant at energies less than 50 keV to decrease the depth of cleave plane 20 and decrease the amount of material subsequently removed during the cleaving process (see discussion below with reference to FIG. 4). In an alternative embodiment, other implanted species may be used, such as H+ or He+, with the dose and energy being adjusted accordingly. The implantation may also be performed prior to the formation of strained layer 18. Then, the subsequent growth of strained layer 18 is preferably performed at a temperature low enough to prevent premature cleaving along cleave plane 20, i.e., prior to the wafer bonding process. This cleaving temperature is a complex function of the implanted species, implanted dose, and implanted material. Typically, premature cleaving may be avoided by maintaining a growth temperature below approximately 500° C.
  • In some embodiments, such as when strained layer 18 comprises nearly 100% Ge, a thin layer 21 of another material, such as Si, may be formed over strained layer 18 prior to bonding (see discussion with respect to FIG. 3). This thin layer 21 may be formed to enhance subsequent bonding of strained layer 18 to an insulator, such as an oxide. Thin layer 21 may have a thickness T21 of, for example, 0.5-5 nm.
  • In some embodiments, strained layer 18 may be planarized by, e.g., CMP, to improve the quality of the subsequent bond. Strained layer 18 may have a low surface roughness, e.g., less than 0.5 nm root mean square (RMS). Referring to FIG. 2B, in some embodiments, a dielectric layer 22 may be formed over strained layer 18 prior to ion implantation into relaxed layer 16 to improve the quality of the subsequent bond. Dielectric layer 22 may be, e.g., silicon dioxide (SiO2) deposited by, for example, LPCVD or by high density plasma (HDP). An LPCVD deposited SiO2 layer may be subjected to a densification step at elevated temperature. Suitable conditions for this densification step may be, for example, a 10 minute anneal at 800° C. in a nitrogen ambient. Alternatively, dielectric layer 22 may include low-temperature oxide (LTO), which may be subsequently densified at elevated temperature in nitrogen or oxygen ambients. Suitable conditions for this densification step can be a 10 minute anneal at 800° C. in an oxygen ambient. Dielectric layer 22 may be planarized by, e.g., CMP to improve the quality of the subsequent bond. In an alternative embodiment, it may be advantageous for dielectric layer 22 to be formed from thermally grown SiO2 in order to provide a high quality semiconductor/dielectric interface in the final structure. In an embodiment, strained layer 18 comprises approximately 100% Ge and dielectric layer 22 comprises, for example, germanium dioxide (GeO2); germanium oxynitride (GeON); a high-k insulator having a higher dielectric constant than that of Si such as hafnium oxide (HfO2) or hafnium silicate (HfSiON, HfSiO4); or a multilayer structure including GeO2 and SiO2. Ge has an oxidation behavior different from that of Si, and the deposition methods may be altered accordingly.
  • Referring to FIG. 3, epitaxial wafer 8 is bonded to a handle wafer 50. Either handle wafer 50, epitaxial wafer 8, or both have a top dielectric layer (see, e.g., dielectric layer 22 in FIG. 2B) to facilitate the bonding process and to serve as an insulator layer in the final substrate structure. Handle wafer 50 may have a dielectric layer 52 disposed over a semiconductor substrate 54. Dielectric layer 52 may include, for example, SiO2. In an embodiment, dielectric layer 52 includes a material having a melting point (Tm) higher than a Tm of pure SiO2, i.e., higher than 1700 ° C. Examples of such materials are silicon nitride (Si3N4), aluminum oxide, magnesium oxide, etc. Using dielectric layer 52 with a high Tm helps prevents possible relaxation of the transferred strained semiconductor layer 18 that may occur during subsequent processing, due to softening of the underlying dielectric layer 52 at temperatures typically used during device fabrication (approximately 1000-1200° C.). In other embodiments, handle wafer 50 may include a combination of a bulk semiconductor material and a dielectric layer, such as a silicon on insulator substrate. Semiconductor substrate 54 includes a semiconductor material such as, for example, Si, Ge, or SiGe.
  • Handle wafer 50 and epitaxial wafer 8 are cleaned by a wet chemical cleaning procedure to facilitate bonding, such as by a hydrophilic surface preparation process to assist the bonding of a semiconductor material, e.g., strained layer 18, to a dielectric material, e.g., dielectric layer 52. For example, a suitable prebonding surface preparation cleaning procedure could include a modified megasonic RCA SC1 clean containing ammonium hydroxide, hydrogen peroxide, and water (NH4OH:H2O2:H2O) at a ratio of 1:4:20 at 60° C. for 10 minutes, followed by a deionized (DI) water rinse and spin dry. The wafer bonding energy should be strong enough to sustain the subsequent layer transfer (see FIG. 4). In some embodiments, top surfaces 60, 62 of handle wafer 50 and epitaxial wafer 8, including a top surface 63 of strained semiconductor layer 18, may be subjected to a plasma activation, either before, after, or instead of a wet clean, to increase the bond strength. The plasma environment may include at least one of the following species: oxygen, ammonia, argon, nitrogen, diborane, and phosphine. After an appropriate cleaning step, handle wafer 50 and epitaxial wafer 8 are bonded together by bringing top surfaces 60, 62 in contact with each other at room temperature. The bond strength may be greater than 1000 mJ/m2, achieved at a low temperature, such as less than 600° C.
  • Referring to FIG. 4 as well as to FIG. 3, a split is induced at cleave plane 20 by annealing handle wafer 50 and epitaxial wafer 8 after they are bonded together. This split may be induced by an anneal at 300-700° C., e.g., 550° C., inducing hydrogen exfoliation layer transfer (i.e., along cleave plane 20) and resulting in the formation of two separate wafers 70, 72. One of these wafers (70) has a first portion 80 of relaxed layer 16 (see FIG. 1A) disposed over strained layer 18. Strained layer 18 is in contact with dielectric layer 52 on semiconductor substrate 54. The other of these wafers (72) includes substrate 12, graded layer 14, and a remaining portion 82 of relaxed layer 16. In some embodiments, wafer splitting may be induced by mechanical force in addition to or instead of annealing. If necessary, wafer 70 with strained layer 18 may be annealed further at 600-900° C., e.g., at a temperature greater than 800° C., to strengthen the bond between the strained layer 18 and dielectric layer 52. In some embodiments, this anneal is limited to an upper temperature of about 900° C. to avoid the destruction of a strained Si/relaxed SiGe heterojunction by diffusion. Wafer 72 may be planarized, and used as starting substrate 8 for growth of another strained layer 18. In this manner, wafer 72 may be “recycled” and the process illustrated in FIGS. 1A-5 may be repeated. An alternative “recyling” method may include providing relaxed layer 16 that is several microns thick and repeating the process illustrated in FIGS. 1A-5, starting with the formation of strained layer 18. Because the formation of this thick relaxed layer 16 may lead to bowing of substrate 12, a layer including, e.g., oxide or nitride, may be formed on the backside of substrate 12 to counteract the bowing. Alternatively substrate 12 may be pre-bowed when cut and polished, in anticipation of the bow being removed by the formation of thick relaxed layer 16.
  • Referring to FIG. 4 as well as to FIG. 5, relaxed layer portion 80 is removed from strained layer 18. In an embodiment, removal of relaxed layer portion 80, containing, e.g., SiGe, includes oxidizing the relaxed layer portion 80 by wet (steam) oxidation. For example, at temperatures below approximately 800° C., such as temperatures between 600-750° C., wet oxidation will oxidize SiGe much more rapidly then Si, such that the oxidation front will effectively stop when it reaches the strained layer 18, in embodiments in which strained layer 18 includes Si. The difference between wet oxidation rates of SiGe and Si may be even greater at lower temperatures, such as approximately 400° C. -600° C. Good oxidation selectivity is provided by this difference in oxidation rates, i.e., SiGe may be efficiently removed at low temperatures with oxidation stopping when strained layer 18 is reached. This wet oxidation results in the transformation of SiGe to a thermal insulator 90, e.g., SixGeyOz. The thermal insulator 90 resulting from this oxidation is removed in a selective wet or dry etch, e.g., wet hydrofluoric acid. In some embodiments, it may be more economical to oxidize and strip several times, instead of just once.
  • In certain embodiments, wet oxidation may not completely remove the relaxed layer portion 80. Here, a localized rejection of Ge may occur during oxidation, resulting in the presence of a residual Ge-rich SiGe region at the oxidation front, on the order of, for example, several nanometers in lateral extent. A surface clean may be performed to remove this residual Ge. For example, the residual Ge may be removed by a dry oxidation at, e.g., 600° C., after the wet oxidation and strip described above. Another wet clean may be performed in conjunction with—or instead of—the dry oxidation. Examples of possible wet etches for removing residual Ge include a Piranha etch, i.e., a wet etch that is a mixture of sulfuric acid and hydrogen peroxide (H2SO4:H2O2) at a ratio of, for example, 3:1. An HF dip may be performed after the Piranha etch. Alternatively, an RCA SC1 clean may be used to remove the residual Ge. The process of Piranha or RCA SC1 etching and HF removal of resulting oxide may be repeated more than once. In an embodiment, relaxed layer portion including, e.g., SiGe, is removed by etching and annealing under a hydrochloric acid (HCl) ambient.
  • In the case of a strained Si layer, the surface Ge concentration of the final strained Si surface is preferably less than about 1×1012 atoms/cm2 when measured by a technique such as total reflection x-ray fluorescence (TXRF) or the combination of vapor phase decomposition (VPD) with a spectroscopy technique such as graphite furnace atomic absorption spectroscopy (GFAAS) or inductively-coupled plasma mass spectroscopy (ICP-MS). In some embodiments, after cleaving, a planarization step or a wet oxidation step may be performed to remove a portion of the damaged relaxed layer portion 80 as well as to increase the smoothness of its surface. A smoother surface may improve the uniformity of subsequent complete removal of a remainder of relaxed layer portion 80 by, e.g., wet chemical etching. After removal of relaxed layer portion 80, strained layer 18 may be planarized. Planarization of strained layer 18 may be performed by, e.g., CMP; an anneal at a temperature greater than, for example, 800° C., in a hydrogen (H2) or hydrochloric acid (HCl) containing ambient; or cluster ion beam smoothing.
  • Referring to FIG. 6, a SSOI substrate 100 has strained layer 18 disposed over an insulator, such as dielectric layer 52 formed on semiconductor substrate 54. Strained layer 18 has a thickness T4 selected from a range of, for example, 50-1000 Å, with a thickness uniformity of better than approximately ±5% and a surface roughness of less than approximately 20 Å. Dielectric layer 52 has a thickness T52 selected from a range of, for example, 500-3000 Å. In an embodiment, strained layer 18 includes approximately 100% Si or 100% Ge having one or more of the following material characteristics: misfit dislocation density of, e.g., 0-105 cm/cm2; a threading dislocation density of about 101-107 dislocations/cm2; a surface roughness of approximately 0.0.1-1 nm RMS; and a thickness uniformity across SSOI substrate 100 of better than approximately ±10% of a mean desired thickness; and a thickness T4 of less than approximately 200 Å. In an embodiment, SSOI substrate 100 has a thickness uniformity of better than approximately ±5% of a mean desired thickness.
  • In an embodiment, dielectric layer 52 has a Tm greater than that of SiO2. During subsequent processing, e.g., MOSFET formation, SSOI substrate 100 may be subjected to high temperatures, i.e., up to 1100° C. High temperatures may result in the relaxation of strained layer 18 at an interface between strained layer 18 and dielectric layer 52. The use of dielectric layer with a Tm greater than 1700° C. may help keep strained layer 18 from relaxing at the interface between strained layer 18 and dielectric layer 52 when SSOI substrate is subjected to high temperatures.
  • In an embodiment, the misfit dislocation density of strained layer 18 may be lower than its initial dislocation density. The initial dislocation density may be lowered by, for example, performing an etch of a top surface 92 of strained layer 18. This etch may be a wet etch, such as a standard microelectronics clean step such as an RCA SC1, i.e., hydrogen peroxide, ammonium hydroxide, and water (H2O2+NH4OH+H2O), which at, e.g., 80° C. may remove silicon.
  • The presence of surface particles on strained layer 18, as described above with reference to FIG. 1A, may result in the formation of bonding voids at an interface 102 between strained layer 18 and dielectric layer 52. These bonding voids may have a density equivalent to the density of surface particles formed on strained layer 18, e.g., less than about 0.3 voids/cm2.
  • In some embodiments, strained semiconductor layer 18 includes Si and is substantially free of Ge; further, any other layer disposed in contact with strained semiconductor layer 18 prior to device processing, e.g., dielectric layer 52, is also substantially free of Ge.
  • Referring to FIG. 7, in an alternative embodiment, relaxed layer portion 80 may be removed by a selective wet etch that stops at the strained layer 18 to obtain SSOI substrate 100 (see FIG. 6). In embodiments in which relaxed layer portion 80 contains SiGe, a suitable selective SiGe wet etch may be a solution containing nitric acid (HNO3) and dilute HF at a ratio of 3:1 or a solution containing H2O2, HF, and acetic acid (CH3COOH) at a ratio of 2:1:3. Alternatively, relaxed layer portion 80 may be removed by a dry etch that stops at strained layer 18. In some embodiments, relaxed layer portion 80 may be removed completely or in part by a chemical-mechanical polishing step or by mechanical grinding.
  • Strained semiconductor-on-insulator substrate 100 may be further processed by CMOS SOI MOSFET fabrication methods. For example, referring to FIG. 8A, a transistor 200 may be formed on SSOI substrate 100. Forming transistor 200 includes forming a gate dielectric layer 210 above strained layer 18 by, for example, growing an SiO2 layer by thermal oxidation. Alternatively, gate dielectric layer 210 may include a high-k material with a dielectric constant higher than that of SiO2, such as HfO2, HfSiON, or HfSiO4. In some embodiments, gate dielectric layer 210 may be a stacked structure, e.g., a thin SiO2 layer capped with a high-k material. A gate 212 is formed over gate dielectric layer 210. Gate 212 may be formed of a conductive material, such as doped semiconductor, e.g., polycrystalline Si or polycrystalline SiGe; a metal, e.g., titanium (Ti), tungsten (W), molybdenum (Mo), tantalum (Ta), nickel (Ni), or iridium (Ir); or metal compounds, e.g., titanium nitride (TiN), titanium silicon nitride (TiSiN), tungsten nitride (WN), tantalum nitride (TaN), tantalum silicide (TaSi), nickel silicide (NiSi), or iridium oxide (IrO2), that provide an appropriate workfunction. A source region 214 and a drain region 216 are formed in a portion 218 of strained semiconductor layer 18, proximate gate dielectric layer 210. Source and drain regions 214, 216 may be formed by, e.g., ion implantation of either n-type or p-type dopants.
  • In some embodiments, strained semiconductor layer 18 may be compressively strained when, for example, layer 18 includes strained Ge. Compressively strained layers may be prone to undulation when subjected to large temperature changes. The risk of such undulation may be reduced by reducing the thermal budget of a process for fabricating devices, such as transistor 200. The thermal budget may reduced by, for example, using atomic layer deposition (ALD) to deposit gate dielectric layer 210. Furthermore, a maximum temperature for forming gate 212 may be limited to, e.g., 600° C. by, for example, the use of materials comprising metal or metal compounds, rather than polysilicon or other gate materials that may require higher formation and/or dopant activation temperatures.
  • Referring to FIG. 8B, a transistor 250 formed on SSOI substrate 100 may have an elevated source region and an elevated drain region proximate a first and a second sidewall spacer 252, 254. These elevated regions may be formed as follows. A semiconductor layer 256a -256c is formed selectively on exposed silicon surfaces, i.e., on top surface 258 of a gate 259 containing silicon, a top surface 260 of a source 262 defined in strained layer 18, and top surface 264 of a drain 266 defined in strained layer 18. In an embodiment, semiconductor layer 256 a-256 c is an epitaxial layer, such as epitaxial silicon, epitaxial germanium, or epitaxial silicon-germanium. No semiconductor layer is formed on non-silicon features, such as sidewall spacers 252, 254 and dielectric isolation regions 268, 270. Semiconductor layer 256 a-256 c has a thickness T256 of, for example, approximately 100-500 Å.
  • Semiconductor layer 256 a-256 c has a low resistivity of, e.g., 0.001 ohm-cm, that facilitates the formation of low-resistance contacts. To achieve this low resistivity, semiconductor layer 256 a-256 c is, for example, epitaxial silicon doped with, for example, arsenic to a concentration of 1×1020 atoms/cm3. Semiconductor layer 256 a-256 c may be doped in situ, during deposition. In alternative embodiments, semiconductor layer 256 a-256 c may be doped after deposition by ion implantation or by gas-, plasma- or solid-source diffusion. In some embodiments, the doping of semiconductor layer 256 a-256 c and the formation of source 262 and drain 266 are performed simultaneously. Portions of semiconductor layer 256 a, 256 c disposed over source 262 and drain 266 may have top surfaces substantially free of facets. In an embodiment, portions of source 262, drain 266, and/or gate 259 may be etched away to define recess prior to deposition of semiconductor layer 256 a-256 c, and semiconductor layer 256 a-256 c may then be deposited in the recesses thus formed.
  • Referring to FIG. 8C, a metal layer 272 is formed over transistor 250. Metal layer 272 is formed by, for example, sputter deposition. Metal layer 272 has a thickness T272 of, e.g., 50-200 Å and includes a metal such as cobalt, titanium, tungsten, nickel, or platinum. The metal is selected to react with semiconductor layer 256 a-256 c to form a low-resistance metal-semiconductor alloy when exposed to heat, as described below. The metal is also selected such that the metal-semiconductor alloy remains stable at temperatures typically required to complete transistor 250 fabrication, e.g., 400-700° C.
  • Referring also to FIG. 8D, subsequent to deposition of metal layer 272, a first rapid thermal anneal is performed, e.g., at 550° C. for 60 seconds. This heating step initiates a reaction between metal layer 272 and semiconductor layers 256 a-256 c, forming a high resistivity phase of a metal-semiconductor alloy, e.g., cobalt silicide (CoSi). Portions of metal layer 272 are removed by a wet etch, such as sulfuric acid and hydrogen peroxide. In an alternative embodiment, the wet etch may be ammonium hydroxide, peroxide, and water. This wet etch removes portions of metal layer 272 disposed over dielectric material, such as over first and second sidewall spacers 252, 254 and isolation regions 268, 270. Portions 274 of metal layer 272 disposed over semiconductor layer 256 a-256 c that have reacted to form the metal-semiconductor alloy remain in place after the anneal and wet etch.
  • Referring to FIG. 8E, SSOI substrate 100, including transistor 250, is subjected to a second heat treatment. For example, in an embodiment in which metal layer 272 includes cobalt, SSOI substrate 100 undergoes a rapid thermal anneal at 800° C. for 60 seconds in a nitrogen ambient. This heating step initiates a reaction in the metal-semiconductor alloy layer which substantially lowers its resistivity, to form a substantially homogeneous contact layer 276 a-276 c. Contact layer 276 a-276 c includes a metal-semiconductor alloy, e.g., a metal silicide such as a low resistivity phase of cobalt silicide (CoSi2). Contact layer 276 a-276 c has a thickness T276 of, for example, 400 Å. Contact layer 276 a-276 c has a low sheet resistance, e.g., less than about 10 Ω/□, and enables a good quality contact to be made to source 262 and drain 266, as well as to gate 259.
  • In some embodiments, during formation, contact layer 276 a-276 c may consume substantially all of semiconductor layer 256 a-256 c. A bottommost boundary 278 a of contact layer 276 a, therefore, shares an interface 280 a with strained layer 18 in source 262, and a bottommost boundary 278 c of contact layer 276 c, therefore, shares an interface 280 c with strained layer 18 in drain 266. A bottonunost boundary 278 b of contact layer 276 b shares an interface 280 b with gate 259.
  • In other embodiments, contact layer portions 276 a, 276 c, disposed over source 262 and drain 266, may extend into strained layer 18. Interfaces 280 a, 280 c between contact layer 276 a, 276 c and strained layer 18 are then disposed within source 262 and drain 266, respectively, above bottommost boundaries 282 a, 282 c of strained layer 18. Interfaces 280 a, 280 c have a low contact resistivity, e.g., less than approximately 5×10−7 Ω-cm2. In certain other embodiments, during formation, contact layer 276 a-276 c may not consume all of semiconductor layer 256 a-256 c (see FIG. 8D). A bottommost boundary 278 a of contact layer 276 a, therefore, shares an interface with semiconductor layer 256 a over source 262, and a bottommost boundary 278 c of contact layer 276 c, therefore, shares an interface with semiconductor layer 256 c over drain 266.
  • Because strained layer 18 includes a strained material, carrier mobilities in strained layer 18 are enhanced, facilitating lower sheet resistances. This strain also results in a reduced energy bandgap, thereby lowering the contact resistivity between the metal-semiconductor alloy and the strained layer.
  • In alternative embodiments, an SSOI structure may include, instead of a single strained layer, a plurality of semiconductor layers disposed on an insulator layer. For example, referring to FIG. 9, epitaxial wafer 300 includes strained layer 18, relaxed layer 16, graded layer 14, and substrate 12. In addition, a semiconductor layer 310 is disposed over strained layer 18. Strained layer 18 may be tensilely strained and semiconductor layer 310 may be compressively strained. In an alternative embodiment, strained layer 18 may be compressively strained and semiconductor layer 310 may be tensilely strained. Strain may be induced by lattice mismatch with respect to an adjacent layer, as described above, or mechanically. For example, strain may be induced by the deposition of overlayers, such as Si3N4. In another embodiment, semiconductor layer 310 is relaxed. Semiconductor layer 310 includes a semiconductor material, such as at least one of a group II, a group III, a group IV, a group V, and a group VI element. Epitaxial wafer 300 is processed in a manner analogous to the processing of epitaxial wafer 8, as described with reference to FIGS. 1-7.
  • Referring also to FIG. 10, processing of epitaxial wafer 300 results in the formation of SSOI substrate 350, having strained layer 18 disposed over semiconductor layer 310. Semiconductor layer 310 is bonded to dielectric layer 52, disposed over substrate 54. As noted above with reference to FIG. 9, strained layer 18 may be tensilely strained and semiconductor layer 310 may be compressively strained. Alternatively, strained layer 18 may be compressively strained and semiconductor layer 310 may be tensilely strained. In some embodiments, semiconductor layer 310 may be relaxed.
  • Referring to FIG. 11, in some embodiments, a thin strained layer 84 may be grown between strained layer 18 and relaxed layer 16 to act as an etch stop during etching, such as wet etching. In an embodiment in which strained layer 18 includes. Si and relaxed layer 16 includes Si1-yGey, thin strained layer 84 may include Si1-xGex, with a higher Ge content (x) than the Ge content (y) of relaxed layer 16, and hence be compressively strained. For example, if the composition of the relaxed layer 16 is 20% Ge (Si0.80Ge0.20), thin strained layer 84 may contain 40% Ge (Si0.60Ge0.40) to provide a more robust etch stop. In other embodiments, a second strained layer, such as thin strained layer 84 with higher Ge content than relaxed layer 16, may act as a preferential cleave plane in the hydrogen exfoliation/cleaving procedure described above.
  • In an alternative embodiment, thin strained layer 84 may contain Si1-xGex with lower Ge content than relaxed layer 16. In this embodiment, thin strained layer 84 may act as a diffusion barrier during the wet oxidation process. For example, if the composition of relaxed layer 16 is 20% Ge (Si0.80Ge0.20), thin strained layer 84 may contain 10% Ge (Si0.90Ge0.10) to provide a barrier to Ge diffusion from the higher Ge content relaxed layer 16 during the oxidation process. In another embodiment, thin strained layer 84 may be replaced with a thin graded Si1-zGez layer in which the Ge composition (z) of the graded layer is decreased from relaxed layer 16 to the strained layer 18.
  • Referring again to FIG. 7, in some embodiments, a small amount, e.g., approximately 20-100 Å, of strained layer 18 may be removed at an interface 105 between strained layer 18 and relaxed layer portion 80. This may be achieved by overetching after relaxed layer portion 80 is removed. Alternatively, this removal of strained layer 18 may be performed by a standard microelectronics clean step such as an RCA SC1, i.e., hydrogen peroxide, ammonium hydroxide, and water (H2O2+NH4OH+H2O), which at, e.g., 80° C. may remove silicon. This silicon removal may remove any misfit dislocations that formed at the original strained layer 18/relaxed layer 80 interface 105 if strained layer 18 was grown above the critical thickness. The critical thickness may be defined as the thickness of strained layer 18 beyond which it becomes energetically favorable for the strain in the layer to partially relax via the introduction of misfit dislocations at interface 105 between strained layer 18 and relaxed layer 16. Thus, the method illustrated in FIGS. 1-7 provides a technique for obtaining strained layers above a critical thickness without misfit dislocations that may compromise the performance of deeply scaled MOSFET devices.
  • Referring to FIG. 12, in some embodiments, handle wafer 50 may have a structure other than a dielectric layer 52 disposed over a semiconductor substrate 54. For example, a bulk relaxed substrate 400 may comprise a bulk material 410 such as a semiconductor material, e.g., bulk silicon. Alternatively, bulk material 410 may be a bulk dielectric material, such as Al2 O3 (e.g., alumina or sapphire) or SiO2 (e.g., quartz). Epitaxial wafer 8 may then be bonded to handle wafer 400 (as described above with reference to FIGS. 1-6), with strained layer 18 being bonded to the bulk material 410 comprising handle wafer 400. In embodiments in which bulk material 410 is a semiconductor, to facilitate this semiconductor-semiconductor bond, a hydrophobic clean may be performed, such as an HF dip after an RCA SC1 clean.
  • Referring to FIG. 13, after bonding and further processing (as described above), a strained-semiconductor-on-semiconductor (SSOS) substrate 420 is formed, having strained layer 18 disposed in contact with relaxed substrate 400. The strain of strained layer 18 is not induced by underlying relaxed substrate 400, and is independent of any lattice mismatch between strained layer 18 and relaxed substrate 400. In an embodiment, strained layer 18 and relaxed substrate 400 include the same semiconductor material, e.g., silicon. Relaxed substrate 400 may have a lattice constant equal to a lattice constant of strained layer 18 in the absence of strain. Strained layer 18 may have a strain greater than approximately 1×10−3. Strained layer 18 may have been formed by epitaxy, and may have a thickness T5 of between approximately 20 Å-1000 Å, with a thickness uniformity of better than approximately ±10%. In an embodiment, strained layer 18 may have a thickness uniformity of better than approximately ±5%. Surface 92 of strained layer 18 may have a surface roughness of less than 20 Å.
  • Referring to FIG. 14, in an embodiment, after fabrication of the SSOI structure 100 including semiconductor substrate 54 and dielectric layer 52, it may be favorable to selectively relax the strain in at least a portion of strained layer 18. This could be accomplished by introducing a plurality of ions by, e.g., ion implantation after a photolithography step in which at least a portion of the structure is masked by, for example, a photoresist feature 500. Ion implantation parameters may be, for example, an implant of Si ions at a dose of 1×1015-1×1017 ions/cm2, at an energy of 5-75 keV. After ion implantation, a relaxed portion 502 of strained layer 18 is relaxed, while a strained portion 504 of strained layer 18 remains strained.
  • Devices
  • In addition to the transistors described above with reference to FIGS. 8A-8E, various other transistors may be formed on SSOI substrate 100 fabricated by the methods described above All of these transistors may also be formed on SSOI substrate 100 fabricated with the use of a porous semiconductor substrate, as described below with reference to FIGS. 40A-41D.
  • finFET
  • A finFET (or any variant of the basic finFET structure such as the wrap-around gate FET, tri-gate FET, or omega FET) may be fabricated on SSOI substrate 100 as described below. The finFET and related devices include two gates located on either side of a FET channel region. Unlike in a traditional planar FET, this channel region is raised above the wafer surface: the channel (or portions of the channel) falls in a plane perpendicular to the wafer surface. There may in addition be gates above and/or below the channel region, such as in the wrap-around gate FET.
  • Referring to FIG. 15, SSOI substrate 100 includes strained layer 18 and dielectric layer 52 disposed over substrate 54. In an embodiment, strained layer 18 includes Si and has thickness T6 of, e.g., 200-1000 Å. Dielectric layer 52 may be formed from SiO2, with thickness T7 selected from the range of, e.g., 500-3000 Å. Substrate 54 may be formed from, e.g., Si.
  • Referring to FIGS. 16A and 16B, strained layer 18 is patterned to define a plurality of fins 600. Fins 600 are defined by the formation of a photolithographic mask (not shown) over strained layer 18, followed by anisotropic reactive ion etching (RIE) of strained layer 18. Fins 600 have a width W1 of, e.g., 50-300 Å. The photomask/RIE steps also define source mesa region 602 and drain mesa region 604. Fins 600, source mesa region 602, and source mesa region 604 include portions of strained layer 18 not removed by RIE. The photolithographic mask is removed after the RIE of strained layer 18.
  • Referring to FIG. 17, a gate insulator layer 610 is formed over SSOI substrate 100. Gate insulator layer 610 is conformally formed over fins 600, as well as over source and drain mesa regions 602, 604. Gate insulator layer 610 may include, e.g., thermally grown SiO2, or a high-k dielectric like HfO2 or HfSiON, and have a thickness T8 of, e.g., 10-100 Å. In some embodiments, gate insulator layer 610 is grown, and is therefore formed only over exposed silicon surfaces, i.e., over fins 600 and source and drain mesa regions 602, 604. In other embodiments, gate insulator layer 610 is deposited, and is therefore formed over an entire top surface of SSOI substrate 100.
  • Referring to FIGS. 18A and 18B, a gate electrode material 620 is conformally formed over gate insulator layer 610, including over fins 600. Gate electrode material 620 may be, e.g., polycrystalline silicon (“polysilicon”), deposited by CVD, such as by UHVCVD, APCVD, LPCVD, or PECVD, having a thickness T62 selected from the range of, e.g., 100-2000 Å. A photolithographic mask (not shown) is formed over gate electrode material 620. Portions of gate electrode material 620 are selectively removed by, e.g., RIE to define a gate 622 crossing over fins 600, and terminating in a gate contact area 624. Portions of gate insulator layer 610 are exposed (or even removed) by the RIE of gate electrode material 620.
  • Referring to FIGS. 19A and 19B, a plurality of dopants are introduced into source and drain mesa regions 602, 604 to define source 630 and drain 632. To form an n-type finFET, dopants such as arsenic or phosphorus may be implanted into mesa regions 602, 604. Possible implantation parameters may be, for example, arsenic with a dose of 2×1015 atoms/cm2 implanted at an energy of 10-50 kilo-electron volts (keV). To form a p-type finFET, dopants such as boron may be implanted into mesa regions 602, 604. Possible implantation parameters may be, for example, boron, with a dose of 2×1015 atoms/cm2 at an energy of 3-15 keV. For the formation of a CMOS device, NMOS regions may be protected by a mask during the implantation of p-type dopants into PMOS regions. Similarly, PMOS regions may be protected by a mask during the implantation of n-type dopants into NMOS regions. A suitable mask for both types of implantation may be, e.g., photoresist.
  • During the introduction of dopants into source and drain mesa regions 602, 604, a plurality of gate dopants 634 are also introduced into gate 622 and gate contact area 624. Gate dopants 634 serve to increase a conductivity of gate electrode material 620. Gate dopants 630 may be, for example, implanted arsenic or phosphorous ions for an n-type finFET.
  • Dopants for both n-type and p-type finFETs may be implanted at an angle of 20-50°, with zero degrees being normal to SSOI substrate 100. Implanting at an angle may be desired in order to implant ions into a side of exposed fins 600 and also into a side of the vertical surfaces of gate electrode material 620.
  • Referring to FIGS. 20A and 20B, a blanket layer of spacer insulator material is formed over SSOI substrate 100, including over gate 622, gate contact 624, source 630, and drain 632. Spacer insulator material may be, for example, SiO2 or Si3N4 deposited by CVD and have a thickness T9 of, for example, 100-1000 Å. Subsequently, portions of spacer insulator material are removed by an anisotropic RIE to define a plurality of sidewall spacers 642 proximate vertical surfaces, such as fins 600, gate 622, and gate contact area 624. Horizontal surfaces, such as top surfaces of fins 600, are substantially free of the spacer insulator material.
  • After the RIE definition of sidewall spacers 642, the portions of gate insulator layer 610 exposed by the RIE of gate electrode material 620 may be removed from top surfaces of source 630, and drain 632 by, e.g., a dip in hydrofluoric acid (HF), such as for 5-30 seconds in a solution containing, e.g., 0.5-5% HF. Alternately, this removal may be via RIE, with an etchant species such as, e.g., CHF3.
  • Referring to FIGS. 21A and 21B, a self-aligned silicide (“salicide”) is formed over SSOI substrate 100 to provide low resistance contacts as follows. A conductive layer is formed over SSOI substrate 100. For example, a metal such as cobalt or nickel is deposited by, e.g., CVD or sputtering, with the conductive layer having a thickness of, e.g., 50-200 Å. An anneal is performed to react the conductive layer with the underlying semiconductor, e.g., exposed portions of gate 622 and gate contact area 624, to form salicide 650 including, e.g., cobalt silicide or nickel silicide. Anneal parameters may be, for example, 400-800° C. for 10-120 seconds. Unreacted portions of the conductive layer disposed directly over insulator material, such as exposed portions of dielectric layer 52 and sidewall spacers 642, are removed by a chemical strip. A suitable chemical strip may be a solution including H2SO4:H2O2 at a ratio of 3:1. A second anneal may be performed to further lower resistivity of salicide 650. The second anneal parameters may be, for example, 600-900° C. for 10-120 seconds A finFET 655 includes fins 600, gate insulator 610, source 630, drain 632, and gate 622. A finFET 655 having three fins 600 is illustrated in FIG. 21B. The three fins 600 share a common source 630 and a common drain 632. A single transistor may have multiple fins to increase current drive in comparison to a transistor with a single fin.
  • In an alternative embodiment, gate dielectric material may be removed from the top surfaces of the source and drain mesa regions immediately after the RIE of the gate electrode. In some embodiments, raised source and drain regions may be formed, as described above with reference to FIGS. 8B-8D.
  • Double Gate MOSFETs
  • Referring to FIG. 22 as well as to FIG. 1A, epitaxial wafer 8 has layers 10 disposed over substrate 12. Substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe. The plurality of layers 10 includes graded buffer layer 14, formed of Si1-yGey, with a maximum Ge content of, e.g., 10-80% (i.e., y=0.1-0.8). Relaxed layer 16 is disposed over graded buffer layer 14. Relaxed layer 16 may be formed of uniform Si1-xGex having a Ge content of, for example, 10-80% (i.e., x=0.1-0.8). Strained semiconductor layer 18 is disposed over relaxed layer 16. Strained layer 18 comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element. Strained layer 18 may include, for example, Si and may be tensilely strained.
  • A first gate insulator layer 700 is formed over strained layer 18. First gate insulator layer 700 may include SiO2 or a high-k dielectric like HfO2 or HfSiON, and may be grown or deposited. First gate insulator layer 700 may have a thickness T11 of, e.g., 10-100 Å. A first gate electrode layer 702 is formed over first gate insulator layer 700. First gate electrode layer 702 may include a conductive material, for example, doped polycrystalline silicon or tungsten, and may have a thickness T12 of, for example, 500-2000 Å.
  • Referring to FIG. 23, ions 704 are introduced to define cleave plane 20 in relaxed layer 16, in the manner described above with reference to FIG. 2A.
  • Referring to FIG. 24, epitaxial wafer 8 is bonded to handle wafer 50, in the manner described above with reference to FIG. 3. Handle wafer 50 includes dielectric layer 52 disposed over semiconductor substrate 54.
  • Referring to FIG. 25 as well as to FIG. 24, the bond between epitaxial wafer 8 and handle wafer 50 may be strengthened by an anneal at a relatively low temperature such as, e.g., 200-300° C. Epitaxial wafer 8 is separated from handle wafer 50 by inducing a split along cleave plane 20 with an anneal at, e.g., 300-700°C. After cleaving, a SSOI substrate 710 includes strained layer 18 disposed over first gate insulator 700, first gate electrode layer 702, insulator 52, and substrate 54. Residual portion 80 of relaxed layer 16 is disposed over strained layer 18. Relaxed layer portion 80 is selectively removed by, e.g., thermal oxidation and HF strip in the manner discussed above with reference to FIGS. 4 and 5.
  • Referring to FIG. 26, a second gate insulator layer 720 is formed over strained layer 18. Second gate insulator layer 720 may include SiO2 or a high-k dielectric like HfO2 or HfSiON, and may be grown or deposited. First gate insulator layer 720 may have a thickness T13 of, e.g., 10-100 Å. A second gate electrode layer 722 is formed over second gate insulator layer 720. Second gate electrode layer 722 may include a conductive material such as, for example, doped polycrystalline silicon, and may have a thickness T14 of, for example, 500-2000 Å.
  • Referring to FIG. 27 as well as to FIG. 26, second gate electrode layer 722 is patterned by photolithography and RIE to define a second gate electrode 730. A source 732 and a drain 734 are formed in strained layer 18 by, e.g., implanting dopants, such as n-type or p-type dopants, into strained layer 18. A spacer dielectric layer is deposited and etched back to define dielectric sidewall spacers 736 proximate second gate electrode 730.
  • Referring to FIG. 28, a conductive spacer layer 740 is deposited over strained layer 18, second gate electrode 730, and dielectric sidewall spacers 736. Conductive spacer layer 740 includes a conductive material, such as doped polycrystalline silicon or a metal. Conductive spacer layer 740 has a thickness T15 of, e.g., 500-2000 Å.
  • Referring to FIG. 29 as well as to FIG. 28, conductive spacer layer 740 is anisotropically etched to form conductive sidewall spacers 742, proximate dielectric sidewall spacers 736.
  • Referring to FIG. 30 as well as to FIG. 29, an RIE is performed to remove portions of strained layer 18, first gate insulator layer 700, and first gate electrode layer 702 not disposed directly below second gate electrode 730, dielectric sidewall spacers 736, and conductive sidewall spacers 742. After this RIE, a vertical structure 744 includes strained layer 18, first gate insulator layer 700, and first gate electrode layer 702 regions disposed under second gate electrode 730 and sidewall spacers 736, 742. Vertical structure 744 has a width W2 of, e.g., 1000-5000 Å
  • Referring to FIG. 31, an isotropic etch is performed to laterally shrink first gate electrode layer 702 region disposed under second gate electrode 730, thus defining first gate electrode 750. This isotropic etch may be a wet etch, such as hydrogen peroxide (in an embodiment in which first gate electrode layer 702 includes tungsten) or an isotropic dry etch. The width of first gate electrode layer 702 may be reduced such that both the first gate electrode 750 and the second gate electrode 730 have approximately the same width W3 that is less than W2, e.g., 100-2000 Å.
  • Referring to FIG. 32, a thick insulator layer 760 is deposited over insulator layer 52 and vertical structure 744, i.e., over second gate electrode 730 and conductive sidewall spacers 742, as well as proximate strained layer 18, first gate insulator layer 700, and first gate electrode 750. Thick insulator layer 760 has an initial thickness T16 over insulator 52 of, e.g., 5000 Å. Thick insulator layer 760 is then planarized by, e.g., CMP.
  • Referring to FIGS. 33-35, contact holes 770 are formed through thick insulator layer 760 to conductive sidewall spacers 742 and second gate electrode 730. Contact holes 770 may be defined by the use of photolithography and RIE. Contact holes 770 are filled with a conductive material such as, e.g., a metal such as titanium or tungsten. The conductive material is patterned by photolithography and etch to define contacts 780 to source 732, drain 734, first gate electrode 750 at a first gate electrode 793, and second gate electrode 730 at a second gate electrode 795. Double gate transistor 790 includes first gate electrode 750, second gate electrode 730, first gate insulator layer 700, second gate insulator layer 722, source 732, and drain 734.
  • Heterojunction Bipolar Transistor
  • Referring to FIG. 36 as well as to FIG. 6, a heterojunction bipolar transistor (HBT) may be formed on SSOI substrate 100, including strained layer 18, dielectric layer 52, and substrate 54. A collector 810 for the HBT is formed in a portion of strained layer 18 by the introduction of dopants into the strained layer 18 portion. Collector 810 includes a low-doped region 811 and a high-doped region 812. Low-doped region 811 is doped at a relatively low level, for example at 5×1016-1×1018 atoms/cm3, and has a thickness T20 of, for example, 100-1000 Å. High-doped region 812 is doped to a level not less than the doping level of low-doped region 811, preferably to a relatively high level of, e.g., 1×1019-1×1021 atoms/cm3. Low-doped region 811 and high-doped region 812 are doped with the same type of dopants, and both may be doped either n-type or p-type. In an embodiment, both regions are doped n-type. Collector 810 may be electrically isolated from other devices formed on the substrate through the use of, for example, trench isolation (not shown).
  • A total thickness T21 of collector 810 may be increased to improve performance by subsequent additional deposition of a material that is lattice matched to the original strained layer 18 portion. The additional material may be, for example, SiGe lattice-matched to strained layer 18.
  • Referring to FIG. 37, a masking layer is formed over collector 810. The masking layer may include a dielectric material, such as, e.g., SiO2 or Si3N4. Photoresist is disposed over the masking layer and patterned to expose an area of the masking layer. This area is removed by, e.g., wet etching or RIE, to define a mask 910 disposed over strained layer 18. Mask 910 exposes a region 920 of collector 810.
  • Referring to FIG. 38, a base 1010 is formed over region 920 of collector 810. Base 1010 may be formed selectively by, e.g., selective deposition of a semiconductor material only over region 920 defined by mask 910. The selective deposition can be done by CVD methods, such as by APCVD, LPCVD, UHVCVD, or by MBE. In an embodiment, base 1010 may be deposited non-selectively. The non-selectively grown material will thus also form on a top surface 1012 of mask 910, and may be removed by further photolithography and etch steps. Base 1010 has a thickness T22 of, e.g., of 50-1000 Å. In an embodiment, T22 may be, for example 300-500 Å. Base 1010 includes a semiconductor material like Si or SiGe. In some embodiments, base 1010 is relaxed or compressively strained. The in-plane lattice constant of collector 810 (strained layer 18) was defined by relaxed layer 16 (see FIG. 1A). Therefore, in order that base 1010 be relaxed, the Ge content of base 1010 should be equal to the Ge content of relaxed layer 16 (see FIG. 1A). Similarly, in order that base 1010 be compressively strained, the Ge content of base 1010 should be greater than the Ge content of relaxed layer 16. This difference in Ge content also provides a base 1010 with a bandgap no larger than that of collector 810, which can be advantageous to device operation. In other embodiments, base 1010 is tensilely strained. In order that base 1010 be tensilely strained, the Ge content of base 1010 should be less than the Ge content of relaxed layer 16 (see FIG. 1A). Alternatively, base 1010 may be formed from the same material as collector 810, for example strained Si. Base 1010 is doped the opposite doping type as the collector, i.e., base 1010 is p-type doped for an n-type doped collector. Base 1010 may be doped during the deposition process, but may also be doped after deposition by ion implantation. Base 1010 may be doped to a level of 1×1018-1×1019 atoms/cm3.
  • In some embodiments, the base doping may be significantly higher, e.g., ≧1020 atoms/cm3. In such embodiments, the outdiffusion of dopants from base 1010 may be deleterious to device performance, and therefore the p-type doping of base 1010 may be reduced within base 1010 in regions adjacent to an emitter 1110/base 1010 interface (see FIG. 39) and a base 1010/collector 810 interface 1014 . These regions with reduced doping may have thicknesses of, e.g., 10 Å-30 Å.
  • In an embodiment, base 1010 contains an element with a concentration of 1×1018-1×1020 atoms/cm3 that suppresses the diffusion of dopants out of base 1010 during subsequent high temperature processing steps. A suitable element for diffusion suppression may be, for example, carbon. In another embodiment, base 1010 may be formed of SiGe, with the Ge content of base 1010 being not uniform across the thickness of base 1010. In this case, the Ge content of base 1010 may be graded in concentration, with higher Ge content at base-collector interface 1014 and lower Ge content at a base upper surface 1016. In other embodiments, the Ge content of base 1010 can have a trapezoidal or triangular profile.
  • Referring to FIG. 39, an emitter 1110 is formed on base 1010. Emitter 1110 may be formed by the deposition of a semiconductor layer over base 1010 and mask 910. The semiconductor layer may be subsequently patterned by photolithographic and etch steps to define emitter 1110. Emitter 1110 may include a semiconductor material such as Si or SiGe, and may have a Ge content lower than the Ge content of base 1010. In an embodiment, emitter 1110 has a Ge content equal to that of relaxed layer 16 (see FIG. 1A) that originally defined the in-plane lattice constant of strained layer 18 (and hence collector 810). In another embodiment, the Ge content of emitter 1110 may be lower than that of relaxed layer 16, and, therefore, emitter 1110 is tensilely strained. In another embodiment, emitter 1110 may include the same material as strained layer 18/collector 810, such as, for example, strained Si.
  • Emitter 1110 has two regions: an upper emitter region 1111 and a lower emitter region 1112. Lower emitter region 1112 has a thickness T23 of 10-2000 Å and is doped with a same doping type as collector 810 (and hence the opposite doping type of base 1010). For example, lower emitter region 11 12 and collector 810 may be doped n-type and base 1010 may be doped p-type. Lower emitter region 112 may be doped at a concentration of 1×1017-5×1018 atoms/cm3, for example 1×1018 atoms/cm3. Upper emitter region 1111 has a thickness T24 of, for example, 100-4000 Å and is doped the same doping type as lower emitter region 1112. Upper emitter region 1111 may be doped at a concentration of 1×1019-1×1021 atoms/cm3, for example 1×1020-5×1020 atoms/cm3. An HBT 1200 includes collector 810, base 1010, and emitter 1110.
  • After formation of emitter 11 10, metal contacts (not shown) may be made to each of collector 810, base 1010, and emitter 1110. Mask 910 may be removed or further patterned during the formation of metal contacts. HBT 1200 may be a standalone device or may be interconnected to other devices fabricated on SSOI substrate 100, such as, for example, transistor 200 (see FIG. 8A), finFET 655 (see FIGS. 21A and 21B), or double-gate transistor 790 (see FIG. 33).
  • In an embodiment, HBT 1200 may be formed on SSOS substrate 420 (see FIG. 13) by the steps described above with reference to FIGS. 36-39. In another embodiment, HBT 1200 may be formed on relaxed portion 504 of strained layer 18 (see FIG. 14) by the steps described above with reference to FIGS. 36-39. In this embodiment, collector 810 is formed in relaxed portion 504.
  • In another embodiment, HBT 1200 may be formed on a region of SSOI substrate 100 (see FIG. 6) in which portions of strained layer 18 and dielectric layer 52 have been removed by the steps described with reference to FIGS. 36-39. In this embodiment, collector 810 is formed in substrate 54 and may be increased in thickness by deposition of another semiconductor layer as described above. This configuration enables the interconnection of HBT 1200 formed directly on semiconductor substrate 54 with devices formed on other portions of SSOI substrate 100, for example transistor 200 of FIG. 8A.
  • Formation of SSOI Substrate By Use of a Porous Semiconductor Substrate
  • Referring to FIGS. 40A-40E, SSOI structure 100 (see FIG. 6) may be formed by the use of a porous semiconductor substrate. Referring to FIG. 40A, substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe. A plurality of pores 1514, i.e., microvoids, are formed to define a porous layer 1516 in a portion of substrate 12. Pores 1514 may have a median diameter of 5-10 nm and a pitch of 10-50 nm. Porous layer 1516 may have a porosity of 10-50% and may extend a depth of d15 into substrate 12 of approximately 1-5 μm.
  • Referring to FIG. 40B, pores 1514 may be formed by, for example, submerging substrate 12 into a vessel 1517 containing an electrolyte 1518, such as hydrofluoric acid (HF), possibly mixed with ethanol, with a cathode 1520 and an anode 1522 disposed in the electrolyte 1518. A back surface chucking holder 1519 a with a vacuum pad 1519 b may hold substrate 12 while it is submerged in vessel 1517. A current may be generated between cathode 1520 and anode 1522, through substrate 12, resulting in the electrochemical etching of substrate 12, thereby forming pores 1514 at a top surface 1524 of substrate 12. In an embodiment, prior to the formation of pores 1514, substrate 12 may be planarized, e.g., by CMP.
  • Referring to FIG. 40C, after the formation of pores 1514, a plurality of layers 10 may be formed over porous top surface 1524 of substrate 12, as described with reference to FIG. 1A. Layers 10 may include, for example, graded buffer layer 14, relaxed layer 16, and strained layer 18. Pores 1514 define cleave plane 20 in porous layer 1516 of substrate 12.
  • Referring to FIG. 40D, substrate 12 with layers 10 is bonded to handle wafer 50, including semiconductor substrate 54 and dielectric layer 52, as described with reference to FIG. 3. Prior to bonding, a dielectric layer may be formed on a top surface of layers 10 to facilitate the bonding process and to serve as an insulator layer in the final substrate structure.
  • Referring to FIG. 40E as well as to FIG. 40D, a split is induced at cleave plane 20 by, for example, cleaving porous layer 1516 by a water or an air jet. The split results in the formation of two separate wafers 1570, 1572. One of these wafers (1572) has graded layer 14 and relaxed layer 16 (see FIG. 40 c) disposed over strained layer 18, with a first portion 1580 of substrate 12 disposed over graded layer 14. First portion 1580 of substrate 12 may be just trace amounts of material surrounding pores 1514. Strained layer 18 is in contact with dielectric layer 52 on semiconductor substrate 54. The other of these wafers (1570) includes a second portion 1582 of substrate 12, including the bulk of substrate 12 with perhaps trace amounts of material surrounding pores 1514.
  • Referring to FIG. 6 as well as to FIG. 40E, first portion 1580 of substrate 12 is removed from graded layer 14 by a wet chemical cleaning process utilizing, for example a mixture of hydrogen peroxide (H2O2) and HF. Graded layer 14 and relaxed layer 16 are removed in any one of the methods described for the removal of relaxed layer portion 80 with reference to FIGS. 4 and 5. Removal of graded and relaxed layers 14, 16 results in the formation of SSOI substrate 100.
  • Referring to FIG. 41A, SSOI substrate 100 (see FIG. 6) may also be formed by the use of porous intermediate layers. For example, plurality of layers 10 may be formed over substrate 12, layers 10 including graded layer 14, relaxed layer 16, and strained layer 18 (see FIG. 1A). Prior to the formation of strained layer 18, a plurality of pores 1614 may be formed in a top portion of relaxed layer 16, thereby defining a porous layer 1616 in a top portion 1617 of relaxed layer 16. Pores 1614 may be formed by the methods described above with reference to the formation of pores 1514 in FIG. 40B. Porous layer 1616 may have a thickness T16 of, e.g., 1-5 μm. Strained layer 18 may then be formed directly over porous layer 1616. Pores 1614 define cleave plane 20 in porous layer 1616.
  • Referring to FIG. 41B, in an alternative embodiment, after the formation of porous layer 1616 in a portion of relaxed layer 16, a second relaxed layer 1620 may be formed over relaxed layer 16 including porous layer 1616. Second relaxed layer 1620 may include the same material from which relaxed layer 16 is formed, e.g., uniform Si1-xGex having a Ge content of, for example, 10-80% (i.e., x=0.1-0.8) and having a thickness T17 of, e.g., 5-100 nm. In some embodiments, Si1-xGex may include Si0.70Ge0.30 and T17 may be approximately 50 nm. Second relaxed layer 1620 may be fully relaxed, as determined by triple axis X-ray diffraction, and may have a threading dislocation density of <1×106/cm2, as determined by etch pit density (EPD) analysis. Strained layer 18 may be formed over second relaxed layer 1620. Pores 1614 define cleave plane 20 in porous layer 1616.
  • Referring to FIG. 41C, substrate 12 with layers 10 is bonded to handle wafer 50, including semiconductor substrate 54 and dielectric layer 52, as described with reference to FIG. 3.
  • Referring to FIG. 41D as well as to FIG. 41C, a split is induced at cleave plane 20 by, for example, cleaving porous layer 1616 by a water or an airjet. The split results in the formation of two separate wafers 1670, 1672. One of these wafers (1670) has top portion 1617 of relaxed layer 16 (see FIG. 41A) disposed over strained layer 18. Strained layer 18 is in contact with dielectric layer 52 on semiconductor substrate 54. The other of these wafers (1672) includes the substrate 12, graded layer 14, and a bottom portion 1674 of relaxed layer 16.
  • Referring to FIG. 6 as well as to FIG. 41D, top portion 1617 of relaxed layer 16 is removed in any one of the methods described for the removal of relaxed layer portion 80 with reference to FIGS. 4 and 5. Removal of top portion 1617 of relaxed layer 16 results in the formation of SSOI substrate 100.
  • The bonding of strained silicon layer 18 to dielectric layer 52 has been experimentally demonstrated. For example, strained layer 18 having a thickness of 54 nanometers (nm) along with ˜350 nm of Si0.70Ge0.30 have been transferred by hydrogen exfoliation to Si handle wafer 50 having dielectric layer 52 formed from thermal SiO2 with a thickness of approximately 100 nm. The implant conditions were a dose of 4×1016 ions/cm3 of H2 + at 75 keV. The anneal procedure was 1 hour at 550° C. to split the SiGe layer, followed by a 1 hour, 800° C. strengthening anneal. The integrity of strained Si layer 18 and good bonding to dielectric layer 52 after layer transfer and anneal were confirmed with cross-sectional transmission electron microscopy (XTEM). An SSOI structure 100 was characterized by XTEM and analyzed via Raman spectroscopy to determine the strain level of the transferred strained Si layer 18. An XTEM image of the transferred intermediate SiGe/strained Si/SiO2 structure showed transfer of the 54 nm strained Si layer 18 and ˜350 nm of the Si0.70Ge0.30 relaxed layer 16. Strained Si layer 18 had a good integrity and bonded well to SiO 2 54 layer after the annealing process.
  • XTEM micrographs confirmed the complete removal of relaxed SiGe layer 16 after oxidation and HF etching. The final structure includes strained Si layer 18 having a thickness of 49 nm on dielectric layer 52 including SiO2 and having a thickness of 100 nm.
  • Raman spectroscopy data enabled a comparison of the bonded and cleaved structure before and after SiGe layer 16 removal. Based on peak positions the compostion of the relaxed SiGe layer and strain in the Si layer may be calculated. See, for example, J. C. Tsang, et al., J. Appl. Phys. 75 (12) p. 8098 (1994), incorporated herein by reference. The fabricated SSOI structure 100 had a clear strained Si peak visible at ˜511/cm. Thus, the SSOI structure 100 maintained greater than 1% tensile strain in the absence of the relaxed SiGe layer 16. In addition, the absence of Ge—Ge, Si—Ge, and Si—Si relaxed SiGe Raman peaks in the SSOI structure confirmed the complete removal of SiGe layer 16.
  • In addition, the thermal stability of the strained Si layer was evaluated after a 3 minute 1000° C. rapid thermal anneal (RTA) to simulate an aggregate thermal budget of a CMOS process. A Raman spectroscopy comparision was made of SSOI structure 100 as processed and after the RTA step. A scan of the as-bonded and cleaved sample prior to SiGe layer removal was used for comparision. Throughout the SSOI structure 100 fabrication processs and subsequent anneal, the strained Si peak was visible and the peak position did not shift. Thus, the strain in SSOI structure 100 was stable and was not diminished by thermal processing. Furthermore, bubbles or flaking of the strained Si surface 18 were not observed by Nomarski optical microscopy after the RTA, indicating good mechanical stability of SSOI structure 100.
  • The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein.

Claims (12)

1-58. (canceled)
59. A method for forming a structure, the method comprising:
providing a substrate having a strained semiconductor layer disposed over a substrate dielectric layer;
forming a transistor in the strained layer by
forming a gate dielectric layer above a portion of the strained semiconductor layer,
forming a gate contact above the gate dielectric layer, and
forming a source region and a drain region in a portion of the strained semiconductor layer, proximate the gate dielectric layer;
removing a portion of the strained layer and the substrate dielectric layer to expose a portion of the substrate;
defining a collector in the exposed portion of the substrate;
forming a base over the collector; and
forming an emitter over the base.
60. The method of claim 59, wherein the strained semiconductor layer is tensilely strained.
61. The method of claim 60, wherein the strained semiconductor layer comprises tensilely strained silicon.
62. The method of claim 59, wherein the strained semiconductor layer is compressively strained.
63. The method of claim 62, wherein the strained semiconductor layer comprises compressively strained germanium.
64. The method of claim 59, further comprising defining a bipolar transistor, the bipolar transistor comprising the collector, the base, and the emitter.
65. The method of claim 64, further comprising interconnecting the bipolar transistor and the transistor.
66. The method of claim 59, wherein the base is disposed in contact with the collector and over the exposed portion of the substrate.
67. The method of claim 59, wherein the strained semiconductor layer is in contact with the substrate dielectric layer.
68. The method of claim 59, wherein the strained semiconductor layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
69. The method of claim 67, wherein the strained semiconductor layer comprises at least one of gallium arsenide, indium phosphide, and zinc selenide.
US11/416,423 2002-06-07 2006-05-02 Methods for forming structures including strained-semiconductor-on-insulator devices Abandoned US20060197126A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/416,423 US20060197126A1 (en) 2002-06-07 2006-05-02 Methods for forming structures including strained-semiconductor-on-insulator devices

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US38696802P 2002-06-07 2002-06-07
US40405802P 2002-08-15 2002-08-15
US41600002P 2002-10-04 2002-10-04
US10/456,708 US7074623B2 (en) 2002-06-07 2003-06-06 Methods of forming strained-semiconductor-on-insulator finFET device structures
US11/416,423 US20060197126A1 (en) 2002-06-07 2006-05-02 Methods for forming structures including strained-semiconductor-on-insulator devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/456,708 Continuation US7074623B2 (en) 2002-06-07 2003-06-06 Methods of forming strained-semiconductor-on-insulator finFET device structures

Publications (1)

Publication Number Publication Date
US20060197126A1 true US20060197126A1 (en) 2006-09-07

Family

ID=31721737

Family Applications (7)

Application Number Title Priority Date Filing Date
US10/456,708 Expired - Lifetime US7074623B2 (en) 2002-06-07 2003-06-06 Methods of forming strained-semiconductor-on-insulator finFET device structures
US11/211,933 Expired - Lifetime US7109516B2 (en) 2002-06-07 2005-08-25 Strained-semiconductor-on-insulator finFET device structures
US11/412,276 Abandoned US20060186510A1 (en) 2002-06-07 2006-04-27 Strained-semiconductor-on-insulator bipolar device structures
US11/415,556 Abandoned US20060197123A1 (en) 2002-06-07 2006-05-01 Methods for forming strained-semiconductor-on-insulator bipolar device structures
US11/415,706 Abandoned US20060197124A1 (en) 2002-06-07 2006-05-01 Double gate strained-semiconductor-on-insulator device structures
US11/416,423 Abandoned US20060197126A1 (en) 2002-06-07 2006-05-02 Methods for forming structures including strained-semiconductor-on-insulator devices
US11/415,784 Abandoned US20060197125A1 (en) 2002-06-07 2006-05-02 Methods for forming double gate strained-semiconductor-on-insulator device structures

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US10/456,708 Expired - Lifetime US7074623B2 (en) 2002-06-07 2003-06-06 Methods of forming strained-semiconductor-on-insulator finFET device structures
US11/211,933 Expired - Lifetime US7109516B2 (en) 2002-06-07 2005-08-25 Strained-semiconductor-on-insulator finFET device structures
US11/412,276 Abandoned US20060186510A1 (en) 2002-06-07 2006-04-27 Strained-semiconductor-on-insulator bipolar device structures
US11/415,556 Abandoned US20060197123A1 (en) 2002-06-07 2006-05-01 Methods for forming strained-semiconductor-on-insulator bipolar device structures
US11/415,706 Abandoned US20060197124A1 (en) 2002-06-07 2006-05-01 Double gate strained-semiconductor-on-insulator device structures

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/415,784 Abandoned US20060197125A1 (en) 2002-06-07 2006-05-02 Methods for forming double gate strained-semiconductor-on-insulator device structures

Country Status (1)

Country Link
US (7) US7074623B2 (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050156255A1 (en) * 2004-01-21 2005-07-21 Taiwan Semiconductor Manufacturing Co. Noble high-k device
US20060197124A1 (en) * 2002-06-07 2006-09-07 Amberwave Systems Corporation Double gate strained-semiconductor-on-insulator device structures
US20060273068A1 (en) * 2004-03-30 2006-12-07 Commissariat A L'energie Atomique (Cea) Methods for preparing a bonding surface of a semiconductor wafer
US20060284252A1 (en) * 2005-06-15 2006-12-21 Alice Boussagol Process for holding strain in an island etched in a strained thin layer and structure obtained by implementation of this process
US20070111474A1 (en) * 2005-11-16 2007-05-17 Cecile Delattre Treating a SiGe layer for selective etching
US20070190702A1 (en) * 2003-01-31 2007-08-16 Taiwan Semiconductor Manufacturing Company Strained silicon-on-insulator transistors with mesa isolation
US20080254635A1 (en) * 2005-09-30 2008-10-16 Hubert Benzel Method for Accelerated Etching of Silicon
US20090085114A1 (en) * 2007-09-28 2009-04-02 Muhammad Nawaz Semiconductor Structure
US20100190000A1 (en) * 2008-01-21 2010-07-29 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating a composite structure with a stable bonding layer of oxide
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7838392B2 (en) 2002-06-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming III-V semiconductor device structures
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8384196B2 (en) 2008-09-19 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US8624103B2 (en) 2007-04-09 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8629446B2 (en) 2009-04-02 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US20140151802A1 (en) * 2012-11-30 2014-06-05 International Business Machines Corporation Semiconductor Device Having SSOI Substrate
US8748292B2 (en) 2002-06-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming strained-semiconductor-on-insulator device structures
US8822248B2 (en) 2008-06-03 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US10163627B2 (en) * 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US10667581B2 (en) 2011-11-18 2020-06-02 Nike, Inc. Automated identification and assembly of shoe parts
US11341291B2 (en) 2011-11-18 2022-05-24 Nike, Inc. Generation of tool paths for shoe assembly
US11346654B2 (en) 2011-11-18 2022-05-31 Nike, Inc. Automated 3-D modeling of shoe parts
US11422526B2 (en) 2011-11-18 2022-08-23 Nike, Inc. Automated manufacturing of shoe parts

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
EP1309989B1 (en) * 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002082514A1 (en) * 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
WO2002101833A1 (en) * 2001-06-07 2002-12-19 Amberwave Systems Corporation Multiple gate insulators with strained semiconductor heterostructures
WO2003079415A2 (en) * 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
WO2003105206A1 (en) * 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US20040115916A1 (en) * 2002-07-29 2004-06-17 Amberwave Systems Corporation Selective placement of dislocation arrays
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6936528B2 (en) * 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
US6991972B2 (en) * 2002-10-22 2006-01-31 Amberwave Systems Corporation Gate material for semiconductor device fabrication
WO2004102635A2 (en) * 2002-10-30 2004-11-25 Amberwave Systems Corporation Methods for preserving strained semiconductor layers during oxide layer formation
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
WO2004068556A2 (en) * 2003-01-27 2004-08-12 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
JP4585510B2 (en) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 Shallow trench isolation process
JP2004281631A (en) * 2003-03-14 2004-10-07 Renesas Technology Corp Design method of semiconductor device
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6967143B2 (en) * 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
US7192876B2 (en) 2003-05-22 2007-03-20 Freescale Semiconductor, Inc. Transistor with independent gate structures
US7812340B2 (en) * 2003-06-13 2010-10-12 International Business Machines Corporation Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6992354B2 (en) * 2003-06-25 2006-01-31 International Business Machines Corporation FinFET having suppressed parasitic device characteristics
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
KR100487566B1 (en) * 2003-07-23 2005-05-03 삼성전자주식회사 Fin field effect transistors and methods of formiing the same
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7259084B2 (en) * 2003-07-28 2007-08-21 National Chiao-Tung University Growth of GaAs epitaxial layers on Si substrate by using a novel GeSi buffer layer
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
KR100555518B1 (en) * 2003-09-16 2006-03-03 삼성전자주식회사 Double gate field effect transistor and manufacturing method for the same
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7138302B2 (en) * 2004-01-12 2006-11-21 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit channel region
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US20050248003A1 (en) * 2004-02-17 2005-11-10 Leonid Tsybeskov One dimensional nanostructures for vertical heterointegration on a silicon platform and method for making same
US7067394B2 (en) * 2004-02-25 2006-06-27 Intel Corporation Manufacturing of monolithically integrated pin structures
WO2005093807A1 (en) * 2004-03-01 2005-10-06 S.O.I.Tec Silicon On Insulator Technologies Oxidation process of a sige layer and applications thereof
US20060014363A1 (en) * 2004-03-05 2006-01-19 Nicolas Daval Thermal treatment of a semiconductor layer
FR2867310B1 (en) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator TECHNIQUE FOR IMPROVING THE QUALITY OF A THIN LAYER TAKEN
US7282449B2 (en) * 2004-03-05 2007-10-16 S.O.I.Tec Silicon On Insulator Technologies Thermal treatment of a semiconductor layer
FR2867307B1 (en) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator HEAT TREATMENT AFTER SMART-CUT DETACHMENT
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
WO2005112129A1 (en) * 2004-05-13 2005-11-24 Fujitsu Limited Semiconductor device and process for fabricating same, and process for producing semiconductor substrate
US7579280B2 (en) * 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
DE102004027691B4 (en) * 2004-06-07 2008-04-30 Infineon Technologies Ag Method for producing a web made of a semiconductor material
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7302264B2 (en) * 2004-06-11 2007-11-27 Samsung Electronics Co., Ltd. System and method for fast network re-entry in a broadband wireless access communication system
US7141476B2 (en) * 2004-06-18 2006-11-28 Freescale Semiconductor, Inc. Method of forming a transistor with a bottom gate
JP2006012898A (en) * 2004-06-22 2006-01-12 Toshiba Corp Semiconductor device and its manufacturing method
US7244958B2 (en) * 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US6949768B1 (en) * 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US7364953B2 (en) * 2004-10-22 2008-04-29 Freescale Semiconductor, Inc. Manufacturing method to construct semiconductor-on-insulator with conductor layer sandwiched between buried dielectric layer and semiconductor layers
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
DE102004057764B4 (en) * 2004-11-30 2013-05-16 Advanced Micro Devices, Inc. A method of fabricating a substrate having crystalline semiconductor regions having different properties disposed over a crystalline bulk substrate and semiconductor device fabricated therewith
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7919391B2 (en) * 2004-12-24 2011-04-05 S.O.I.Tec Silicon On Insulator Technologies Methods for preparing a bonding surface of a semiconductor wafer
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060197129A1 (en) * 2005-03-03 2006-09-07 Triquint Semiconductor, Inc. Buried and bulk channel finFET and method of making the same
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7262484B2 (en) * 2005-05-09 2007-08-28 International Business Machines Corporation Structure and method for performance improvement in vertical bipolar transistors
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7341915B2 (en) * 2005-05-31 2008-03-11 Freescale Semiconductor, Inc. Method of making planar double gate silicon-on-insulator structures
US7387946B2 (en) * 2005-06-07 2008-06-17 Freescale Semiconductor, Inc. Method of fabricating a substrate for a planar, double-gated, transistor process
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
FR2887370B1 (en) * 2005-06-17 2007-12-28 Commissariat Energie Atomique METHOD FOR MANUFACTURING ISOLATED TRANSISTOR WITH CONSTRAINED CHANNEL
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US20070054460A1 (en) * 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
KR100637692B1 (en) * 2005-06-27 2006-10-25 주식회사 하이닉스반도체 Semiconductor device and method for fabrication of the same
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7709313B2 (en) * 2005-07-19 2010-05-04 International Business Machines Corporation High performance capacitors in planar back gates CMOS
JP5481067B2 (en) * 2005-07-26 2014-04-23 台湾積體電路製造股▲ふん▼有限公司 Solutions for the integration of alternative active area materials into integrated circuits
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US20070117350A1 (en) * 2005-08-03 2007-05-24 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) with layer transfer from oxidized donor
US7230287B2 (en) * 2005-08-10 2007-06-12 International Business Machines Corporation Chevron CMOS trigate structure
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
KR20080036209A (en) * 2005-08-26 2008-04-25 엠이엠씨 일렉트로닉 머티리얼즈, 인크. Method for the manufacture of a strained silicon-on-insulator structure
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
US20070069302A1 (en) * 2005-09-28 2007-03-29 Been-Yih Jin Method of fabricating CMOS devices having a single work function gate electrode by band gap engineering and article made thereby
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
FR2891281B1 (en) * 2005-09-28 2007-12-28 Commissariat Energie Atomique METHOD FOR MANUFACTURING A THIN FILM ELEMENT
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7638410B2 (en) * 2005-10-03 2009-12-29 Los Alamos National Security, Llc Method of transferring strained semiconductor structure
CN1945852A (en) * 2005-10-06 2007-04-11 松下电器产业株式会社 Semiconductor device and method for fabricating the same
US7615806B2 (en) * 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US20070102834A1 (en) * 2005-11-07 2007-05-10 Enicks Darwin G Strain-compensated metastable compound base heterojunction bipolar transistor
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
JP2007158148A (en) * 2005-12-07 2007-06-21 Oki Electric Ind Co Ltd Semiconductor device, and method of manufacturing same
DE102005059231B4 (en) * 2005-12-12 2011-01-13 Infineon Technologies Ag A method of fabricating a compound semiconductor field effect transistor having a fin structure and compound semiconductor field effect transistor having a fin structure
US7679125B2 (en) 2005-12-14 2010-03-16 Freescale Semiconductor, Inc. Back-gated semiconductor device with a storage layer and methods for forming thereof
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7282772B2 (en) * 2006-01-11 2007-10-16 International Business Machines Corporation Low-capacitance contact for long gate-length devices with small contacted pitch
FR2896619B1 (en) * 2006-01-23 2008-05-23 Soitec Silicon On Insulator PROCESS FOR MANUFACTURING A COMPOSITE SUBSTRATE WITH IMPROVED ELECTRIC PROPERTIES
FR2896618B1 (en) * 2006-01-23 2008-05-23 Soitec Silicon On Insulator PROCESS FOR PRODUCING A COMPOSITE SUBSTRATE
US7563681B2 (en) * 2006-01-27 2009-07-21 Freescale Semiconductor, Inc. Double-gated non-volatile memory and methods for forming thereof
US7544980B2 (en) * 2006-01-27 2009-06-09 Freescale Semiconductor, Inc. Split gate memory cell in a FinFET
EP1835533B1 (en) * 2006-03-14 2020-06-03 Soitec Method for manufacturing compound material wafers and method for recycling a used donor substrate
US7456055B2 (en) 2006-03-15 2008-11-25 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor fins
US7419866B2 (en) * 2006-03-15 2008-09-02 Freescale Semiconductor, Inc. Process of forming an electronic device including a semiconductor island over an insulating layer
US7449373B2 (en) * 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US20070262295A1 (en) * 2006-05-11 2007-11-15 Atmel Corporation A method for manipulation of oxygen within semiconductor materials
FR2902234B1 (en) * 2006-06-12 2008-10-10 Commissariat Energie Atomique METHOD FOR MAKING Si1-yGey ZONES OF DIFFERENT Ge CONTENTS ON THE SAME SUBSTRATE BY GERMANIUM CONDENSATION
US7678648B2 (en) 2006-07-14 2010-03-16 Micron Technology, Inc. Subresolution silicon features and methods for forming the same
US8143646B2 (en) * 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
KR100801315B1 (en) * 2006-09-29 2008-02-05 주식회사 하이닉스반도체 Method of fabricating semiconductor device with the finfet transistor
US7495250B2 (en) * 2006-10-26 2009-02-24 Atmel Corporation Integrated circuit structures having a boron- and carbon-doped etch-stop and methods, devices and systems related thereto
US7569913B2 (en) * 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US8354718B2 (en) * 2007-05-22 2013-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including an arrangement for suppressing short channel effects
US7939862B2 (en) * 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US20090001470A1 (en) * 2007-06-26 2009-01-01 Anderson Brent A Method for forming acute-angle spacer for non-orthogonal finfet and the resulting structure
DE102007033449A1 (en) * 2007-07-18 2009-01-29 Siltronic Ag Semiconductor wafer for use as donor wafer, has layer structure that stands under course or compression stress and another layer structure compensates tension with compression stress or tensile stress
KR101019984B1 (en) * 2007-08-10 2011-03-09 주식회사 하이닉스반도체 Phase-Change Memory Device and Fabrication Method Thereof
US8877576B2 (en) * 2007-08-23 2014-11-04 Infineon Technologies Ag Integrated circuit including a first channel and a second channel
US7767560B2 (en) * 2007-09-29 2010-08-03 Intel Corporation Three dimensional strained quantum wells and three dimensional strained surface channels by Ge confinement method
US20100003828A1 (en) * 2007-11-28 2010-01-07 Guowen Ding Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas
EP2070533B1 (en) * 2007-12-11 2014-05-07 Apoteknos Para La Piel, s.l. Use of a compound derived from P-hydroxyphenyl propionic acid for the treatment of psoriasis
US7964487B2 (en) * 2008-06-04 2011-06-21 International Business Machines Corporation Carrier mobility enhanced channel devices and method of manufacture
US7902000B2 (en) * 2008-06-04 2011-03-08 International Business Machines Corporation MugFET with stub source and drain regions
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
WO2010003928A2 (en) * 2008-07-06 2010-01-14 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for doping semiconductor structures and the semiconductor device thereof
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8900936B2 (en) 2011-01-31 2014-12-02 International Business Machines Corporation FinFET device having reduce capacitance, access resistance, and contact resistance
US8697522B2 (en) 2011-07-05 2014-04-15 International Business Machines Corporation Bulk finFET with uniform height and bottom isolation
US8847224B2 (en) * 2011-09-27 2014-09-30 Broadcom Corporation Fin-based bipolar junction transistor and method for fabrication
US8729637B2 (en) 2011-10-05 2014-05-20 International Business Machines Corporation Work function adjustment by carbon implant in semiconductor devices including gate structure
FR2987166B1 (en) * 2012-02-16 2017-05-12 Soitec Silicon On Insulator METHOD FOR TRANSFERRING A LAYER
US9219129B2 (en) 2012-05-10 2015-12-22 International Business Machines Corporation Inverted thin channel mosfet with self-aligned expanded source/drain
US20130307122A1 (en) * 2012-05-16 2013-11-21 Tsinghua University Bipolar transistor with embedded epitaxial external base region and method of forming the same
US8815739B2 (en) * 2012-07-10 2014-08-26 Globalfoundries Inc. FinFET device with a graphene gate electrode and methods of forming same
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
CN103943547B (en) * 2013-01-23 2017-02-08 中国科学院上海微系统与信息技术研究所 Enhanced absorption based method for preparing material-on-insulator
US8823060B1 (en) * 2013-02-20 2014-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inducing strain in FinFET channels
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US20140264607A1 (en) 2013-03-13 2014-09-18 International Business Machines Corporation Iii-v finfets on silicon substrate
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US9349850B2 (en) 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
US9590104B2 (en) 2013-10-25 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate device over strained fin structure
JP6454716B2 (en) 2014-01-23 2019-01-16 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited High resistivity SOI wafer and manufacturing method thereof
US10444078B2 (en) * 2014-02-15 2019-10-15 Technion Research And Development Foundation Ltd. Sensing device having a BiCMOS transistor and a method for sensing electromagnetic radiation
US9196479B1 (en) * 2014-07-03 2015-11-24 International Business Machines Corporation Method of co-integration of strained silicon and strained germanium in semiconductor devices including fin structures
US9899499B2 (en) 2014-09-04 2018-02-20 Sunedison Semiconductor Limited (Uen201334164H) High resistivity silicon-on-insulator wafer manufacturing method for reducing substrate loss
US9853133B2 (en) * 2014-09-04 2017-12-26 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity silicon-on-insulator substrate
US10224233B2 (en) 2014-11-18 2019-03-05 Globalwafers Co., Ltd. High resistivity silicon-on-insulator substrate comprising a charge trapping layer formed by He-N2 co-implantation
US10381260B2 (en) 2014-11-18 2019-08-13 GlobalWafers Co., Inc. Method of manufacturing high resistivity semiconductor-on-insulator wafers with charge trapping layers
WO2016081356A1 (en) 2014-11-18 2016-05-26 Sunedison Semiconductor Limited High resistivity semiconductor-on-insulator wafer and a method of manufacturing
US10283402B2 (en) 2015-03-03 2019-05-07 Globalwafers Co., Ltd. Method of depositing charge trapping polycrystalline silicon films on silicon substrates with controllable film stress
US9881832B2 (en) 2015-03-17 2018-01-30 Sunedison Semiconductor Limited (Uen201334164H) Handle substrate for use in manufacture of semiconductor-on-insulator structure and method of manufacturing thereof
US10290533B2 (en) * 2015-03-17 2019-05-14 Globalwafers Co., Ltd. Thermally stable charge trapping layer for use in manufacture of semiconductor-on-insulator structures
US10304722B2 (en) 2015-06-01 2019-05-28 Globalwafers Co., Ltd. Method of manufacturing semiconductor-on-insulator
JP6533309B2 (en) 2015-06-01 2019-06-19 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited Method of manufacturing multilayer structure
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
WO2017065692A1 (en) * 2015-10-13 2017-04-20 Nanyang Technological University Method of manufacturing a germanium-on-insulator substrate
US10529616B2 (en) 2015-11-20 2020-01-07 Globalwafers Co., Ltd. Manufacturing method of smoothing a semiconductor surface
US9502420B1 (en) 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
US9831115B2 (en) 2016-02-19 2017-11-28 Sunedison Semiconductor Limited (Uen201334164H) Process flow for manufacturing semiconductor on insulator structures in parallel
US10622247B2 (en) 2016-02-19 2020-04-14 Globalwafers Co., Ltd. Semiconductor on insulator structure comprising a buried high resistivity layer
WO2017142704A1 (en) 2016-02-19 2017-08-24 Sunedison Semiconductor Limited High resistivity silicon-on-insulator substrate comprising a charge trapping layer formed on a substrate with a rough surface
WO2017155808A1 (en) 2016-03-07 2017-09-14 Sunedison Semiconductor Limited Semiconductor on insulator structure comprising a plasma nitride layer and method of manufacture thereof
WO2017155804A1 (en) 2016-03-07 2017-09-14 Sunedison Semiconductor Limited Method of manufacturing a semiconductor on insulator structure by a pressurized bond treatment
WO2017155806A1 (en) 2016-03-07 2017-09-14 Sunedison Semiconductor Limited Semiconductor on insulator structure comprising a plasma oxide layer and method of manufacture thereof
SG11201806851RA (en) 2016-03-07 2018-09-27 Globalwafers Co Ltd Semiconductor on insulator structure comprising a low temperature flowable oxide layer and method of manufacture thereof
US9882000B2 (en) 2016-05-24 2018-01-30 Northrop Grumman Systems Corporation Wrap around gate field effect transistor (WAGFET)
SG11201810486VA (en) 2016-06-08 2018-12-28 Globalwafers Co Ltd High resistivity single crystal silicon ingot and wafer having improved mechanical strength
US10269617B2 (en) 2016-06-22 2019-04-23 Globalwafers Co., Ltd. High resistivity silicon-on-insulator substrate comprising an isolation region
US9748382B1 (en) 2016-10-24 2017-08-29 International Business Machines Corporation Self aligned top extension formation for vertical transistors
EP3792965B1 (en) 2016-10-26 2022-05-11 GlobalWafers Co., Ltd. High resistivity silicon-on-insulator substrate having enhanced charge trapping efficiency
CN110352484B (en) 2016-12-05 2022-12-06 环球晶圆股份有限公司 High resistivity silicon-on-insulator structure and method of making same
KR102453743B1 (en) 2016-12-28 2022-10-11 썬에디슨 세미컨덕터 리미티드 Method of treating silicon wafers to have intrinsic gettering and gate oxide integrity yield
WO2019013904A1 (en) 2017-07-14 2019-01-17 Globalwafers Co., Ltd. Method of manufacture of a semiconductor on insulator structure
US10205018B1 (en) 2017-08-14 2019-02-12 Qualcomm Incorporated Planar double gate semiconductor device
US10115800B1 (en) 2017-11-29 2018-10-30 International Business Machines Corporation Vertical fin bipolar junction transistor with high germanium content silicon germanium base
WO2019182597A1 (en) * 2018-03-22 2019-09-26 Intel Corporation Thin film transistors having double gates
JP7160943B2 (en) 2018-04-27 2022-10-25 グローバルウェーハズ カンパニー リミテッド Photo-assisted platelet formation to facilitate layer transfer from semiconductor donor substrates
JP7123182B2 (en) 2018-06-08 2022-08-22 グローバルウェーハズ カンパニー リミテッド Silicon foil layer transfer method
US10741641B2 (en) 2018-06-20 2020-08-11 International Business Machines Corporation Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices
US10658474B2 (en) * 2018-08-14 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming thin semiconductor-on-insulator (SOI) substrates
US11355340B2 (en) * 2019-07-19 2022-06-07 Iqe Plc Semiconductor material having tunable permittivity and tunable thermal conductivity
US20210233764A1 (en) * 2020-01-28 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Forming Thereof
US11257932B2 (en) * 2020-01-30 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US4999697A (en) * 1988-09-14 1991-03-12 At&T Bell Laboratories Sequential-quenching resonant-tunneling transistor
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5607876A (en) * 1991-10-28 1997-03-04 Xerox Corporation Fabrication of quantum confinement semiconductor light-emitting devices
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5630905A (en) * 1995-02-06 1997-05-20 The Regents Of The University Of California Method of fabricating quantum bridges by selective etching of superlattice structures
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5863830A (en) * 1994-09-22 1999-01-26 Commissariat A L'energie Atomique Process for the production of a structure having a thin semiconductor film on a substrate
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5906708A (en) * 1994-11-10 1999-05-25 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions in selective etch processes
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6020252A (en) * 1996-05-15 2000-02-01 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6184111B1 (en) * 1998-06-23 2001-02-06 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6190998B1 (en) * 1996-05-15 2001-02-20 Commissariat A L'energie Atomique Method for achieving a thin film of solid material and applications of this method
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6344417B1 (en) * 2000-02-18 2002-02-05 Silicon Wafer Technologies Method for micro-mechanical structures
US6346459B1 (en) * 1999-02-05 2002-02-12 Silicon Wafer Technologies, Inc. Process for lift off and transfer of semiconductor devices onto an alien substrate
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6350311B1 (en) * 1999-06-17 2002-02-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an epitaxial silicon-germanium layer
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6355493B1 (en) * 1999-07-07 2002-03-12 Silicon Wafer Technologies Inc. Method for forming IC's comprising a highly-resistive or semi-insulating semiconductor substrate having a thin, low resistance active semiconductor layer thereon
US20020038898A1 (en) * 2000-09-29 2002-04-04 Kabushiki Kaisha Toshiba Semiconductor device and method of producing the same
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6368938B1 (en) * 1999-10-05 2002-04-09 Silicon Wafer Technologies, Inc. Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate
US6372609B1 (en) * 1998-10-16 2002-04-16 Shin-Etsu Handotai Co., Ltd. Method of Fabricating SOI wafer by hydrogen ION delamination method and SOI wafer fabricated by the method
US6372593B1 (en) * 1999-07-19 2002-04-16 Mitsubishi Denki Kabushika Kaisha Method of manufacturing SOI substrate and semiconductor device
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US20020043660A1 (en) * 2000-06-27 2002-04-18 Shunpei Yamazaki Semiconductor device and fabrication method therefor
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US20030013305A1 (en) * 2001-07-12 2003-01-16 Hitachi, Ltd. Method of producing semiconductor device and semiconductor substrate
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US6534381B2 (en) * 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
US6538437B2 (en) * 2000-07-11 2003-03-25 Integrated Magnetoelectronics Corporation Low power magnetic anomaly sensor
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6548364B2 (en) * 2001-03-29 2003-04-15 Sharp Laboratories Of America, Inc. Self-aligned SiGe HBT BiCMOS on SOI substrate and method of fabricating the same
US20030077867A1 (en) * 2001-03-02 2003-04-24 Fitzergald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20040005740A1 (en) * 2002-06-07 2004-01-08 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040007724A1 (en) * 2002-07-12 2004-01-15 Anand Murthy Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US20040007715A1 (en) * 2002-07-09 2004-01-15 Webb Douglas A. Heterojunction field effect transistors using silicon-germanium and silicon-carbon alloys
US20040009649A1 (en) * 2002-07-12 2004-01-15 Kub Francis J. Wafer bonding of thinned electronic materials and circuits to high performance substrates
US20040014276A1 (en) * 2002-07-16 2004-01-22 Murthy Anand S. Method of making a semiconductor transistor
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
US20040014304A1 (en) * 2002-07-18 2004-01-22 Micron Technology, Inc. Stable PD-SOI devices and methods
US20040012075A1 (en) * 2002-07-16 2004-01-22 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US20040018699A1 (en) * 2002-07-24 2004-01-29 International Business Machines Corporation SOI wafers with 30-100 A buried oxide (box) created by wafer bonding using 30-100 A thin oxide as bonding layer
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040031990A1 (en) * 2002-08-16 2004-02-19 Been-Yih Jin Semiconductor on insulator apparatus and method
US20040041174A1 (en) * 2002-09-02 2004-03-04 Masao Okihara Strained SOI MOSFET device and method of fabricating same
US20040041210A1 (en) * 2002-04-05 2004-03-04 Chandra Mouli Semiconductor-on-insulator constructions
US20040048091A1 (en) * 2002-09-11 2004-03-11 Nobuhiko Sato Substrate and manufacturing method therefor
US20040048454A1 (en) * 2002-09-10 2004-03-11 Kiyofumi Sakaguchi Substrate and manufacturing method therefor
US20040053477A1 (en) * 2002-07-09 2004-03-18 S.O.I. Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US20040051140A1 (en) * 2002-09-12 2004-03-18 Arup Bhattacharyya Semiconductor-on-insulator thin film transistor constructions, and methods of making semiconductor-on-insulator thin film transistor constructions
US20040061191A1 (en) * 2002-09-30 2004-04-01 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US20040070035A1 (en) * 2001-11-01 2004-04-15 Anand Murthy Semiconductor transistor having a stressed channel
US20050009288A1 (en) * 2000-08-16 2005-01-13 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US665498A (en) * 1900-03-01 1901-01-08 Charles Harrison Wright Exhibitor.
JPS5317069A (en) * 1976-07-30 1978-02-16 Fujitsu Ltd Semiconductor device and its production
US4329706A (en) * 1979-03-01 1982-05-11 International Business Machines Corporation Doped polysilicon silicide semiconductor integrated circuit interconnections
US4370510A (en) * 1980-09-26 1983-01-25 California Institute Of Technology Gallium arsenide single crystal solar cell structure and method of making
JPH0656887B2 (en) 1982-02-03 1994-07-27 株式会社日立製作所 Semiconductor device and manufacturing method thereof
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
FR2563377B1 (en) 1984-04-19 1987-01-23 Commissariat Energie Atomique METHOD FOR MANUFACTURING AN INSULATING LAYER BURIED IN A SEMICONDUCTOR SUBSTRATE, BY ION IMPLANTATION
US4833513A (en) * 1985-01-20 1989-05-23 Tdk Corporation MOS FET semiconductor device having a cell pattern arrangement for optimizing channel width
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US4851078A (en) * 1987-06-29 1989-07-25 Harris Corporation Dielectric isolation process using double wafer bonding
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH0691249B2 (en) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Modulation-doped MISFET and manufacturing method thereof
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
EP1179842A3 (en) 1992-01-31 2002-09-04 Canon Kabushiki Kaisha Semiconductor substrate and method for preparing same
JP3416163B2 (en) * 1992-01-31 2003-06-16 キヤノン株式会社 Semiconductor substrate and manufacturing method thereof
JP3191972B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Method for manufacturing semiconductor substrate and semiconductor substrate
US5426069A (en) 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JPH06140624A (en) 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The Schottky junction element
US5523243A (en) 1992-12-21 1996-06-04 International Business Machines Corporation Method of fabricating a triple heterojunction bipolar transistor
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (en) 1993-09-20 1995-04-07 Fujitsu Ltd Manufacture of compound semiconductor crystal substrate
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (en) * 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5705405A (en) * 1994-09-30 1998-01-06 Sgs-Thomson Microelectronics, Inc. Method of making the film transistor with all-around gate electrode
IT1268123B1 (en) * 1994-10-13 1997-02-20 Sgs Thomson Microelectronics SLICE OF SEMICONDUCTOR MATERIAL FOR THE MANUFACTURE OF INTEGRATED DEVICES AND PROCEDURE FOR ITS MANUFACTURING.
JP3265493B2 (en) * 1994-11-24 2002-03-11 ソニー株式会社 Method for manufacturing SOI substrate
US5548128A (en) 1994-12-14 1996-08-20 The United States Of America As Represented By The Secretary Of The Air Force Direct-gap germanium-tin multiple-quantum-well electro-optical devices on silicon or germanium substrates
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
FR2744285B1 (en) 1996-01-25 1998-03-06 Commissariat Energie Atomique METHOD FOR TRANSFERRING A THIN FILM FROM AN INITIAL SUBSTRATE TO A FINAL SUBSTRATE
FR2747506B1 (en) 1996-04-11 1998-05-15 Commissariat Energie Atomique PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
JPH1041400A (en) 1996-07-26 1998-02-13 Sony Corp Semiconductor device and manufacture thereof
JP3320641B2 (en) 1996-09-13 2002-09-03 株式会社東芝 Memory cell
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
EP0838858B1 (en) 1996-09-27 2002-05-15 Infineon Technologies AG CMOS integrated circuit and method of manufacturing the same
US6140687A (en) 1996-11-28 2000-10-31 Matsushita Electric Industrial Co., Ltd. High frequency ring gate MOSFET
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US5951757A (en) * 1997-05-06 1999-09-14 The United States Of America As Represented By The Secretary Of The Navy Method for making silicon germanium alloy and electric device structures
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US6534380B1 (en) * 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
JPH1174164A (en) * 1997-08-27 1999-03-16 Canon Inc Wafer-processing device, wafer support device, wafer-processing method, and manufacture of wafer
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US5930632A (en) * 1997-12-01 1999-07-27 Advanced Micro Devices Process of fabricating a semiconductor device having cobalt niobate gate electrode structure
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6515355B1 (en) * 1998-09-02 2003-02-04 Micron Technology, Inc. Passivation layer for packaged integrated circuits
FR2783254B1 (en) * 1998-09-10 2000-11-10 France Telecom METHOD FOR OBTAINING A LAYER OF MONOCRYSTALLINE GERMANIUM ON A MONOCRYSTALLINE SILICON SUBSTRATE, AND PRODUCTS OBTAINED
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
EP1020900B1 (en) * 1999-01-14 2009-08-05 Panasonic Corporation Semiconductor device and method for fabricating the same
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
JP2000269413A (en) * 1999-03-18 2000-09-29 Nec Corp Semiconductor device
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6492711B1 (en) * 1999-06-22 2002-12-10 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor and method for fabricating the same
JP2001144275A (en) * 1999-08-27 2001-05-25 Shin Etsu Handotai Co Ltd Method for producing bonding soi wafer and bonding soi wafer
US6500694B1 (en) * 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6166411A (en) * 1999-10-25 2000-12-26 Advanced Micro Devices, Inc. Heat removal from SOI devices by using metal substrates
US6591321B1 (en) * 1999-11-09 2003-07-08 International Business Machines Corporation Multiprocessor system bus protocol with group addresses, responses, and priorities
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6602613B1 (en) * 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
KR100392166B1 (en) * 2000-03-17 2003-07-22 가부시끼가이샤 도시바 Semiconductor device and method for manufacturing the same
US6420937B1 (en) * 2000-08-29 2002-07-16 Matsushita Electric Industrial Co., Ltd. Voltage controlled oscillator with power amplifier
US6890835B1 (en) * 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
JP4708577B2 (en) * 2001-01-31 2011-06-22 キヤノン株式会社 Method for manufacturing thin film semiconductor device
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6445016B1 (en) * 2001-02-28 2002-09-03 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6566213B2 (en) * 2001-04-02 2003-05-20 Advanced Micro Devices, Inc. Method of fabricating multi-thickness silicide device formed by disposable spacers
JP2002305293A (en) * 2001-04-06 2002-10-18 Canon Inc Method of manufacturing semiconductor member, and method of manufacturing semiconductor device
US20020167048A1 (en) * 2001-05-14 2002-11-14 Tweet Douglas J. Enhanced mobility NMOS and PMOS transistors using strained Si/SiGe layers on silicon-on-insulator substrates
US7358578B2 (en) * 2001-05-22 2008-04-15 Renesas Technology Corporation Field effect transistor on a substrate with (111) orientation having zirconium oxide gate insulation and cobalt or nickel silicide wiring
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20020197810A1 (en) * 2001-06-21 2002-12-26 International Business Machines Corporation Mosfet having a variable gate oxide thickness and a variable gate work function, and a method for making the same
JP2003007714A (en) * 2001-06-22 2003-01-10 Mitsubishi Heavy Ind Ltd Bipolar transistor and method of manufacturing the same
US20030007211A1 (en) * 2001-07-05 2003-01-09 Broadcom Corporation System for communications in ethernet-based fiber optic TDMA networks
JP3647777B2 (en) * 2001-07-06 2005-05-18 株式会社東芝 Method of manufacturing field effect transistor and integrated circuit element
US6624037B2 (en) * 2001-08-01 2003-09-23 Advanced Micro Devices, Inc. XE preamorphizing implantation
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6624478B2 (en) * 2002-01-30 2003-09-23 International Business Machines Corporation High mobility transistors in SOI and method for forming
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6702351B2 (en) * 2002-03-25 2004-03-09 David Buring Mobile multi-display billboard vehicle and method of advertizing
FR2838237B1 (en) * 2002-04-03 2005-02-25 St Microelectronics Sa METHOD FOR MANUFACTURING AN INSULATED GRID FIELD FIELD EFFECT TRANSISTOR WITH A CONSTANT CHANNEL AND INTEGRATED CIRCUIT COMPRISING SUCH A TRANSISTOR
US6821853B1 (en) * 2002-05-31 2004-11-23 Advanced Micro Devices, Inc. Differential implant oxide process
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6707106B1 (en) * 2002-10-18 2004-03-16 Advanced Micro Devices, Inc. Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US6911379B2 (en) * 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6849487B2 (en) * 2003-05-27 2005-02-01 Motorola, Inc. Method for forming an electronic structure using etch
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7138302B2 (en) * 2004-01-12 2006-11-21 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit channel region
DE102004031710B4 (en) * 2004-06-30 2007-12-27 Advanced Micro Devices, Inc., Sunnyvale Method for producing differently deformed semiconductor regions and transistor pair in differently shaped semiconductor regions

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4999697A (en) * 1988-09-14 1991-03-12 At&T Bell Laboratories Sequential-quenching resonant-tunneling transistor
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5607876A (en) * 1991-10-28 1997-03-04 Xerox Corporation Fabrication of quantum confinement semiconductor light-emitting devices
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US5863830A (en) * 1994-09-22 1999-01-26 Commissariat A L'energie Atomique Process for the production of a structure having a thin semiconductor film on a substrate
US5906708A (en) * 1994-11-10 1999-05-25 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions in selective etch processes
US5630905A (en) * 1995-02-06 1997-05-20 The Regents Of The University Of California Method of fabricating quantum bridges by selective etching of superlattice structures
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6020252A (en) * 1996-05-15 2000-02-01 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US6190998B1 (en) * 1996-05-15 2001-02-20 Commissariat A L'energie Atomique Method for achieving a thin film of solid material and applications of this method
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6184111B1 (en) * 1998-06-23 2001-02-06 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6372609B1 (en) * 1998-10-16 2002-04-16 Shin-Etsu Handotai Co., Ltd. Method of Fabricating SOI wafer by hydrogen ION delamination method and SOI wafer fabricated by the method
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6534381B2 (en) * 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6346459B1 (en) * 1999-02-05 2002-02-12 Silicon Wafer Technologies, Inc. Process for lift off and transfer of semiconductor devices onto an alien substrate
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6350311B1 (en) * 1999-06-17 2002-02-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an epitaxial silicon-germanium layer
US6355493B1 (en) * 1999-07-07 2002-03-12 Silicon Wafer Technologies Inc. Method for forming IC's comprising a highly-resistive or semi-insulating semiconductor substrate having a thin, low resistance active semiconductor layer thereon
US6372593B1 (en) * 1999-07-19 2002-04-16 Mitsubishi Denki Kabushika Kaisha Method of manufacturing SOI substrate and semiconductor device
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6368938B1 (en) * 1999-10-05 2002-04-09 Silicon Wafer Technologies, Inc. Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6344417B1 (en) * 2000-02-18 2002-02-05 Silicon Wafer Technologies Method for micro-mechanical structures
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20020043660A1 (en) * 2000-06-27 2002-04-18 Shunpei Yamazaki Semiconductor device and fabrication method therefor
US6538437B2 (en) * 2000-07-11 2003-03-25 Integrated Magnetoelectronics Corporation Low power magnetic anomaly sensor
US20050009288A1 (en) * 2000-08-16 2005-01-13 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US20020038898A1 (en) * 2000-09-29 2002-04-04 Kabushiki Kaisha Toshiba Semiconductor device and method of producing the same
US20040075149A1 (en) * 2000-12-04 2004-04-22 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20030077867A1 (en) * 2001-03-02 2003-04-24 Fitzergald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6548364B2 (en) * 2001-03-29 2003-04-15 Sharp Laboratories Of America, Inc. Self-aligned SiGe HBT BiCMOS on SOI substrate and method of fabricating the same
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US20030013305A1 (en) * 2001-07-12 2003-01-16 Hitachi, Ltd. Method of producing semiconductor device and semiconductor substrate
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US20040070035A1 (en) * 2001-11-01 2004-04-15 Anand Murthy Semiconductor transistor having a stressed channel
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US20040041210A1 (en) * 2002-04-05 2004-03-04 Chandra Mouli Semiconductor-on-insulator constructions
US20040005740A1 (en) * 2002-06-07 2004-01-08 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040007715A1 (en) * 2002-07-09 2004-01-15 Webb Douglas A. Heterojunction field effect transistors using silicon-germanium and silicon-carbon alloys
US20040053477A1 (en) * 2002-07-09 2004-03-18 S.O.I. Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US20040007724A1 (en) * 2002-07-12 2004-01-15 Anand Murthy Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US20040009649A1 (en) * 2002-07-12 2004-01-15 Kub Francis J. Wafer bonding of thinned electronic materials and circuits to high performance substrates
US20040014276A1 (en) * 2002-07-16 2004-01-22 Murthy Anand S. Method of making a semiconductor transistor
US20040012075A1 (en) * 2002-07-16 2004-01-22 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
US20040014304A1 (en) * 2002-07-18 2004-01-22 Micron Technology, Inc. Stable PD-SOI devices and methods
US20040018699A1 (en) * 2002-07-24 2004-01-29 International Business Machines Corporation SOI wafers with 30-100 A buried oxide (box) created by wafer bonding using 30-100 A thin oxide as bonding layer
US20040031990A1 (en) * 2002-08-16 2004-02-19 Been-Yih Jin Semiconductor on insulator apparatus and method
US20040041174A1 (en) * 2002-09-02 2004-03-04 Masao Okihara Strained SOI MOSFET device and method of fabricating same
US20040048454A1 (en) * 2002-09-10 2004-03-11 Kiyofumi Sakaguchi Substrate and manufacturing method therefor
US20040048091A1 (en) * 2002-09-11 2004-03-11 Nobuhiko Sato Substrate and manufacturing method therefor
US20040051140A1 (en) * 2002-09-12 2004-03-18 Arup Bhattacharyya Semiconductor-on-insulator thin film transistor constructions, and methods of making semiconductor-on-insulator thin film transistor constructions
US20040061191A1 (en) * 2002-09-30 2004-04-01 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation

Cited By (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060197124A1 (en) * 2002-06-07 2006-09-07 Amberwave Systems Corporation Double gate strained-semiconductor-on-insulator device structures
US8748292B2 (en) 2002-06-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming strained-semiconductor-on-insulator device structures
US7838392B2 (en) 2002-06-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming III-V semiconductor device structures
US20070190702A1 (en) * 2003-01-31 2007-08-16 Taiwan Semiconductor Manufacturing Company Strained silicon-on-insulator transistors with mesa isolation
US7892901B2 (en) * 2003-01-31 2011-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Strained silicon-on-insulator transistors with mesa isolation
US20050156255A1 (en) * 2004-01-21 2005-07-21 Taiwan Semiconductor Manufacturing Co. Noble high-k device
US7351994B2 (en) * 2004-01-21 2008-04-01 Taiwan Semiconductor Manufacturing Company Noble high-k device
US7645392B2 (en) * 2004-03-30 2010-01-12 S.O.I.Tec Silicon On Insulator Technologies Methods for preparing a bonding surface of a semiconductor wafer
US20060273068A1 (en) * 2004-03-30 2006-12-07 Commissariat A L'energie Atomique (Cea) Methods for preparing a bonding surface of a semiconductor wafer
US8629477B2 (en) 2005-05-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9431243B2 (en) 2005-05-17 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9219112B2 (en) 2005-05-17 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8987028B2 (en) 2005-05-17 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8796734B2 (en) 2005-05-17 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US11251272B2 (en) 2005-05-17 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8519436B2 (en) 2005-05-17 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US10522629B2 (en) 2005-05-17 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20060284252A1 (en) * 2005-06-15 2006-12-21 Alice Boussagol Process for holding strain in an island etched in a strained thin layer and structure obtained by implementation of this process
US20080254635A1 (en) * 2005-09-30 2008-10-16 Hubert Benzel Method for Accelerated Etching of Silicon
US20070111474A1 (en) * 2005-11-16 2007-05-17 Cecile Delattre Treating a SiGe layer for selective etching
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8878243B2 (en) 2006-03-24 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US10074536B2 (en) 2006-03-24 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
US9318325B2 (en) 2006-09-07 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US8847279B2 (en) 2006-09-07 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US9818819B2 (en) 2006-09-07 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US8629047B2 (en) 2006-09-27 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US9559712B2 (en) 2006-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US9105522B2 (en) 2006-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8860160B2 (en) 2006-09-27 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8216951B2 (en) 2006-09-27 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US10468551B2 (en) 2006-10-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US9040331B2 (en) 2007-04-09 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8624103B2 (en) 2007-04-09 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9231073B2 (en) 2007-04-09 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US10680126B2 (en) 2007-04-09 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US9543472B2 (en) 2007-04-09 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US9853118B2 (en) 2007-04-09 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9853176B2 (en) 2007-04-09 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9449868B2 (en) 2007-04-09 2016-09-20 Taiwan Semiconductor Manufacutring Company, Ltd. Methods of forming semiconductor diodes by aspect ratio trapping with coalesced films
US9780190B2 (en) 2007-06-15 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US10002981B2 (en) 2007-09-07 2018-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US20090085114A1 (en) * 2007-09-28 2009-04-02 Muhammad Nawaz Semiconductor Structure
DE102008049534B4 (en) 2007-09-28 2020-06-25 Infineon Technologies Ag Field effect transistor and method for forming a field effect transistor
US9064963B2 (en) * 2007-09-28 2015-06-23 Infineon Technologies Ag Semiconductor structure
US9242444B2 (en) 2008-01-21 2016-01-26 Soitec Method of fabricating a composite structure with a stable bonding layer of oxide
US20100190000A1 (en) * 2008-01-21 2010-07-29 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating a composite structure with a stable bonding layer of oxide
US10961639B2 (en) 2008-06-03 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US9365949B2 (en) 2008-06-03 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US8822248B2 (en) 2008-06-03 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US8994070B2 (en) 2008-07-01 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US9356103B2 (en) 2008-07-01 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8629045B2 (en) 2008-07-01 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US9640395B2 (en) 2008-07-01 2017-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US9607846B2 (en) 2008-07-15 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US9287128B2 (en) 2008-07-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US9934967B2 (en) 2008-09-19 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of devices by epitaxial layer overgrowth
US8384196B2 (en) 2008-09-19 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US9105549B2 (en) 2008-09-24 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8809106B2 (en) 2008-09-24 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor sensor structures with reduced dislocation defect densities
US9455299B2 (en) 2008-09-24 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for semiconductor sensor structures with reduced dislocation defect densities
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8765510B2 (en) 2009-01-09 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9029908B2 (en) 2009-01-09 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8629446B2 (en) 2009-04-02 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US9576951B2 (en) 2009-04-02 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US9299562B2 (en) 2009-04-02 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US11346654B2 (en) 2011-11-18 2022-05-31 Nike, Inc. Automated 3-D modeling of shoe parts
US10667581B2 (en) 2011-11-18 2020-06-02 Nike, Inc. Automated identification and assembly of shoe parts
US11266207B2 (en) 2011-11-18 2022-03-08 Nike, Inc. Automated identification and assembly of shoe parts
US11341291B2 (en) 2011-11-18 2022-05-24 Nike, Inc. Generation of tool paths for shoe assembly
US11422526B2 (en) 2011-11-18 2022-08-23 Nike, Inc. Automated manufacturing of shoe parts
US11641911B2 (en) 2011-11-18 2023-05-09 Nike, Inc. Automated identification and assembly of shoe parts
US11763045B2 (en) 2011-11-18 2023-09-19 Nike, Inc. Generation of tool paths for shoe assembly
US11879719B2 (en) 2011-11-18 2024-01-23 Nike, Inc. Automated 3-D modeling of shoe parts
US8946063B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
US20140151802A1 (en) * 2012-11-30 2014-06-05 International Business Machines Corporation Semiconductor Device Having SSOI Substrate
US10163627B2 (en) * 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US7074623B2 (en) 2006-07-11
US20060197123A1 (en) 2006-09-07
US7109516B2 (en) 2006-09-19
US20060186510A1 (en) 2006-08-24
US20060197124A1 (en) 2006-09-07
US20060197125A1 (en) 2006-09-07
US20040031979A1 (en) 2004-02-19
US20050280103A1 (en) 2005-12-22

Similar Documents

Publication Publication Date Title
US7074623B2 (en) Methods of forming strained-semiconductor-on-insulator finFET device structures
US10050145B2 (en) Methods for forming semiconductor device structures
US10510581B2 (en) Methods of forming strained-semiconductor-on-insulator device structures
US7307273B2 (en) Control of strain in device layers by selective relaxation
US7335545B2 (en) Control of strain in device layers by prevention of relaxation
US7504704B2 (en) Shallow trench isolation process
WO2003105189A2 (en) Strained-semiconductor-on-insulator device structures
US20090170293A1 (en) Method for manufacturing semiconductor device
WO2007035398A2 (en) Control of strain in device layers by selective relaxation and prevention of relaxation

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS CORPORATION, NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LOCHTEFELD, ANTHONY J.;LANGDO, THOMAS A.;CURRIE, MATTHEW T.;AND OTHERS;REEL/FRAME:017909/0768;SIGNING DATES FROM 20030815 TO 20050418

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION