US20060205209A1 - Enhanced barrier liner formation for vias - Google Patents

Enhanced barrier liner formation for vias Download PDF

Info

Publication number
US20060205209A1
US20060205209A1 US11/431,817 US43181706A US2006205209A1 US 20060205209 A1 US20060205209 A1 US 20060205209A1 US 43181706 A US43181706 A US 43181706A US 2006205209 A1 US2006205209 A1 US 2006205209A1
Authority
US
United States
Prior art keywords
layer
titanium aluminide
titanium
via hole
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/431,817
Inventor
Shane Leiphart
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/431,817 priority Critical patent/US20060205209A1/en
Publication of US20060205209A1 publication Critical patent/US20060205209A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention generally relates to formation of vias. More particularly, the present invention relates to the formation of semiconductor devices having vias that are more reliable and free of defects.
  • Integrated circuits are manufactured by an elaborate process in which a variety of different microelectronic devices are integrally formed on a semiconductor substrate such as a silicon wafer or a silicon-on-insulator (SOI) structure.
  • a semiconductor substrate such as a silicon wafer or a silicon-on-insulator (SOI) structure.
  • SOI silicon-on-insulator
  • hundreds of thousands of electronic devices can be formed on a single substrate.
  • One of the steps in the fabrication of integrated circuits is to form generally horizontal metallic interconnection or wire lines between the discrete microelectronic devices on the integrated circuit and to external circuitry.
  • the horizontal metallic interconnections are conducting layers that permit an electrical current to be delivered to and from the various microlectronic devices so that the integrated circuit can perform its intended function. Since the integrated circuitry needed for a semiconductor is usually build-up three-dimensionally on the substrate in order to increase the packing density and so forth, multi-level metallizations are generally necessary and employed in which inter-level dielectric layers are interposed between different metallization levels formed on the device substrate.
  • Vias also referred to as “vertical interconnects,” are used to electrically connect different horizontal levels of metallization.
  • the via is a via hole or through hole filled with a conductor material that extends through a dielectric interposed between surfaces of two separate horizontal metallization levels.
  • the metallization process is repeated as needed to form additional levels and to form a plurality of similar horizontal and vertical conductive interconnections.
  • the yield, performance and reliability of the semiconductor device critically depend on the stability and integrity of the vias.
  • a conventional via structure is shown in which a first horizontal metallic interconnection layer 51 is formed on a first dielectric layer 50 that has previously been formed on a substrate or an inter-level dielectric, depending on which two metalliation levels are being interconnected.
  • the first interconnection layer 51 commonly is aluminum or an aluminum alloy layer material, such as Al, Al—Cu or Al—Cu—Si.
  • An anti-reflective coating (ARC) layer 52 is formed on the surface of the first interconnection layer 51 .
  • a second dielectric layer 53 is formed on the first interconnection layer 51 (and the ARC layer 52 ).
  • a via-hole 500 is formed through dielectric layer 53 and the ARC layer 52 to expose a discrete surface region of the first interconnection layer 51 at the bottom of the via hole 500 .
  • the via hole 500 is lined with a titanium layer 54 and titanium nitride layer 55 (i.e., Ti/TiN) provided on the sidewalls and bottom of the via hole 500 . Then, a refractory metal is deposited in the via hole 500 hole to form a conductive via plug 56 .
  • a conventional way to form the refractory metal plug 56 is by forming tungsten on the lined via hole 500 by hydrogen or silane reduction of tungsten hexafluoride (WF 6 ) in a CVD process. Tungsten formed by chemical vapor deposition (CVD) has poor adhesion to commonly used inter-level dielectrics such as silicon oxides.
  • the Ti layer 54 is a good dielectric-to-metal adhesion layer, forms a good ohmic contact, and reduces surface oxides on the aluminum.
  • the Ti layer 54 tends to adversely react with refractory halide precursors commonly used in depositing the refractory metal plug 56 , such as tungsten hexafluoride.
  • TiN provides a protective barrier for the Ti to suppress such undesired reactions.
  • the TiN overlayer 55 is used as an additional component of the conventional dual-layer via lining under discussion.
  • the workpiece surface is planarized so that the surface of the plug 56 is made co-planar with the second dielectric layer 53 .
  • titanium and aluminum tend to react during high temperature processing. This results in the formation of a titanium aluminide region at or near their interface. For instance, as indicated in FIG. 5 , a titanium aluminide region 57 has formed in this manner at the bottom region of the via, such as during the deposition of tungsten by CVD as the refractory plug 56 or a subsequent post metal deposition anneal procedure. It is known that titanium aluminide, viz., TiAl 3 , occupies less volume than the elemental titanium and aluminum consumed to produce it. This tends to create voids within a plug.
  • a voiding problem has been identified, which is illustrated in FIG. 5 as a void defect 58 , as occurring in conventionally fabricated vias having titanium liners.
  • a breakdown in the dual-layer via lining ( 54 , 55 ) occurs as shown as a crack through the via lining leading to a cavity or void 58 in the first aluminum interconnection layer 51 .
  • the cracked TiN permits free fluorine to attack the exposed aluminum and Ti—Al which can create large voids.
  • the presence of such a void space can undermine the performance and reliability of the entire hole connection. For instance, the mechanical strength of the via is reduced due to the presence of the voids.
  • the first aluminum interconnection layer 51 becomes too narrow due to the voiding phenomenon occurring beneath the via, the underlying conducting layer can void out so as to cause a gap in the line resulting in an open circuit condition.
  • Aluminum plugs also tend to have poor adhesion to a silicon dioxide dielectric layer such that the aluminum plugs can separate or “de-wet” from the sidewall of the via hole. This problem can be aggravated by a high aspect ratio (height/width ratio) requirement for the via hole, which makes the hole even harder to fill.
  • a conventional solution to this problem with aluminum plugs has involved depositing a wetting layer of titanium on the walls of the hole before filling the hole with aluminum.
  • titanium aluminide was formed in situ during and concurrent with deposition of the aluminum plug material on the titanium. This also has lead to void problems making it more difficult to achieve a tight via.
  • the present invention resolves the above and other problems that have been experienced in the art. More particularly, the present invention constitutes an advancement in the art by providing a high integrity liner for a via in which a titanium aluminide layer is preformed as a lining within at least part of a via hole prior to deposition of other conductive materials within the via hole.
  • the conductive materials deposited on the preformed titanium aluminide can be either a secondary barrier layer portion of the liner, such as a titanium compound layer, which in turn has a metal plug deposited thereon, or, alternatively, a metal plug directly deposited on the titanium aluminide layer.
  • An important advantage achieved by the present invention is that a via is formed with a substantial elimination of void formation.
  • a general method of the present invention for forming such an improved via of a semiconductor device includes forming a dielectric layer over a metallic layer (such as a metal interconnection layer formed over a substrate).
  • a via hole is formed through the dielectric layer to expose a surface portion of the metallic layer at a bottom of the via hole.
  • a preforming of a titanium aluminide layer on the exposed surface portion of the metallic layer is conducted.
  • the titanium aluminide layer can be formed on the bottom only of the via hole or the bottom and sidewalls of the via hole, depending on the embodiment of this invention.
  • a conductive material is deposited in the via hole on the preformed titanium aluminide layer.
  • a titanium aluminide layer is preformed at least at the bottom side of a via hole on an exposed surface portion of an underlying aluminum interconnection line before an overlying TiN barrier layer is deposited in the via hole. This procedure significantly prevents cracking of the relatively brittle TiN barrier layer which otherwise would occur during deposition of the refractory metal plug.
  • the titanium aluminide layer is not preformed according to the present invention in fabrications where a tungsten plug is formed on a TiN layer by reduction of tungsten hexafluoride, then a volume reduction and a shrinkage or contraction of the Ti—Al interface will occur during a deposition of the metal plug which will put tension on an overlying TiN layer due to the stress within the layers.
  • Such stress in the layers which is avoided by the present invention, causes cracks through which fluorine can infiltrate the liner and form voids in the aluminum layer.
  • the present invention prevents such fluorine attack on the underlying aluminum line due to the intervening high integrity (minimally cracked) titanium nitride layer and preformed titanium aluminum layer.
  • the present invention will prevent fluorine attack on the aluminum lines through those otherwise vulnerable areas on account of the presence of the preformed fluorine-resistant titanium aluminide liner layer. Experiments, described herein, have been conducted which confirm this fluorine barrier capability of titanium aluminide via liners.
  • the present invention embodies several useful techniques for preforming the titanium aluminide via liners.
  • titanium aluminide can be deposited as a discrete layer in place of the conventional titanium layer used in a via hole such that no volume reduction will occur since a titanium aluminide material will already have been formed and line the via hole before TiN deposition and plug metal deposition.
  • a discrete titanium aluminide liner film can be deposited, for example, by sputtering.
  • Another technique for preforming the titanium aluminide liner involves an pre-emptive anneal approach in which a titanium liner film is formed in a via hole and on the exposed surface portion of the underlying aluminum conductor line, and then the deposited titanium film is immediately subjected to heat after its deposition, and prior to TiN deposition, sufficient to form titanium aluminide at the Ti—Al interface.
  • a titanium liner film is formed in a via hole and on the exposed surface portion of the underlying aluminum conductor line, and then the deposited titanium film is immediately subjected to heat after its deposition, and prior to TiN deposition, sufficient to form titanium aluminide at the Ti—Al interface.
  • the volume reduction associated with titanium aluminide formation occurs in the absence of and without adverse affect upon the TiN layer.
  • the usefulness of the improved vias of the present invention is not limited to refractory metal plug applications, but also extends to other via plug environments such as aluminum plugs. Additionally, the semiconductor devices made according to this invention are endowed with tight, high-reliability vertical interconnect structures applicable to SRAMs, DRAMs, and many other integrated circuit devices.
  • FIG. 1 is a partial sectional view showing a semiconductor memory device including a via structure fabricated according to an embodiment of the present invention.
  • FIG. 2 is a partial sectional view showing an enlarged view of the via structure in FIG. 1 as made according to one embodiment of the present invention.
  • FIG. 3 is a partial sectional view showing a via structure made according to another embodiment of the present invention.
  • FIG. 4 is a partial sectional view showing a via structure made according to yet another embodiment of the present invention.
  • FIG. 5 is a partial sectional view showing a conventional via structure.
  • FIG. 6 is a plan view of a memory module having memory chips constructed in accordance with the present invention.
  • FIG. 7 is a block diagram of a processor-based system using RAM having memory chips constructed in accordance with the present invention.
  • the semiconductor device 1 is an in-process integrated circuit memory cell, such as an SRAM cell or a DRAM cell, on a chip.
  • a semiconductor substrate 10 has a first dielectric layer 11 formed upon it as a passivation layer.
  • the substrate 10 is a p-type silicon substrate and the dielectric (passivation) layer 11 preferably is silicon dioxide (SiO 2 ).
  • the term “substrate”, as used herein, generally refers to the one or more semiconductor layers or structures which includes active or operable portions of semiconductor devices.
  • the substrate 10 could be a silicon wafer, a silicon-on-insulator (SOI) structure, a substrate assembly, and so forth, within the contemplated practice of this invention.
  • SOI silicon-on-insulator
  • a first horizontal metallic interconnection layer 12 is formed on the first dielectric layer 11 .
  • Standard deposition and photolithographic patterning techniques employed for thin metallic films can be used to deposit and pattern the horizontal metallic interconnection layers described herein.
  • the horizontal metallic interconnection layers used in this invention generally are conductors made of thin films of aluminum or its alloys, such as Al—Cu or Al—Cu—Si.
  • the aluminum films can be sputter deposited to a given thickness, although other known thin metal film deposition techniques also could be used.
  • the first metallic interconnection layer 12 contacts an impurity diffusion region 19 in the surface of substrate 10 through a contact hole 100 .
  • the region 101 indicates a part of an active device region formed at the surface of the substrate 10 .
  • the active device region 101 is not particularly limited for purposes of this invention, as the enhanced via structures derived by the present invention should be generally applicable and implementable in metallization schemes for a wide variety of semiconductor device fabrications. Therefore, the active device region 101 can represent structures associated with memory devices including a SRAM cell, a DRAM cell, EPROM cells, EEPROM cells, and so forth, of conventionally known designs therefor or otherwise. However, the invention also is generally applicable to microcomputer circuit components, CMOS logic circuit components, bipolar transistor components, and so forth. These active device regions can have conventional structures and architecture within the knowledge and capabilities of a person of skill in this field of endeavor, but are not limited thereto.
  • an anti-reflective coating (ARC) layer 18 is deposited on the surface of the first metallic interconnection layer 12 .
  • the ARC layer can be a sputtered TiN film.
  • a second dielectric layer 13 is formed on the first metallic interconnection layer 12 (and the ARC layer 18 ).
  • the dielectric layers described herein are non-conducting layers having a thickness sufficient for that purpose that can be formed by depositing a silicon oxide, such as tetraethylorthosilicate (TEOS)-based silicon oxide.
  • TEOS tetraethylorthosilicate
  • a via hole 200 is formed through dielectric layer 13 and the ARC layer 18 such that a surface portion 12 ′ of the first metallic interconnection layer 12 is exposed.
  • the dielectric layers can be anisotropically etched to form the connection holes using an appropriately patterned photoresist with a fluorine-based plasma which removes exposed dielectric at the desired location of the connection hole.
  • a variety of etch processes known to those of skilled in the art can be used for etching the dielectric and TiN ARC layer.
  • the cross-sectional geometry of the via hole 200 is not particularly limited and can be cylindrical, rectangular, square, oval, and so forth.
  • a dual-layer lining comprised of a titanium aluminide layer 16 and a titanium nitride layer 17 , in that sequence, is formed on the sidewalls and bottom of the via hole 200 .
  • the titanium aluminide layer 16 is preformed before the titanium nitride layer is deposited thereon. Exemplary techniques of this invention for preforming a titanium aluminide are described in greater detail in discussions set out below referencing FIGS. 2-4 .
  • a reactive sputtering method can be used, in which the sputtering is effected in an ambient gas of N 2 + argon using a titanium target.
  • the titanium nitride is deposited in a manner that provides complete coverage of the via hole sidewalls and the bottom of the via hole prelined with the titanium aluminide layer 16 .
  • the TiN layer 17 also could be deposited by other known techniques such as CVD.
  • the titanium nitride layer 17 could be replaced by a different type of titanium compound or other sufficiently conductive material that can be deposited as a thin film which provides comparable barrier functionality, such as a Ti—W thin film.
  • a preforming of the titanium aluminide layer 16 before the titanium nitride layer 17 is deposited avoids stress-fracturing in the titanium nitride layer 17 , which, in turn, prevents fluorine attack on the underlying aluminum line 12 during fabrication by the fluorine content of the reactant gas used to deposit the plug 14 in a subsequent processing step. Additionally, it has been observed and determined that the titanium aluminide layer 16 itself offers good resistance to fluorine attack. This attribute of a preformed titanium aluminide layer is important because it will protect the aluminum line from fluorine attack where an inadvertent discontinuity or opening occurs in the titanium nitride layer. In any event, the titanium nitride layer 17 well retains its film integrity through the rest of fabrication on account of the inventive protocols described herein for forming the underlying titanium aluminide layer prior to depositing the titanium nitride layer 17 .
  • a refractory metal plug 14 is then deposited in the titanium aluminide/TiN-lined via hole 200 .
  • the refractory metal plug layer such as tungsten, molybdenum, titanium, tantalum, or the like, can be deposited by CVD to conformally blanket coat the lined via hole and adjoining dielectric flats of the intermediate device structure.
  • tungsten (W) can be deposited in the lined via hole 200 by conventional CVD methods using a hydrogen and/or silane hydrogen reduction of tungsten hexafluoride (WF 6 ) in which the premixed reactant gases are directed onto the surface of the intermediate semiconductor structure having the lined via holes to be coated, which is maintained at an elevated temperature of approximately 440-450EC for a process time that is sufficient to fill the lined via hole.
  • WF 6 tungsten hexafluoride
  • the mixed gases contact the substrate surface at the elevated temperature, the tungsten hexafluoride and the hydrogen (and/or silane) react to produce elemental tungsten (W), which is deposited upon onto the substrate as a film.
  • a via or vertical interconnect structure has been formed at this juncture of the processing.
  • the refractory metals can be used individually, as combinations thereof, or in combination with other low resistance materials to form the plug.
  • the deposited via plug 14 is planarized until made generally co-planar with the surface of the second dielectric layer 13 .
  • the refractory metal deposited upon the plug-filled intermediate structure can be planarized using chemical-mechanical planarization (CMP), mechanical abrasive pad polishing, RIE (e.g., Cl 2 ), or other suitable planarizing techniques used for this purpose. This planarization step may not be necessary for all implementations depending on design criteria and so forth.
  • a second horizontal metallic interconnection layer 15 is formed on the second dielectric layer 13 in contact with plug 14 to provide the second metallization level.
  • the via 201 is a vertical interconnect structure between the metallic interconnection layers 12 and 15 .
  • the horizontal metallic interconnection layers are electrical conductor layers that can electrically communicate with each other through the vias. Additionally, if the via 201 is not planarized it is possible to change the sequence of processing steps such that the second horizontal metallic interconnection layer 15 is formed before formation of the via 201 because portions of the as deposited tungsten step profile will rest over the second dielectric around the perimeter of the upper entrance of the via hole where the tungsten can contact a previously formed horizontal metallic layer.
  • the above general processing scheme permits formation of one or more high-integrity, reliable vias between two horizontally-extending conductor layers that are vertically spaced apart from each another over the substrate.
  • This general processing scheme can be repeated one or more times to form additional metallization levels if necessary or desired for the semiconductor integrated circuit device.
  • FIG. 2 shows an enlarged view of the via of FIG. 1 as fabricated according to a first embodiment of this invention.
  • the via hole 200 is defined by a bottom 200 ′ and sidewalls 200 ′′.
  • the bottom 200 ′ of the via hole 200 is the exposed surface of the underlying interconnection layer 12 until the via hole 200 is lined.
  • the dielectric layers 11 and 13 and the ARC layer 18 are the same as described in connection with FIG. 1 .
  • Titanium aluminide 16 is directly sputter deposited on the via hole 200 .
  • a titanium aluminide target is used in a sputtering chamber at approximately 2 kW dc target power at 1.5 mtorr pressure.
  • the titanium aluminide layer is formed at a thickness of approximately 100 to 700 ⁇ , preferably about 400 ⁇ , to provide the barrier properties desired of it.
  • the titanium aluminide is an intermetallic broadly represented by the formula Ti x .
  • the titanium aluminide is TiAl 3 , although TiAl 2 , and combinations of TiAl 3 and TiAl 2 in all mixing ratios are also contemplated for use as the target material used in this embodiment.
  • the titanium aluminide via lining 16 once formed, makes interfacial physical contact with the top surface 12 ′ of the conductor line 12 at the bottom 200 ′ of the via hole 200 .
  • the TiN layer 17 and the plug 14 are then deposited over the preformed titanium aluminide as described above to form a via 201 , which is a vertical interconnect between the aluminum conductor lines 12 and 15 .
  • FIG. 3 shows an enlarged view of a via fabricated according to a second embodiment of this invention.
  • the titanium aluminide 37 is formed in situ by heating a deposited titanium film 34 as a source material before further materials are deposited in the via hole 300 .
  • a titanium film 34 can be deposited by methods described earlier. After deposition of the titanium, the heating of the wafer can be conducted by transferring it in a vacuum to a heated pedestal where the titanium aluminide is formed, such as by using a CVD reactor-equipped cluster tool.
  • the titanium film can be deposited in a single chamber using a heated pedestal to support the intermediate semiconductor workpiece such that titanium aluminide is formed rapidly as the elemental titanium is deposited on the exposed aluminum surface of aluminum conductor line 31 .
  • the dielectric layers 40 and 43 and the ARC layer 42 are of the same types as described in connection with FIG. 1 .
  • the titanium aluminide layer 37 is formed by annealing at approximately 140 seconds at a 465EC chuck temperature in a chamber. An approximately 50 to 300 ⁇ titanium layer can deposited and the annealing is performed for a time sufficient such that the titanium at the bottom of the via hole 300 is substantially if not completely reacted with surface portions of the underlying aluminum conductor line 31 to form the titanium aluminide layer portion 37 at the bottom 300 ′ of the via hole 300 .
  • the resulting titanium aluminide layer portion 37 can have a thickness of approximately 100 to 700 ⁇ , preferably about 400 ⁇ , to provide the barrier properties desired of it.
  • the titanium film deposited to line the sidewalls 300 ′′ and out of contact with aluminum of via hole 300 remains elemental titanium after the titanium aluminide formation.
  • the titanium aluminide formed in situ by the annealing operation is predominantly TiAl 3 , although some minor fraction of TiAl 2 , and TiCu 4 where Al—Cu alloys are involved, can be present but do not effect the result. Then, a TiN layer 35 , a plug 36 , and an overlying conductor layer (not shown) are successively deposited over the preformed titanium aluminide as described above to form a via.
  • This comparative test represented a worst case scenario by creating an environment comparable to cracking in a TiN barrier layer of where the TiN layer is discontinuous at the via bottom.
  • the first wafer having the TiAl 3 surface layer formed on the Al had no significant indications of fluorine attack.
  • the bare Ti-coated wafer suffered extensive fluorine attack seen as a dense cluster of island-like spots all across the surface of the Ti film.
  • FIG. 4 shows an enlarged view of a via fabricated according to a third embodiment of this invention.
  • This embodiment concerns application of the invention to aluminum plugs.
  • the dielectric layers 40 and 43 , and the ARC layer 42 are the same as previously discussed.
  • a titanium aluminide layer 45 is formed before filling the via hole 400 with an aluminum plug 46 .
  • a titanium aluminide layer 45 is formed. This can be accomplished by either of the aforementioned methods for providing a titanium aluminide liner layer. That is, in one approach, a titanium layer 44 is deposited on the side walls 400 ′′ and at the bottom 400 ′ of the via hole 400 where a surface portion of an aluminum conductor line 41 is exposed.
  • the portion of the titanium film 44 deposited on the aluminum film 41 are converted to titanium aluminide in situ by annealing, such as using the annealing procedures described in connection with FIG. 3 .
  • a continuous titanium aluminide layer 45 could formed which covers the bottom 400 ′ and sidewalls 400 ′′ of the via hole 400 using the titanium aluminide sputtering technique described above in connection with FIG. 2 .
  • an aluminum plug 46 is deposited in the lined via hole 400 , such as by sputtering or other known deposition methods for this purpose. After depositing the aluminum plug 46 , planarization is conducted and the next metallization level is formed.
  • the formation of the titanium aluminide prior to deposition of the aluminum plug 46 makes the via structure more stable for plug formation. That is, the extent of the titanium aluminide formation reactions that might occur during plug formation is significantly reduced by the TiAl 3 preformiing procedure to help control voiding problems.
  • a secondary anneal optionally can be conducted to enhance the uniformity of the titanium aluminide layer, cure any defects within the deposited materials, and ensure adhesion between the materials. Since any elemental titanium in contact with aluminum has already been converted to titanium aluminide, the secondary annealing does not raise the risk of any further substantial Ti—Al reactions occurring that would lead to void formation problems.
  • FIG. 6 is plan view of a memory module 600 having memory chips 60 - 68 including semiconductor memory devices constructed in accordance with the present invention. That is, chips 60 - 68 have an SRAM or a DRAM cell 1 such as described in connection with FIG. 1 .
  • Memory module 600 is a SIMM (single in line memory module) having nine memory chips (IC's) 60 - 68 aligned on one side of a printed circuit board substrate. The number of such memory chips in the SIMM typically will vary between 3 to 9.
  • the circuit board 601 has an edge connector 602 along one longitudinal edge to permit it to plug into a memory socket on a computer motherboard of conventional design (not shown).
  • a wiring pattern (not shown), which can be a conventionally known design for this purpose, is formed on the board 601 and connects the terminals or leads shown comprising the edge connector 602 to the memory chips 60 - 68 .
  • Small ceramic decoupling capacitors 603 are also mounted on substrate 601 to suppress transient voltage spikes.
  • FIG. 7 is a block diagram of a processor-based system 700 using RAM 712 constructed in accordance with the present invention. That is, RAM 712 uses an SRAM or DRAM cell 1 such as described in connection with FIG. 1 .
  • the processor-based system 700 may be a computer system, a process control system or any other system employing a processor and associated memory.
  • the system 700 includes a central processing unit (CPU) 702 , e.g., a microprocessor, that communicates with the RAM 712 and an I/O device 708 over a bus 720 .
  • CPU central processing unit
  • the bus 720 may be a series of buses and bridges commonly used in a processor-base system, but for convenience purposes only, the bus 720 has been illustrated as a single bus.
  • the processor-based system 700 also includes read-only memory (ROM) 714 and may include peripheral devices such as a floppy disk drive 704 and a compact disk (CD) ROM drive 706 that also communicates with the CPU 702 over the bus as is well known in the art.
  • ROM read-only memory
  • CD compact disk

Abstract

A high integrity, reliable liner is disclosed for a via in which a titanium aluminide layer is preformed as a lining within a via hole prior to deposition of other conductive materials within the via hole. The conductive materials deposited on the preformed titanium aluminide can be either a secondary barrier layer portion of the liner, such as a titanium compound layer, which in turn has a metal plug deposited thereon, or, alternatively, a metal plug directly deposited on the titanium aluminide layer. An important advantage achieved by the present invention is that a via is formed with a substantial elimination of void formation. The enhanced vias are useful in a wide variety of semiconductor devices, including SRAMS and DRAMs.

Description

    BACKGROUND OF THE INVENTION
  • 1. The Field of the Invention
  • The present invention generally relates to formation of vias. More particularly, the present invention relates to the formation of semiconductor devices having vias that are more reliable and free of defects.
  • 2. The Relevant Technology
  • Integrated circuits are manufactured by an elaborate process in which a variety of different microelectronic devices are integrally formed on a semiconductor substrate such as a silicon wafer or a silicon-on-insulator (SOI) structure. In advanced manufacturing of integrated circuits, hundreds of thousands of electronic devices can be formed on a single substrate.
  • One of the steps in the fabrication of integrated circuits is to form generally horizontal metallic interconnection or wire lines between the discrete microelectronic devices on the integrated circuit and to external circuitry. The horizontal metallic interconnections are conducting layers that permit an electrical current to be delivered to and from the various microlectronic devices so that the integrated circuit can perform its intended function. Since the integrated circuitry needed for a semiconductor is usually build-up three-dimensionally on the substrate in order to increase the packing density and so forth, multi-level metallizations are generally necessary and employed in which inter-level dielectric layers are interposed between different metallization levels formed on the device substrate.
  • Vias, also referred to as “vertical interconnects,” are used to electrically connect different horizontal levels of metallization. The via is a via hole or through hole filled with a conductor material that extends through a dielectric interposed between surfaces of two separate horizontal metallization levels. The metallization process is repeated as needed to form additional levels and to form a plurality of similar horizontal and vertical conductive interconnections. Among other things, the yield, performance and reliability of the semiconductor device critically depend on the stability and integrity of the vias.
  • Referring to FIG. 5, a conventional via structure is shown in which a first horizontal metallic interconnection layer 51 is formed on a first dielectric layer 50 that has previously been formed on a substrate or an inter-level dielectric, depending on which two metalliation levels are being interconnected. The first interconnection layer 51 commonly is aluminum or an aluminum alloy layer material, such as Al, Al—Cu or Al—Cu—Si. An anti-reflective coating (ARC) layer 52 is formed on the surface of the first interconnection layer 51. A second dielectric layer 53 is formed on the first interconnection layer 51 (and the ARC layer 52). A via-hole 500 is formed through dielectric layer 53 and the ARC layer 52 to expose a discrete surface region of the first interconnection layer 51 at the bottom of the via hole 500. The via hole 500 is lined with a titanium layer 54 and titanium nitride layer 55 (i.e., Ti/TiN) provided on the sidewalls and bottom of the via hole 500. Then, a refractory metal is deposited in the via hole 500 hole to form a conductive via plug 56. A conventional way to form the refractory metal plug 56 is by forming tungsten on the lined via hole 500 by hydrogen or silane reduction of tungsten hexafluoride (WF6) in a CVD process. Tungsten formed by chemical vapor deposition (CVD) has poor adhesion to commonly used inter-level dielectrics such as silicon oxides. The Ti layer 54 is a good dielectric-to-metal adhesion layer, forms a good ohmic contact, and reduces surface oxides on the aluminum. However, the Ti layer 54 tends to adversely react with refractory halide precursors commonly used in depositing the refractory metal plug 56, such as tungsten hexafluoride. TiN provides a protective barrier for the Ti to suppress such undesired reactions. Hence, the TiN overlayer 55 is used as an additional component of the conventional dual-layer via lining under discussion. After deposition of the refractory metal plug 56, the workpiece surface is planarized so that the surface of the plug 56 is made co-planar with the second dielectric layer 53. A second metallic interconnection layer, not shown, then would be formed on the planarized plug 56 and second dielectric layer 53.
  • However, when titanium is put in contact with aluminum, such as occurs at the interface between the Ti layer 54 and a surface portion of a first aluminum interconnection layer 51 exposed at the bottom of via hole 500, the titanium and aluminum tend to react during high temperature processing. This results in the formation of a titanium aluminide region at or near their interface. For instance, as indicated in FIG. 5, a titanium aluminide region 57 has formed in this manner at the bottom region of the via, such as during the deposition of tungsten by CVD as the refractory plug 56 or a subsequent post metal deposition anneal procedure. It is known that titanium aluminide, viz., TiAl3, occupies less volume than the elemental titanium and aluminum consumed to produce it. This tends to create voids within a plug.
  • For instance, a voiding problem has been identified, which is illustrated in FIG. 5 as a void defect 58, as occurring in conventionally fabricated vias having titanium liners. Namely, a breakdown in the dual-layer via lining (54, 55) occurs as shown as a crack through the via lining leading to a cavity or void 58 in the first aluminum interconnection layer 51. The cracked TiN permits free fluorine to attack the exposed aluminum and Ti—Al which can create large voids. The presence of such a void space can undermine the performance and reliability of the entire hole connection. For instance, the mechanical strength of the via is reduced due to the presence of the voids. Additionally, if the first aluminum interconnection layer 51 becomes too narrow due to the voiding phenomenon occurring beneath the via, the underlying conducting layer can void out so as to cause a gap in the line resulting in an open circuit condition.
  • Aluminum plugs also tend to have poor adhesion to a silicon dioxide dielectric layer such that the aluminum plugs can separate or “de-wet” from the sidewall of the via hole. This problem can be aggravated by a high aspect ratio (height/width ratio) requirement for the via hole, which makes the hole even harder to fill. A conventional solution to this problem with aluminum plugs has involved depositing a wetting layer of titanium on the walls of the hole before filling the hole with aluminum. However, in conventional aluminum plug processing, titanium aluminide was formed in situ during and concurrent with deposition of the aluminum plug material on the titanium. This also has lead to void problems making it more difficult to achieve a tight via.
  • Consequently, a need exists in the art for a via having reduced voiding problems and methodology for imparting such increased resistance to void formation and damage.
  • SUMMARY OF THE INVENTION
  • The present invention resolves the above and other problems that have been experienced in the art. More particularly, the present invention constitutes an advancement in the art by providing a high integrity liner for a via in which a titanium aluminide layer is preformed as a lining within at least part of a via hole prior to deposition of other conductive materials within the via hole. The conductive materials deposited on the preformed titanium aluminide can be either a secondary barrier layer portion of the liner, such as a titanium compound layer, which in turn has a metal plug deposited thereon, or, alternatively, a metal plug directly deposited on the titanium aluminide layer. An important advantage achieved by the present invention is that a via is formed with a substantial elimination of void formation.
  • A general method of the present invention for forming such an improved via of a semiconductor device includes forming a dielectric layer over a metallic layer (such as a metal interconnection layer formed over a substrate). A via hole is formed through the dielectric layer to expose a surface portion of the metallic layer at a bottom of the via hole. A preforming of a titanium aluminide layer on the exposed surface portion of the metallic layer is conducted. The titanium aluminide layer can be formed on the bottom only of the via hole or the bottom and sidewalls of the via hole, depending on the embodiment of this invention. Thereafter, a conductive material is deposited in the via hole on the preformed titanium aluminide layer. The semiconductor devices fabricated according to this invention have superior quality and reliability due to the enhanced via formations.
  • In one particular embodiment, a titanium aluminide layer is preformed at least at the bottom side of a via hole on an exposed surface portion of an underlying aluminum interconnection line before an overlying TiN barrier layer is deposited in the via hole. This procedure significantly prevents cracking of the relatively brittle TiN barrier layer which otherwise would occur during deposition of the refractory metal plug. That is, if the titanium aluminide layer is not preformed according to the present invention in fabrications where a tungsten plug is formed on a TiN layer by reduction of tungsten hexafluoride, then a volume reduction and a shrinkage or contraction of the Ti—Al interface will occur during a deposition of the metal plug which will put tension on an overlying TiN layer due to the stress within the layers. Such stress in the layers, which is avoided by the present invention, causes cracks through which fluorine can infiltrate the liner and form voids in the aluminum layer. The present invention prevents such fluorine attack on the underlying aluminum line due to the intervening high integrity (minimally cracked) titanium nitride layer and preformed titanium aluminum layer.
  • Also, if a TiN barrier liner layer coverage is incomplete or extremely thin in spots, the present invention will prevent fluorine attack on the aluminum lines through those otherwise vulnerable areas on account of the presence of the preformed fluorine-resistant titanium aluminide liner layer. Experiments, described herein, have been conducted which confirm this fluorine barrier capability of titanium aluminide via liners.
  • The present invention embodies several useful techniques for preforming the titanium aluminide via liners. In one technique, titanium aluminide can be deposited as a discrete layer in place of the conventional titanium layer used in a via hole such that no volume reduction will occur since a titanium aluminide material will already have been formed and line the via hole before TiN deposition and plug metal deposition. A discrete titanium aluminide liner film can be deposited, for example, by sputtering. Another technique for preforming the titanium aluminide liner involves an pre-emptive anneal approach in which a titanium liner film is formed in a via hole and on the exposed surface portion of the underlying aluminum conductor line, and then the deposited titanium film is immediately subjected to heat after its deposition, and prior to TiN deposition, sufficient to form titanium aluminide at the Ti—Al interface. As a consequence, the volume reduction associated with titanium aluminide formation occurs in the absence of and without adverse affect upon the TiN layer.
  • The usefulness of the improved vias of the present invention is not limited to refractory metal plug applications, but also extends to other via plug environments such as aluminum plugs. Additionally, the semiconductor devices made according to this invention are endowed with tight, high-reliability vertical interconnect structures applicable to SRAMs, DRAMs, and many other integrated circuit devices.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other features, aspects and advantages of the present invention will become more apparent from the following detailed description of the present invention when considered in conjunction with the accompany drawings, in which:
  • FIG. 1 is a partial sectional view showing a semiconductor memory device including a via structure fabricated according to an embodiment of the present invention.
  • FIG. 2 is a partial sectional view showing an enlarged view of the via structure in FIG. 1 as made according to one embodiment of the present invention.
  • FIG. 3 is a partial sectional view showing a via structure made according to another embodiment of the present invention.
  • FIG. 4 is a partial sectional view showing a via structure made according to yet another embodiment of the present invention.
  • FIG. 5 is a partial sectional view showing a conventional via structure.
  • FIG. 6 is a plan view of a memory module having memory chips constructed in accordance with the present invention.
  • FIG. 7 is a block diagram of a processor-based system using RAM having memory chips constructed in accordance with the present invention.
  • It will be understood that the drawings are provided for illustrative purposes and that the depicted features are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Referring now to the FIG. 1 a schematic cross-sectional view of a semiconductor integrated circuit device 1 is shown as made according to the present invention. In one preferred embodiment, the semiconductor device 1 is an in-process integrated circuit memory cell, such as an SRAM cell or a DRAM cell, on a chip. A semiconductor substrate 10 has a first dielectric layer 11 formed upon it as a passivation layer. In particular, the substrate 10 is a p-type silicon substrate and the dielectric (passivation) layer 11 preferably is silicon dioxide (SiO2). The term “substrate”, as used herein, generally refers to the one or more semiconductor layers or structures which includes active or operable portions of semiconductor devices. For instance, the substrate 10 could be a silicon wafer, a silicon-on-insulator (SOI) structure, a substrate assembly, and so forth, within the contemplated practice of this invention.
  • A first horizontal metallic interconnection layer 12 is formed on the first dielectric layer 11. Standard deposition and photolithographic patterning techniques employed for thin metallic films can be used to deposit and pattern the horizontal metallic interconnection layers described herein. The horizontal metallic interconnection layers used in this invention generally are conductors made of thin films of aluminum or its alloys, such as Al—Cu or Al—Cu—Si. The aluminum films can be sputter deposited to a given thickness, although other known thin metal film deposition techniques also could be used. The first metallic interconnection layer 12 contacts an impurity diffusion region 19 in the surface of substrate 10 through a contact hole 100. The region 101 indicates a part of an active device region formed at the surface of the substrate 10. The active device region 101 is not particularly limited for purposes of this invention, as the enhanced via structures derived by the present invention should be generally applicable and implementable in metallization schemes for a wide variety of semiconductor device fabrications. Therefore, the active device region 101 can represent structures associated with memory devices including a SRAM cell, a DRAM cell, EPROM cells, EEPROM cells, and so forth, of conventionally known designs therefor or otherwise. However, the invention also is generally applicable to microcomputer circuit components, CMOS logic circuit components, bipolar transistor components, and so forth. These active device regions can have conventional structures and architecture within the knowledge and capabilities of a person of skill in this field of endeavor, but are not limited thereto.
  • Referring still to FIG. 1, an anti-reflective coating (ARC) layer 18 is deposited on the surface of the first metallic interconnection layer 12. The ARC layer can be a sputtered TiN film. A second dielectric layer 13 is formed on the first metallic interconnection layer 12 (and the ARC layer 18). The dielectric layers described herein are non-conducting layers having a thickness sufficient for that purpose that can be formed by depositing a silicon oxide, such as tetraethylorthosilicate (TEOS)-based silicon oxide.
  • A via hole 200 is formed through dielectric layer 13 and the ARC layer 18 such that a surface portion 12′ of the first metallic interconnection layer 12 is exposed. The dielectric layers can be anisotropically etched to form the connection holes using an appropriately patterned photoresist with a fluorine-based plasma which removes exposed dielectric at the desired location of the connection hole. A variety of etch processes known to those of skilled in the art can be used for etching the dielectric and TiN ARC layer. The cross-sectional geometry of the via hole 200 is not particularly limited and can be cylindrical, rectangular, square, oval, and so forth.
  • In this embodiment, a dual-layer lining comprised of a titanium aluminide layer 16 and a titanium nitride layer 17, in that sequence, is formed on the sidewalls and bottom of the via hole 200. The titanium aluminide layer 16 is preformed before the titanium nitride layer is deposited thereon. Exemplary techniques of this invention for preforming a titanium aluminide are described in greater detail in discussions set out below referencing FIGS. 2-4. To form the titanium nitride (TiN) layer 17, a reactive sputtering method can be used, in which the sputtering is effected in an ambient gas of N2+ argon using a titanium target. The titanium nitride is deposited in a manner that provides complete coverage of the via hole sidewalls and the bottom of the via hole prelined with the titanium aluminide layer 16. A titanium nitride layer having a thickness of approximately 500-1000Δ generally is formed. The TiN layer 17 also could be deposited by other known techniques such as CVD. Also, the titanium nitride layer 17 could be replaced by a different type of titanium compound or other sufficiently conductive material that can be deposited as a thin film which provides comparable barrier functionality, such as a Ti—W thin film.
  • A preforming of the titanium aluminide layer 16 before the titanium nitride layer 17 is deposited avoids stress-fracturing in the titanium nitride layer 17, which, in turn, prevents fluorine attack on the underlying aluminum line 12 during fabrication by the fluorine content of the reactant gas used to deposit the plug 14 in a subsequent processing step. Additionally, it has been observed and determined that the titanium aluminide layer 16 itself offers good resistance to fluorine attack. This attribute of a preformed titanium aluminide layer is important because it will protect the aluminum line from fluorine attack where an inadvertent discontinuity or opening occurs in the titanium nitride layer. In any event, the titanium nitride layer 17 well retains its film integrity through the rest of fabrication on account of the inventive protocols described herein for forming the underlying titanium aluminide layer prior to depositing the titanium nitride layer 17.
  • A refractory metal plug 14 is then deposited in the titanium aluminide/TiN-lined via hole 200. The refractory metal plug layer, such as tungsten, molybdenum, titanium, tantalum, or the like, can be deposited by CVD to conformally blanket coat the lined via hole and adjoining dielectric flats of the intermediate device structure. For instance, tungsten (W) can be deposited in the lined via hole 200 by conventional CVD methods using a hydrogen and/or silane hydrogen reduction of tungsten hexafluoride (WF6) in which the premixed reactant gases are directed onto the surface of the intermediate semiconductor structure having the lined via holes to be coated, which is maintained at an elevated temperature of approximately 440-450EC for a process time that is sufficient to fill the lined via hole. When the mixed gases contact the substrate surface at the elevated temperature, the tungsten hexafluoride and the hydrogen (and/or silane) react to produce elemental tungsten (W), which is deposited upon onto the substrate as a film. A via or vertical interconnect structure has been formed at this juncture of the processing. The refractory metals can be used individually, as combinations thereof, or in combination with other low resistance materials to form the plug.
  • As indicated by FIG. 1, the deposited via plug 14 is planarized until made generally co-planar with the surface of the second dielectric layer 13. The refractory metal deposited upon the plug-filled intermediate structure can be planarized using chemical-mechanical planarization (CMP), mechanical abrasive pad polishing, RIE (e.g., Cl2), or other suitable planarizing techniques used for this purpose. This planarization step may not be necessary for all implementations depending on design criteria and so forth.
  • A second horizontal metallic interconnection layer 15 is formed on the second dielectric layer 13 in contact with plug 14 to provide the second metallization level. The via 201 is a vertical interconnect structure between the metallic interconnection layers 12 and 15. The horizontal metallic interconnection layers are electrical conductor layers that can electrically communicate with each other through the vias. Additionally, if the via 201 is not planarized it is possible to change the sequence of processing steps such that the second horizontal metallic interconnection layer 15 is formed before formation of the via 201 because portions of the as deposited tungsten step profile will rest over the second dielectric around the perimeter of the upper entrance of the via hole where the tungsten can contact a previously formed horizontal metallic layer.
  • The above general processing scheme permits formation of one or more high-integrity, reliable vias between two horizontally-extending conductor layers that are vertically spaced apart from each another over the substrate. This general processing scheme can be repeated one or more times to form additional metallization levels if necessary or desired for the semiconductor integrated circuit device.
  • FIG. 2 shows an enlarged view of the via of FIG. 1 as fabricated according to a first embodiment of this invention. The via hole 200 is defined by a bottom 200′ and sidewalls 200″. The bottom 200′ of the via hole 200 is the exposed surface of the underlying interconnection layer 12 until the via hole 200 is lined. The dielectric layers 11 and 13 and the ARC layer 18 are the same as described in connection with FIG. 1. Titanium aluminide 16 is directly sputter deposited on the via hole 200. To accomplish this, a titanium aluminide target is used in a sputtering chamber at approximately 2 kW dc target power at 1.5 mtorr pressure. When depositing titanium aluminide directly by sputtering, the titanium aluminide layer is formed at a thickness of approximately 100 to 700Δ, preferably about 400Δ, to provide the barrier properties desired of it.
  • The titanium aluminide is an intermetallic broadly represented by the formula Tix. Preferably, for purposes of the present invention, the titanium aluminide is TiAl3, although TiAl2, and combinations of TiAl3 and TiAl2 in all mixing ratios are also contemplated for use as the target material used in this embodiment.
  • The titanium aluminide via lining 16, once formed, makes interfacial physical contact with the top surface 12′ of the conductor line 12 at the bottom 200′ of the via hole 200. The TiN layer 17 and the plug 14 are then deposited over the preformed titanium aluminide as described above to form a via 201, which is a vertical interconnect between the aluminum conductor lines 12 and 15.
  • FIG. 3 shows an enlarged view of a via fabricated according to a second embodiment of this invention. In this embodiment, the titanium aluminide 37 is formed in situ by heating a deposited titanium film 34 as a source material before further materials are deposited in the via hole 300. A titanium film 34 can be deposited by methods described earlier. After deposition of the titanium, the heating of the wafer can be conducted by transferring it in a vacuum to a heated pedestal where the titanium aluminide is formed, such as by using a CVD reactor-equipped cluster tool. Alternatively, the titanium film can be deposited in a single chamber using a heated pedestal to support the intermediate semiconductor workpiece such that titanium aluminide is formed rapidly as the elemental titanium is deposited on the exposed aluminum surface of aluminum conductor line 31. The dielectric layers 40 and 43 and the ARC layer 42 are of the same types as described in connection with FIG. 1.
  • In one preferred implementation, the titanium aluminide layer 37 is formed by annealing at approximately 140 seconds at a 465EC chuck temperature in a chamber. An approximately 50 to 300Δ titanium layer can deposited and the annealing is performed for a time sufficient such that the titanium at the bottom of the via hole 300 is substantially if not completely reacted with surface portions of the underlying aluminum conductor line 31 to form the titanium aluminide layer portion 37 at the bottom 300′ of the via hole 300. The resulting titanium aluminide layer portion 37 can have a thickness of approximately 100 to 700Δ, preferably about 400Δ, to provide the barrier properties desired of it. The titanium film deposited to line the sidewalls 300″ and out of contact with aluminum of via hole 300 remains elemental titanium after the titanium aluminide formation.
  • The titanium aluminide formed in situ by the annealing operation is predominantly TiAl3, although some minor fraction of TiAl2, and TiCu4 where Al—Cu alloys are involved, can be present but do not effect the result. Then, a TiN layer 35, a plug 36, and an overlying conductor layer (not shown) are successively deposited over the preformed titanium aluminide as described above to form a via.
  • Experimentation has been performed which demonstrated and confirmed the barrier attributes possessed by the titanium aluminide layers formed according this invention. Specifically, 200Δ of Ti was deposited on top of 3.8 kΔ of Al formed on each of two separate Si wafers. A first Ti-coated wafer was heated in an anneal chamber to a temperature and for a time sufficient to form TiAl3 by reaction of substantially all the Ti film with the contacted aluminum surface. The second Ti-coated wafer was not annealed. No TiN barrier layer was deposited over either test wafer. Then both wafers were subjected to fluorine attack in a CVD reactor chamber by exposure to WF6 and heat of approximately 440EC. This comparative test represented a worst case scenario by creating an environment comparable to cracking in a TiN barrier layer of where the TiN layer is discontinuous at the via bottom. As to the results of the experimentation, when viewed under high magnification, the first wafer having the TiAl3 surface layer formed on the Al had no significant indications of fluorine attack. By contrast, the bare Ti-coated wafer suffered extensive fluorine attack seen as a dense cluster of island-like spots all across the surface of the Ti film. These results demonstrated that preformed titanium aluminide layers have high resistance to fluorine attack. Therefore, the preformed titanium aluminide layer formed in this invention provides a back-up measure of protection, in addition to the TiN barrier layer, against fluorine attack during fabrication of the vias.
  • FIG. 4 shows an enlarged view of a via fabricated according to a third embodiment of this invention. This embodiment concerns application of the invention to aluminum plugs. The dielectric layers 40 and 43, and the ARC layer 42, are the same as previously discussed. Before filling the via hole 400 with an aluminum plug 46, a titanium aluminide layer 45 is formed. This can be accomplished by either of the aforementioned methods for providing a titanium aluminide liner layer. That is, in one approach, a titanium layer 44 is deposited on the side walls 400″ and at the bottom 400′ of the via hole 400 where a surface portion of an aluminum conductor line 41 is exposed. The portion of the titanium film 44 deposited on the aluminum film 41 are converted to titanium aluminide in situ by annealing, such as using the annealing procedures described in connection with FIG. 3. Alternatively, a continuous titanium aluminide layer 45 could formed which covers the bottom 400′ and sidewalls 400″ of the via hole 400 using the titanium aluminide sputtering technique described above in connection with FIG. 2. Then, an aluminum plug 46 is deposited in the lined via hole 400, such as by sputtering or other known deposition methods for this purpose. After depositing the aluminum plug 46, planarization is conducted and the next metallization level is formed. The formation of the titanium aluminide prior to deposition of the aluminum plug 46 makes the via structure more stable for plug formation. That is, the extent of the titanium aluminide formation reactions that might occur during plug formation is significantly reduced by the TiAl3 preformiing procedure to help control voiding problems.
  • After forming the vias need for an entire metallization stack according to any of the above embodiments, a secondary anneal optionally can be conducted to enhance the uniformity of the titanium aluminide layer, cure any defects within the deposited materials, and ensure adhesion between the materials. Since any elemental titanium in contact with aluminum has already been converted to titanium aluminide, the secondary annealing does not raise the risk of any further substantial Ti—Al reactions occurring that would lead to void formation problems.
  • FIG. 6 is plan view of a memory module 600 having memory chips 60-68 including semiconductor memory devices constructed in accordance with the present invention. That is, chips 60-68 have an SRAM or a DRAM cell 1 such as described in connection with FIG. 1. Memory module 600 is a SIMM (single in line memory module) having nine memory chips (IC's) 60-68 aligned on one side of a printed circuit board substrate. The number of such memory chips in the SIMM typically will vary between 3 to 9. The circuit board 601 has an edge connector 602 along one longitudinal edge to permit it to plug into a memory socket on a computer motherboard of conventional design (not shown). A wiring pattern (not shown), which can be a conventionally known design for this purpose, is formed on the board 601 and connects the terminals or leads shown comprising the edge connector 602 to the memory chips 60-68. Small ceramic decoupling capacitors 603 are also mounted on substrate 601 to suppress transient voltage spikes. Other than the inventive memory device structures used in memory chips 60-68, than general layout of the SIMM 600 can be a conventional construction.
  • FIG. 7 is a block diagram of a processor-based system 700 using RAM 712 constructed in accordance with the present invention. That is, RAM 712 uses an SRAM or DRAM cell 1 such as described in connection with FIG. 1. The processor-based system 700 may be a computer system, a process control system or any other system employing a processor and associated memory. The system 700 includes a central processing unit (CPU) 702, e.g., a microprocessor, that communicates with the RAM 712 and an I/O device 708 over a bus 720. It must be noted that the bus 720 may be a series of buses and bridges commonly used in a processor-base system, but for convenience purposes only, the bus 720 has been illustrated as a single bus. A second I/O device 710 is illustrated, but is not necessary to practice the invention. The processor-based system 700 also includes read-only memory (ROM) 714 and may include peripheral devices such as a floppy disk drive 704 and a compact disk (CD) ROM drive 706 that also communicates with the CPU 702 over the bus as is well known in the art.
  • While the present invention is described herein with reference to illustrative embodiments for particular applications, it should be understood that the invention is not limited thereto. Those having ordinary skill in the art and access to the teachings provided herein will recognize additional modifications, applications, and embodiments within the scope of the present invention.

Claims (6)

1-40. (canceled)
41. A method of forming a semiconductor device, comprising:
forming a dielectric layer on an aluminum layer;
forming a via hole through the dielectric layer to expose a surface portion of the aluminum at a bottom of the via hole;
depositing titanium aluminide to form a layer thereof over the exposed surface portion of said aluminum layer, wherein said titanium aluminide layer is formed such that any volume change in said titanium aluminide layer occurs before the forming of any overlying layer; and
depositing a conductive material on the titanium aluminide layer.
42. The method of claim 41, wherein the forming of the titanium aluminide layer comprises sputter depositing the titanium aluminide.
43. The method of claim 41, wherein the depositing of said titanium aluminide further deposits said titanium aluminide on sidewalls of the via hole.
44. The method of claim 41, wherein the depositing of the titanium aluminide comprises depositing TiAl3.
45. The method of claim 41, wherein the conductive material comprises a titanium nitride layer, and said method further comprises depositing a refractory metal plug on the conductive material by reduction of a refractory metal halide compound.
US11/431,817 1999-08-11 2006-05-11 Enhanced barrier liner formation for vias Abandoned US20060205209A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/431,817 US20060205209A1 (en) 1999-08-11 2006-05-11 Enhanced barrier liner formation for vias

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/371,955 US6833623B2 (en) 1999-08-11 1999-08-11 Enhanced barrier liner formation for via
US10/985,960 US7163893B2 (en) 1999-08-11 2004-11-12 Advanced barrier liner formation for vias
US11/431,817 US20060205209A1 (en) 1999-08-11 2006-05-11 Enhanced barrier liner formation for vias

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/985,960 Continuation US7163893B2 (en) 1999-08-11 2004-11-12 Advanced barrier liner formation for vias

Publications (1)

Publication Number Publication Date
US20060205209A1 true US20060205209A1 (en) 2006-09-14

Family

ID=23466097

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/371,955 Expired - Fee Related US6833623B2 (en) 1999-08-11 1999-08-11 Enhanced barrier liner formation for via
US10/119,853 Expired - Fee Related US6828233B2 (en) 1999-08-11 2002-04-11 Enhanced barrier liner formation for vias
US10/985,960 Expired - Lifetime US7163893B2 (en) 1999-08-11 2004-11-12 Advanced barrier liner formation for vias
US11/431,817 Abandoned US20060205209A1 (en) 1999-08-11 2006-05-11 Enhanced barrier liner formation for vias

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/371,955 Expired - Fee Related US6833623B2 (en) 1999-08-11 1999-08-11 Enhanced barrier liner formation for via
US10/119,853 Expired - Fee Related US6828233B2 (en) 1999-08-11 2002-04-11 Enhanced barrier liner formation for vias
US10/985,960 Expired - Lifetime US7163893B2 (en) 1999-08-11 2004-11-12 Advanced barrier liner formation for vias

Country Status (1)

Country Link
US (4) US6833623B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249724B2 (en) 2015-10-21 2019-04-02 International Business Machines Corporation Low resistance contact structures for trench structures
US10304773B2 (en) * 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833623B2 (en) * 1999-08-11 2004-12-21 Micron Technology, Inc. Enhanced barrier liner formation for via
US7388289B1 (en) * 1999-09-02 2008-06-17 Micron Technology, Inc. Local multilayered metallization
JP4752108B2 (en) * 2000-12-08 2011-08-17 ソニー株式会社 Semiconductor device and manufacturing method thereof
TW541627B (en) * 2001-03-26 2003-07-11 Halo Lsi Inc Stitch and select implementation in twin monos array
KR100455380B1 (en) * 2002-02-27 2004-11-06 삼성전자주식회사 Semiconductor device having multilevel interconnections and method for manufacturing the same
US7485577B2 (en) * 2003-04-18 2009-02-03 Dongbuanam Semiconductor, Inc. Method of forming metal line stacking structure in semiconductor device
KR100463178B1 (en) * 2002-04-19 2004-12-23 아남반도체 주식회사 Formation method of stacking structure of metal line in semiconductor device
US7494894B2 (en) * 2002-08-29 2009-02-24 Micron Technology, Inc. Protection in integrated circuits
US7307012B2 (en) * 2003-06-30 2007-12-11 Sandisk 3D Llc Post vertical interconnects formed with silicide etch stop and method of making
US7459788B2 (en) * 2004-02-26 2008-12-02 Nec Corporation Ohmic electrode structure of nitride semiconductor device
US20070134418A1 (en) * 2005-12-14 2007-06-14 General Electric Company Method for depositing an aluminum-containing layer onto an article
US7560371B2 (en) * 2006-08-29 2009-07-14 Micron Technology, Inc. Methods for selectively filling apertures in a substrate to form conductive vias with a liquid using a vacuum
JP2008205122A (en) 2007-02-19 2008-09-04 Nec Electronics Corp Semiconductor device and its manufacturing method
US8003536B2 (en) * 2009-03-18 2011-08-23 International Business Machines Corporation Electromigration resistant aluminum-based metal interconnect structure
US8907483B2 (en) * 2012-10-10 2014-12-09 Globalfoundries Inc. Semiconductor device having a self-forming barrier layer at via bottom
US9548349B2 (en) * 2014-06-25 2017-01-17 International Business Machines Corporation Semiconductor device with metal extrusion formation
US9224675B1 (en) 2014-07-31 2015-12-29 International Business Machines Corporation Automatic capacitance tuning for robust middle of the line contact and silicide applications
US9406554B2 (en) 2014-09-30 2016-08-02 International Business Machines Corporation Diffusion barrier layer formation

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4656605A (en) * 1983-09-02 1987-04-07 Wang Laboratories, Inc. Single in-line memory module
US5281850A (en) * 1991-08-07 1994-01-25 Oki Electric Industry Co., Ltd. Semiconductor device multilayer metal layer structure including conductive migration resistant layers
US5313101A (en) * 1990-08-28 1994-05-17 Mitsubishi Denki Kabushiki Kaisha Interconnection structure of semiconductor integrated circuit device
US5312775A (en) * 1991-01-30 1994-05-17 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device having multilayer interconnection structure
US5341026A (en) * 1991-04-09 1994-08-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a titanium and a titanium compound multilayer interconnection structure
US5358901A (en) * 1993-03-01 1994-10-25 Motorola, Inc. Process for forming an intermetallic layer
US5670421A (en) * 1988-07-27 1997-09-23 Hitachi, Ltd. Process for forming multilayer wiring
US5693564A (en) * 1994-12-22 1997-12-02 Intel Corporation Conductor fill reflow with intermetallic compound wetting layer for semiconductor fabrication
US5869901A (en) * 1995-10-17 1999-02-09 Nissan Motor Co., Ltd. Semiconductor device having aluminum interconnection and method of manufacturing the same
US6268284B1 (en) * 1998-10-07 2001-07-31 Tokyo Electron Limited In situ titanium aluminide deposit in high aspect ratio features
US6828233B2 (en) * 1999-08-11 2004-12-07 Micron Technology, Inc. Enhanced barrier liner formation for vias

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4656605A (en) * 1983-09-02 1987-04-07 Wang Laboratories, Inc. Single in-line memory module
US5670421A (en) * 1988-07-27 1997-09-23 Hitachi, Ltd. Process for forming multilayer wiring
US5313101A (en) * 1990-08-28 1994-05-17 Mitsubishi Denki Kabushiki Kaisha Interconnection structure of semiconductor integrated circuit device
US5312775A (en) * 1991-01-30 1994-05-17 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device having multilayer interconnection structure
US5480836A (en) * 1991-04-09 1996-01-02 Mitsubishi Denki Kabushiki Kaisha Method of forming an interconnection structure
US5341026A (en) * 1991-04-09 1994-08-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a titanium and a titanium compound multilayer interconnection structure
US5281850A (en) * 1991-08-07 1994-01-25 Oki Electric Industry Co., Ltd. Semiconductor device multilayer metal layer structure including conductive migration resistant layers
US5358901A (en) * 1993-03-01 1994-10-25 Motorola, Inc. Process for forming an intermetallic layer
US5693564A (en) * 1994-12-22 1997-12-02 Intel Corporation Conductor fill reflow with intermetallic compound wetting layer for semiconductor fabrication
US5869901A (en) * 1995-10-17 1999-02-09 Nissan Motor Co., Ltd. Semiconductor device having aluminum interconnection and method of manufacturing the same
US6268284B1 (en) * 1998-10-07 2001-07-31 Tokyo Electron Limited In situ titanium aluminide deposit in high aspect ratio features
US6828233B2 (en) * 1999-08-11 2004-12-07 Micron Technology, Inc. Enhanced barrier liner formation for vias
US7163893B2 (en) * 1999-08-11 2007-01-16 Micron Technology, Inc. Advanced barrier liner formation for vias

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249724B2 (en) 2015-10-21 2019-04-02 International Business Machines Corporation Low resistance contact structures for trench structures
US10304773B2 (en) * 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US10355094B2 (en) 2015-10-21 2019-07-16 International Business Machines Corporation Low resistance contact structures for trench structures

Also Published As

Publication number Publication date
US20050090083A1 (en) 2005-04-28
US20020109235A1 (en) 2002-08-15
US6828233B2 (en) 2004-12-07
US20020008322A1 (en) 2002-01-24
US6833623B2 (en) 2004-12-21
US7163893B2 (en) 2007-01-16

Similar Documents

Publication Publication Date Title
US20060205209A1 (en) Enhanced barrier liner formation for vias
US6015749A (en) Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US5801095A (en) Production worthy interconnect process for deep sub-half micrometer back-end-of-line technology
US6143672A (en) Method of reducing metal voidings in 0.25 μm AL interconnect
US6720261B1 (en) Method and system for eliminating extrusions in semiconductor vias
US7087997B2 (en) Copper to aluminum interlayer interconnect using stud and via liner
EP1570517B1 (en) A method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6495448B1 (en) Dual damascene process
US6215189B1 (en) Semiconductor device having interconnect layer and method of manufacturing therefor
US6350688B1 (en) Via RC improvement for copper damascene and beyond technology
Merchant et al. Copper interconnects for semiconductor devices
US6566211B2 (en) Surface modified interconnects
US6492267B1 (en) Low temperature nitride used as Cu barrier layer
US20030170975A1 (en) Method for forming a metal extrusion free via
US6096637A (en) Electromigration-resistant via structure
US6200890B1 (en) Method of fabricating copper damascene
US7943505B2 (en) Advanced VLSI metallization
US7067917B2 (en) Gradient barrier layer for copper back-end-of-line technology
JPH10335461A (en) Semiconductor device and manufacture thereof
US6566263B1 (en) Method of forming an HDP CVD oxide layer over a metal line structure for high aspect ratio design rule
CN113611656B (en) Method for manufacturing copper damascene structure
US6440841B2 (en) Method of fabricating vias
US20030017696A1 (en) Method for improving capability of metal filling in deep trench
US20040155348A1 (en) Barrier structure for copper metallization and method for the manufacture thereof
US20030119301A1 (en) Method of fabricating an IMD layer to improve global planarization in subsequent CMP

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION