US20060207504A1 - Film formation method and apparatus for semiconductor process - Google Patents

Film formation method and apparatus for semiconductor process Download PDF

Info

Publication number
US20060207504A1
US20060207504A1 US11/367,339 US36733906A US2006207504A1 US 20060207504 A1 US20060207504 A1 US 20060207504A1 US 36733906 A US36733906 A US 36733906A US 2006207504 A1 US2006207504 A1 US 2006207504A1
Authority
US
United States
Prior art keywords
gas
mixture
process gas
supply
field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/367,339
Inventor
Kazuhide Hasebe
Mitsuhiro Okada
Chaeho Kim
Byounghoon Lee
Pao-Hwa Chou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, CHAEHO, LEE, BYOUNGHOON, CHOU, PAO-HWA, HASEBE, KAZUHIDE, OKADA, MITSUHIR0
Publication of US20060207504A1 publication Critical patent/US20060207504A1/en
Priority to US12/167,270 priority Critical patent/US8343594B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • the present invention relates to a film formation apparatus and method for a semiconductor process for forming a thin film on a target substrate, such as a semiconductor wafer.
  • semiconductor process used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or a glass substrate used for an LCD (Liquid Crystal Display) or FPD (Flat Panel Display), by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • a target substrate such as a semiconductor wafer
  • various processes such as film formation, etching, oxidation, diffusion, reformation, annealing, and natural oxide film removal.
  • Jpn. Pat. Appln. KOKAI Publication No. 6-34974 discloses a semiconductor processing method of this kind performed in a vertical heat-processing apparatus (of the so-called batch type).
  • semiconductor wafers are first transferred from a wafer cassette onto a vertical wafer boat and supported thereon at intervals in the vertical direction.
  • the wafer cassette can store, e.g., 25 wafers, while the wafer boat can support 30 to 150 wafers.
  • the wafer boat is loaded into a process container from below, and the process container is airtightly closed.
  • a predetermined heat process is performed, while the process conditions, such as process gas flow rate, process pressure, and process temperature, are controlled.
  • silicon oxide films are mainly used as insulating films for semiconductor devices.
  • silicon nitride films Si 3 N 4 films
  • silicon nitride films are disposed as films resistant to oxidation, films for preventing impurity diffusion, and sidewall films of gate electrode structures. Since silicon nitride films provide a low coefficient of impurity diffusion and a good barrier property against oxidation, they are very suitable as insulating films for the purpose described above. Further, boron nitride films (BN films) have also attracted attention for the same reasons.
  • DCS and NH 3 are supplied as a silane family gas and a nitriding gas, respectively, to form a silicon nitride film (SiN)
  • the process is performed, as follows. Specifically, DCS and NH 3 gas are alternately and intermittently supplied into a process container with purge periods interposed therebetween.
  • an RF radio frequency
  • DCS is supplied into the process container
  • a layer with a thickness of one molecule or more of DCS is adsorbed onto the surface of wafers.
  • the superfluous DCS is removed during the purge period.
  • NH 3 is supplied and plasma is generated, thereby performing low temperature nitridation to form a silicon nitride film.
  • silicon nitride films have a relatively high dielectric constant, which increases parasitic capacitance and thus is problematic. Specifically, with an increase in parasitic capacitance, the mobility of electrons is suppressed, so the device operation speed decreases. Further, where a silicon nitride film is used for a sensor of the charge storage type, there is a problem in that parasitic capacitance increases a background level.
  • Jpn. Pat. Appln. KOKAI Publication No. 2004-6801 discloses a method of forming a silicon nitride film doped with boron (B) as an impurity, by CVD (Chemical Vapor Deposition). Silicon nitride films doped with boron (SiBN) have not only a low coefficient of impurity diffusion and a good barrier property against oxidation, but also a very low dielectric constant, so they are very useful as insulating films.
  • An object of the present invention is to provide an apparatus and method for a semiconductor process, which can uniformly supply a process gas in a small amount, such as a doping gas, into a process container in the vertical direction, thereby improving the inter-substrate uniformity in the composition of deposited films.
  • a film formation apparatus for a semiconductor process comprising:
  • a process container having a process field configured to accommodate a plurality of target substrates stacked at intervals;
  • a support member configured to support the target substrates inside the process field
  • a heater configured to heat the target substrates inside the process field
  • an exhaust system configured to exhaust gas inside the process field
  • a process gas supply system configured to supply process gases to the process field so as to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film;
  • control section configured to control an operation of the apparatus including the process gas supply system
  • process gas supply system comprises
  • a gas mixture tank disposed outside the process container and configured to mix the first and third process gases to form a mixture gas
  • a mixture gas supply line configured to supply the mixture gas from the gas mixture tank to the process field
  • first and third process gas supply circuits configured to supply the first and third process gases to the gas mixture tank, respectively
  • a second process gas supply circuit having a second process gas supply line configured to supply the second process gas to the process field without passing through the gas mixture tank
  • first and second switching valves disposed on the mixture gas supply line and the second process gas supply line, respectively, and
  • control section controls the first and second switching valves to be opened and closed so as to alternately and pulse-wise supply the mixture gas from the gas mixture tank and the second process gas from the second process gas supply circuit to the process field.
  • a film formation apparatus for a semiconductor process comprising:
  • a process container having a process field configured to accommodate a plurality of target substrates stacked at intervals;
  • a support member configured to support the target substrates inside the process field
  • a heater configured to heat the target substrates inside the process field
  • an exhaust system configured to exhaust gas inside the process field
  • a process gas supply system configured to supply process gases to the process field so as to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas;
  • control section configured to control an operation of the apparatus including the process gas supply system
  • process gas supply system comprises
  • a mixture gas supply line configured to mix the first and third process gases to form a mixture gas and supply the mixture gas to the process field
  • first and third process gas supply circuits having first and third process gas supply lines configured to supply the first and third process gases to the mixture gas supply line, respectively,
  • a second process gas supply circuit having a second process gas supply line configured to supply the second process gas to the process field without passing through the mixture gas supply line
  • a first tank disposed on the first process gas supply line immediately before the first switching valve to temporarily store the first process gas
  • control section controls the first, second, and third switching valves to be opened and closed so as to alternately and pulse-wise supply the mixture gas from the mixture gas supply line and the second process gas from the second process gas supply circuit to the process field.
  • a film formation method for a semiconductor process comprising:
  • process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film,
  • a film formation method for a semiconductor process comprising:
  • process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas,
  • a computer readable medium containing program instructions for execution on a processor, which, when executed by the processor, cause a film formation apparatus for a semiconductor process to execute
  • process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film,
  • a computer readable medium containing program instructions for execution on a processor, which, when executed by the processor, cause a film formation apparatus for a semiconductor process to execute
  • process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas,
  • FIG. 1 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to a first embodiment of the present invention
  • FIG. 2 is a sectional plan view showing part of the apparatus shown in FIG. 1 ;
  • FIG. 3 is a timing chart of the gas supply and RF (radio frequency) application of a film formation method according to a first embodiment
  • FIG. 4 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to a second embodiment of the present invention
  • FIG. 5 is a view showing part of a gas supply system used in a film formation apparatus according to a modification of the second embodiment.
  • FIG. 6 is a block diagram schematically showing the structure of a main control section.
  • the inventors studied problems caused in conventional film formation apparatuses for a semiconductor process, where a plurality of source gases were used to perform a film formation process, such as a process for forming a thin film doped with an impurity. As a result, the inventors have arrived at the findings given below.
  • the source gases includes a main source gas containing a main component element of a deposition film and a doping gas
  • the supply amount of the doping gas is far smaller than the supply amount of the main source gas, in general.
  • the supply amount of the doping gas supplied into a vertical process container is small, a problem arises such that an element derived from the doping gas is taken into deposited films on wafers in varying amounts, depending on the wafer position in the vertical direction. Consequently, the inter-substrate uniformity in the composition of the deposited films tends to be lower. This problem is caused due to differences in the adsorptivity of the source gas relative to the wafer surface and in the flow rate of the source gases.
  • a gas such as a doping gas
  • countermeasures are required to supply the gas at a uniform supply amount in the vertical direction.
  • a gas nozzle having a number of gas spouting holes at predetermined intervals is disposed to extend in the longitudinal direction of the vertical process container, and the doping gas is delivered from the holes.
  • the supply time of the doping gas is shortened to increase the flow rate of the gas per unit time.
  • the flow rate from gas spouting holes at the upstream side tends to be higher than the flow rate from gas spouting holes at the downstream side. In this respect, it is not easy to optimize the dimensions and so forth of gas spouting holes, so as to uniformize the flow rate from the gas spouting holes, for a small gas supply amount.
  • the gas can be mixed with an inactive carrier gas to increase the total flow rate, as a countermeasure.
  • the partial pressure of the doping gas decreases and thus the adsorption rate of the doping gas decreases by that much.
  • FIG. 1 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to a first embodiment of the present invention.
  • FIG. 2 is a sectional plan view showing part of the apparatus shown in FIG. 1 .
  • the film formation apparatus 2 has a process field configured to be selectively supplied with a first process gas containing dichlorosilane (DCS) gas as a silane family gas, a second process gas containing ammonia (NH 3 ) gas as a nitriding gas, and a third process gas containing BCl 3 gas as a boron-containing gas.
  • the film formation apparatus 2 is configured to form an SIBN (boron doped silicon nitride) film on target substrates by CVD in the process field. Accordingly, the boron-containing gas is used as a doping gas.
  • the process field is further configured to be selectively supplied with a fourth process gas containing C 2 H 4 gas (ethylene gas) as a carbon hydride gas, as needed.
  • the apparatus 2 includes a process container 4 shaped as a cylindrical column with a ceiling and an opened bottom, in which a process field 5 is defined to accommodate and process a plurality of semiconductor wafers (target substrates) stacked at intervals in the vertical direction.
  • the entirety of the process container 4 is made of, e.g., quartz.
  • the top of the process container 4 is provided with a quartz ceiling plate 6 to airtightly seal the top.
  • the bottom of the process container 4 is connected through a seal member 10 , such as an O-ring, to a cylindrical manifold 8 .
  • the process container may be entirely formed of a cylindrical quartz column without a manifold 8 separately formed.
  • the manifold 8 is made of, e.g., stainless steel, and supports the bottom of the process container 4 .
  • a wafer boat 12 made of quartz is moved up and down through the bottom port of the manifold 8 , so that the wafer boat 12 is loaded/unloaded into and from the process container 4 .
  • a number of target substrates or semiconductor wafers W are stacked on a wafer boat 12 .
  • the wafer boat 12 has struts 12 A that can support, e.g., about 50 to 100 wafers having a diameter of 300 mm at essentially regular intervals in the vertical direction.
  • the wafer boat 12 is placed on a table 16 through a heat-insulating cylinder 14 made of quartz.
  • the table 16 is supported by a rotary shaft 20 , which penetrates a lid 18 made of, e.g., stainless steel, and is used for opening/closing the bottom port of the manifold 8 .
  • the portion of the lid 18 where the rotary shaft 20 penetrates is provided with, e.g., a magnetic-fluid seal 22 , so that the rotary shaft 20 is rotatably supported in an airtightly sealed state.
  • a seal member 24 such as an O-ring, is interposed between the periphery of the lid 18 and the bottom of the manifold 8 , so that the interior of the process container 4 can be kept sealed.
  • the rotary shaft 20 is attached at the distal end of an arm 26 supported by an elevating mechanism 25 , such as a boat elevator.
  • the elevating mechanism 25 moves the wafer boat 12 and lid 18 up and down integratedly.
  • the table 16 may be fixed to the lid 18 , so that wafers W are processed without rotation of the wafer boat 12 .
  • a gas supply system is connected to the side of the manifold 8 to supply predetermined process gases to the process field 5 within the process container 4 .
  • the gas supply system includes a second process gas supply circuit 28 , a first process gas supply circuit 30 , a third process gas supply circuit 32 , and a purge gas supply circuit 36 , and, as needed, a fourth process gas supply circuit 34 .
  • the first process gas supply circuit 30 is arranged to supply a first process gas containing a silane family gas, such as DCS (dichlorosilane) gas.
  • the second process gas supply circuit 28 is arranged to supply a second process gas containing a nitriding gas, such as ammonia (NH 3 ) gas.
  • the third process gas supply circuit 32 is arranged to supply a third process gas containing a boron-containing gas (doping gas), such as BC 1 3 gas.
  • the fourth process gas supply circuit 34 is arranged to supply a fourth process gas containing a carbon hydride gas, such as C 2 H 4 gas (ethylene gas).
  • the purge gas supply circuit 36 is arranged to supply an inactive gas, such as N 2 gas, as a purge gas.
  • Each of the first, second, and fourth process gases is mixed with a suitable amount of carrier gas, as needed. However, such a carrier gas will not be mentioned, hereinafter, for the sake of simplicity of explanation.
  • the first, third, and fourth process gas supply circuits 30 , 32 , and 34 are connected to a common mixture gas supply circuit 35 .
  • the mixture gas supply circuit 35 has a gas mixture tank configured to mix the first and third process gases, and further the fourth process gas in addition thereto, as needed.
  • the gas mixture tank 42 is designed to have a volume for uniformly mixing the gases and temporarily store a sufficient supply amount of the mixture gas, such as a volume of, e.g., about four liters (to be changed depending on the gas flow rate).
  • the gas mixture tank 52 is connected to a gas distribution nozzle 40 formed of a quartz pipe through a mixture gas supply line 44 provided with a switching valve 44 A.
  • the second process gas supply circuit 28 is connected to a gas distribution nozzle 38 formed of a quartz pipe.
  • the gas distribution nozzles 38 and 40 penetrate the sidewall of the manifold 8 from the outside and then turn and extend upward.
  • the gas distribution nozzles 38 and 40 respectively have a plurality of gas spouting holes 38 A and 40 A, each set of holes being formed at predetermined intervals in the longitudinal direction (the vertical direction) over all the wafers W on the wafer boat 12 .
  • Each of the gas spouting holes 38 A and 40 A delivers the corresponding process gas almost uniformly in the horizontal direction, so as to form gas flows parallel with the wafers W on the wafer boat 12 .
  • the purge gas supply circuit 36 includes a short gas nozzle 46 , which penetrates the sidewall of the manifold 8 from the outside.
  • the gas mixture tank 52 is connected to gas sources 30 S, 32 S, and 34 S of DCS gas, BC 1 3 gas, and C 2 H 4 gas, respectively, through gas supply lines (gas passages) 50 , 52 , and 54 of the first, third, and fourth process gas supply circuits 30 , 32 , and 34 , respectively.
  • the gas distribution nozzle 38 of the second process gas supply circuit 28 is connected to a gas source 28 S of NH 3 gas through a gas supply line (gas passage) 48 .
  • the nozzle 46 of the purge gas supply circuit 36 is connected to a gas source 36 S of N 2 gas through gas supply lines (gas passage) 56 .
  • the gas supply lines 48 , 50 , 52 , 54 , and 56 are provided with switching valves 48 A, 50 A, 52 A, 54 A, and 56 A and flow rate controllers 48 B, 50 B, 52 B, 54 B, and 56 B, such as mass flow controllers, respectively.
  • NH 3 gas, DCS gas, BCl 3 gas, C 2 H 4 gas, and N 2 gas can be supplied at controlled flow rates.
  • a gas exciting section 66 is formed at the sidewall of the process container 4 in the vertical direction.
  • a long and thin exhaust port 68 for vacuum-exhausting the inner atmosphere is formed by cutting the sidewall of the process container 4 in, e.g., the vertical direction.
  • the gas exciting section 66 has a vertically long and thin opening 70 formed by cutting a predetermined width of the sidewall of the process container 4 , in the vertical direction.
  • the opening 70 is covered with a quartz cover 72 airtightly connected to the outer surface of the process container 4 by welding.
  • the cover 72 has a vertical long and thin shape with a concave cross-section, so that it projects outward from the process container 4 .
  • the gas exciting section 66 is formed such that it projects outward from the sidewall of the process container 4 and is opened on the other side to the interior of the process container 4 .
  • the inner space of the gas exciting section 66 communicates with the process field 5 within the process container 4 .
  • the opening 70 has a vertical length sufficient to cover all the wafers W on the wafer boat 12 in the vertical direction.
  • a pair of long and thin electrodes 74 are disposed on the opposite outer surfaces of the cover 72 , and face each other along the longitudinal direction (the vertical direction).
  • the electrodes 74 are connected to an RF (Radio Frequency) power supply 76 for plasma generation, through feed lines 78 .
  • An RF voltage of, e.g., 13.56 MHz is applied to the electrodes 74 to form an RF electric field for exciting plasma between the electrodes 74 .
  • the frequency of the RF voltage is not limited to 13.56 MHz, and it may be set at another frequency, e.g., 400 kHz.
  • the gas distribution nozzle 38 of the second process gas is bent outward in the radial direction of the process container 4 , at a position lower than the lowermost wafer W on the wafer boat 12 . Then, the gas distribution nozzle 38 vertically extends at the deepest position (the farthest position from the center of the process container 4 ) in the gas exciting section 66 . As shown also in FIG. 2 , the gas distribution nozzle 38 is separated outward from an area sandwiched between the pair of electrodes 74 (a position where the RF electric field is most intense), i.e., a plasma generation area PS where the main plasma is actually generated.
  • the second process gas containing NH 3 gas is spouted from the gas spouting holes 38 A of the gas distribution nozzle 38 toward the plasma generation area PS. Then, the second process gas is excited (decomposed or activated) in the plasma generation area PS, and is supplied in this state onto the wafers W on the wafer boat 12 .
  • An insulating protection cover 80 made of, e.g., quartz is attached on and covers the outer surface of the cover 72 .
  • a cooling mechanism (not shown) is disposed in the insulating protection cover 80 and comprises coolant passages respectively facing the electrodes 74 .
  • the coolant passages are supplied with a coolant, such as cooled nitrogen gas, to cool the electrodes 74 .
  • the insulating protection cover 80 is covered with a shield (not shown) disposed on the outer surface to prevent RF leakage.
  • the gas distribution nozzle 40 of the mixture gas supply circuit 35 is disposed to vertically extend upward.
  • the mixture gas (a mixture gas of the first and third process gases, and further the fourth process gas in addition thereto, as needed) is spouted from the gas spouting holes 40 A of the gas distribution nozzle 40 toward the center of the process container 4 .
  • the exhaust port 68 which is formed opposite the gas exciting section 66 , is covered with an exhaust port cover member 82 .
  • the exhaust port cover member 82 is made of quartz with a U-shape cross-section, and attached by welding.
  • the exhaust cover member 82 extends upward along the sidewall of the process container 4 , and has a gas outlet 84 at the top of the process container 4 .
  • the gas outlet 84 is connected to a vacuum-exhaust system GE including a vacuum pump and so forth.
  • the process container 4 is surrounded by a heater 86 , which is used for heating the atmosphere within the process container 4 and the wafers W.
  • a thermocouple (not shown) is disposed near the exhaust port 68 in the process container 4 to control the heater 86 .
  • the film formation apparatus 2 further includes a main control section 60 formed of, e.g., a computer, to control the entire apparatus.
  • the main control section 60 can control the film formation process described below in accordance with the process recipe of the film formation process concerning, e.g., the film thickness and composition of a film to be formed, stored in the memory 212 thereof in advance.
  • the relationship between the process gas flow rates and the thickness and composition of the film is also stored as control data in advance.
  • the main control section 60 can control the elevating mechanism 25 , gas supply circuits 28 , 30 , 32 , 34 , 35 and 36 , exhaust system GE, gas exciting section 66 , heater 86 , and so forth, based on the stored process recipe and control data.
  • ALD Advanced Layer Deposition
  • a film formation method such as ALD (Atomic Layer Deposition) film formation
  • a third process gas containing BC 1 3 gas as a boron-containing gas are selectively supplied into the process field 5 accommodating wafers W.
  • this film formation method is an example where a fourth process gas containing C 2 H 4 gas (ethylene gas) as a carbon hydride gas is not supplied.
  • the wafer boat 12 at room temperature which supports a number of, e.g., 50 to 100, wafers having a diameter of 300 mm, is loaded into the process container 4 heated at a predetermined temperature, and the process container 4 is airtightly closed. Then, the interior of the process container 4 is vacuum-exhausted and kept at a predetermined process pressure, and the wafer temperature is increased to a process temperature for film formation. At this time, the apparatus is in a waiting state until the temperature becomes stable. Then, while the wafer boat 12 is rotated, the first to third process gases are intermittently supplied from the respective gas distribution nozzles 38 and 40 at controlled flow rates.
  • the first process gas containing DCS gas and the third process gas containing BCl 3 gas are supplied into the gas mixture tank 42 to form a mixture gas.
  • the mixture gas is supplied from the gas spouting holes 40 A of the gas distribution nozzle 40 to form gas flows parallel with the wafers W on the wafer boat 12 . While being supplied, molecules of DCS gas and BC 1 3 gas and molecules and atoms of decomposition products generated by their decomposition are adsorbed on the wafers W.
  • the second process gas containing NH 3 gas is supplied from the gas spouting holes 38 A of the gas distribution nozzle 38 to form gas flows parallel with the wafers W on the wafer boat 12 .
  • the second process gas is selectively excited and partly turned into plasma when it passes through the plasma generation area PS between the pair of electrodes 74 .
  • radicals activated species
  • the symbol ⁇ * ⁇ denotes that it is a radical.
  • the radicals flow out from the opening 70 of the gas exciting section 66 toward the center of the process container 4 , and are supplied into gaps between the wafers W in a laminar flow state.
  • the radicals react with molecules of DCS gas adsorbed on the surface of the wafers W, so that a thin film is formed on the wafers W. Further, at this time, B atoms generated by decomposition of BC 1 3 gas are taken into the thin film, so a film of SiBN doped with boron as an impurity is formed. Alternatively, when DCS gas and BC 1 3 gas flow onto radicals adsorbed on the surface of the wafers W, the same reaction is caused, so an SIBN film doped with boron is formed on the wafers W.
  • FIG. 3 is a timing chart of the gas supply and RF (radio frequency) application of a film formation method according to the first embodiment.
  • the film formation method according to this embodiment is arranged to alternately repeat first to fourth steps T 1 to T 4 .
  • a cycle comprising the first to fourth steps T 1 to T 4 is repeated a number of times, and thin films of SiBN formed by respective cycles are laminated, thereby arriving at an SiBN film having a target thickness.
  • the first step T 1 is arranged to perform supply of the mixture gas of the first process gas (denoted as DCS in FIG. 3 ) and the third process gas (denoted as BCl 3 in FIG. 3 ) to the process field 5 , while stopping supply of the second process gas (denoted as NH 3 in FIG. 3 ) to the process field 5 .
  • the second step T 2 is arranged to stop supply of the mixture gas of the first and third process gases and the second process gas to the process field 5 .
  • the third step T 3 is arranged to perform supply of the second process gas to the process field 5 , while stopping supply of the mixture gas of the first and third process gases to the process field 5 .
  • the RF power supply 76 is set in the ON state to turn the second process gas into plasma by the gas exciting section 66 , so as to supply the second process gas in an activated state to the process field 5 during a sub-step T 3 b.
  • the fourth step T 4 is arranged to stop supply of the mixture gas of the first and third process gases and the second process gas to the process field 5 .
  • the RF power supply 76 is turned on after a predetermined time ⁇ t passes, to turn the second process gas into plasma by the gas exciting section 66 , so as to supply the second process gas in an activated state to the process field 5 during the sub-step T 3 b.
  • the predetermined time ⁇ t is defined as the time necessary for stabilizing the flow rate of NH 3 gas, which is set at, e.g., about 5 seconds.
  • the second process gas may be turned into plasma by the gas exciting section 66 over the entire period of supplying the second process gas. Since the RF power supply is turned on to generate plasma after the flow rate of the second process gas is stabilized, the uniformity of radical concentration among the wafers W (uniformity in the vertical direction) is improved.
  • Each of the second and fourth steps T 2 and T 4 is used as a purge step to remove the residual gas within the process container 4 .
  • the term “purge” means removal of the residual gas within the process container 4 by vacuum-exhausting the interior of the process container 4 while supplying an inactive gas, such as N 2 gas, into the process container 4 , or by vacuum-exhausting the interior of the process container 4 while stopping supply of all the gases.
  • the second and fourth steps T 2 and T 4 may be arranged such that the first half utilizes only vacuum-exhaust and the second half utilizes both vacuum-exhaust and inactive gas supply.
  • first and third steps T 1 and T 3 may be arranged to stop vacuum-exhausting the process container 4 while supplying each of the first to third process gases.
  • the interior of the process container 4 can be continuously vacuum-exhausted over the entirety of the first to fourth steps T 1 to T 4 .
  • the first step T 1 is set to be within a range of about 1 to 20 seconds, and, for example, at about 10 seconds, the second step T 2 is set to be within a range of about 5 to 15 seconds, and, for example, at about 10 seconds, the third step T 3 is set to be within a range of about 1 to 30 seconds, and, for example, at about 20 seconds, the sub-step T 3 b is set to be within a range of about 1 to 25 seconds, and, for example, at about 10 seconds, and the fourth step T 4 is set to be within a range of about 5 to 15 seconds, and, for example, at about 10 seconds.
  • the film thickness obtained by one cycle of the first to fourth steps T 1 to T 4 is about 0.11 to 0.13 nm. Accordingly, for example, where the target film thickness is 70 nm, the cycle is repeated about 600 times.
  • these values of time and thickness are merely examples and thus are not limiting.
  • the process conditions of the film formation process are as follows.
  • the flow rate of DCS gas is set to be within a range of 50 to 2,000 sccm, e.g., at 1,000 sccm (1 slm).
  • the flow rate of NH 3 gas is set to be within a range of 500 to 5,000 sccm, e.g., at 1,000 sccm.
  • the flow rate of BC 1 3 gas is set to be within a range of 1 to 15 sccm, e.g., at 2 sccm. Accordingly, the flow rate of BC 1 3 gas is far smaller than the flow rate of DCS gas.
  • the process temperature is lower than ordinary CVD processes, and is set to be within a range of 300 to 700° C., and preferably a range of 550 to 630° C. If the process temperature is lower than 300° C., essentially no film is deposited because hardly any reaction is caused. If the process temperature is higher than 700° C., a low quality CVD film is deposited, and existing films, such as a metal film, suffer thermal damage.
  • the process pressure is set to be within a range of 13 Pa (0.1 Torr) to 1,330 Pa (10 Torr), and preferably a range of 40 Pa (0.3 Torr) to 266 Pa (2 Torr).
  • the process pressure is set at 1 Torr during the first step (adsorption step) T 1 , and at 0.3 Torr during the third step (nitridation step using plasma) T 3 . If the process pressure is lower than 13 Pa, the film formation rate becomes lower than the practical level. If the process pressure is higher than 1,330 Pa, it becomes difficult to generate plasma.
  • the first process gas containing DCS gas for providing the main material of a thin film, and the third process gas containing BCl 3 gas for providing a sub-material of the thin film are uniformly mixed at first in the gas mixture tank 42 to form a mixture gas.
  • the mixture gas is then intermittently supplied into the process field 5 through a plurality of gas spouting holes 40 A arrayed at intervals in the vertical direction.
  • the amount of BCl 3 gas is small, it can be essentially uniformly distributed within the process container 4 in the vertical direction without using a carrier gas. Consequently, the ratio of the composition elements in SiBN thin films to be formed becomes more uniform, regardless of wafer position.
  • the supply amount of the third process gas is far smaller than the supply amount of the first process gas.
  • the third process gas in a smaller supply amount is made to accompany the first process gas in a larger supply amount, so that it can be uniformly distributed within the process container 4 in the vertical direction.
  • the supply amount of one source gas is 1/100 or less of the supply amount of the other source gas, the above-described effect of uniformizing the ratio of the composition elements in films is more influential.
  • BCl 3 gas When BC 1 3 gas is adsorbed on the wafer surface, the adsorption amount depends on the partial pressure of BC 1 3 gas.
  • BCl 3 gas is supplied into the process container 4 uniformly in the vertical direction without using a carrier gas.
  • the partial pressure of BC 1 3 gas can be higher than that in the case of a carrier gas being used, so adsorption of BCl 3 gas on the wafer surface is promoted. Consequently, while the film formation rate per unit cycle is maintained, the time necessary for one cycle is shortened, so the throughput is improved.
  • a conventional film formation method took about 15 seconds until BCl 3 gas was sufficiently adsorbed on a wafer surface.
  • the present embodiment took only two or three seconds for the same. Accordingly, the conventional method took about 30 seconds for one cycle, while the present embodiment shortened this period to only 8 seconds.
  • the mixture gas may be formed and supplied by either of the following two methods.
  • the first method comprises continuously supplying the first and third process gases from the first and third process gas supply circuits 30 and 32 into the gas mixture tank 42 , while supplying the mixture gas pulse-wise from the gas mixture tank 42 into the process field 5 .
  • the second method comprises simultaneously supplying the first and third process gases pulse-wise in a first phase from the first and third process gas supply circuits 30 and 32 into the gas mixture tank 42 , while supplying the mixture gas pulse-wise in a second phase reverse to the first phase from the gas mixture tank 42 into the process field 5 .
  • the switching valves 50 A and 52 A of the first and third process gas supply circuits 30 and 32 and the switching valve 44 A of the mixture gas supply circuit 35 are opened and closed in accordance with instructions from the main control section 60 , as follows.
  • the switching valves 50 A and 52 A are kept opened and the switching valve 44 A is opened and closed pulse-wise.
  • the switching valves 50 A and 52 A are opened and closed pulse-wise while the switching valve 44 A is closed and opened pulse-wise in the reverse phase.
  • the fourth process gas containing C 2 H 4 gas (ethylene gas) as a carbon hydride gas is not supplied, but the fourth process gas may be supplied, as needed.
  • the fourth process gas is supplied into the gas mixture tank 42 at a controlled flow rate, simultaneously with the first and third process gases.
  • a thin film to be formed is an insulating film of SiBCN (boron doped silicon carbon nitride) containing carbon.
  • FIG. 4 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to a second embodiment of the present invention.
  • This film formation apparatus 2 X has the same structure as the film formation apparatus 2 shown in FIG. 1 except for the first, third, and fourth process gas supply circuits 30 , 32 , and 34 and the mixture gas supply circuit 35 . Accordingly, an explanation will be give of the film formation apparatus 2 X, focusing on the difference from the film formation apparatus 2 .
  • the first, third, and fourth process gas supply circuits 30 , 32 , and 34 are connected to a common mixture gas supply circuit 35 X.
  • the mixture gas supply circuit 35 X includes a mixture gas supply pipe 40 X configured to mix the first and third process gases, and further the fourth process gas in addition thereto, as needed.
  • the mixture gas supply pipe 40 X is formed of a quartz pipe, which is a proximal end portion of a gas distribution nozzle 40 .
  • the first, third, and fourth process gas supply circuits 30 , 32 , and 34 have tanks 102 , 104 , and 106 , respectively, disposed immediately before the mixture gas supply pipe 40 X to temporarily store the corresponding process gases. Since the tanks 102 and 106 need to handle a large gas flow rate, they are larger than the tank 104 . For example, each of the tanks 102 and 106 has a volume of about four liters, while the tank 104 has a volume of about 0.05 liters (both to be changed depending on the gas flow rate).
  • the tanks 102 , 104 , and 106 are connected to the mixture gas supply pipe 40 X through gas supply lines 103 , 105 , and 107 provided with switching valves 103 A, 105 A, and 107 A, respectively.
  • the tanks 102 , 104 , and 106 of the first, third, and fourth process gas supply circuits 30 , 32 , and 34 are connected to gas sources 30 S, 32 S, and 34 S of DCS gas, BC 1 3 gas, and C 2 H 4 gas, respectively, through gas supply lines (gas passages) 50 , 52 , and 54 .
  • the gas supply lines 50 , 52 , and 54 are provided with switching valves 50 A, 52 A, and 54 A and flow rate controllers 50 B, 52 B, and 54 B, such as mass flow controllers, respectively. With this arrangement, DCS gas, BC 1 3 gas, and C 2 H 4 gas can be supplied at controlled flow rates.
  • ALD Advanced Deposition
  • this film formation method is also an example where a fourth process gas containing C 2 H 4 gas (ethylene gas) as a carbon hydride gas is not supplied.
  • the gas supply and RF (radio frequency) application of this film formation method are performed in accordance with the timing chart shown in FIG. 3 .
  • a mixture gas denoted as DCS+BC 1 3 in FIG.
  • the switching valves 103 A and 105 A are simultaneously opened and closed to supply first and third process gases temporarily stored in the tanks 102 and 104 into the mixture gas supply pipe 40 X and mix them in the pipe 40 X.
  • the mixture gas is supplied from the gas spouting holes 40 A of the gas distribution nozzle 40 to form gas flows parallel with the wafers W on the wafer boat 12 .
  • the mixture gas may be formed and supplied by either of the following two methods (also in the following explanation, the fourth process gas is not supplied).
  • the first method comprises continuously supplying the first and third process gases into the respective tanks 102 and 104 of the first and third process gas supply circuits 30 and 32 , while supplying the gases pulse-wise from the respective tanks 102 and 104 into the mixture gas supply pipe 40 X.
  • the second method comprises simultaneously supplying the first and third process gases pulse-wise in a first phase into the respective tanks 102 and 104 of the first and third process gas supply circuits 30 and 32 , while supplying the gases pulse-wise in a second phase reverse to the first phase from the respective tanks 102 and 104 into the mixture gas supply pipe 40 X.
  • the switching valves 50 A and 52 A of the first and third process gas supply circuits 30 and 32 and the switching valves 103 A and 105 A downstream from the tanks are opened and closed in accordance with instructions from the main control section 60 , as follows.
  • the switching valves 50 A and 52 A are kept opened and the switching valves 103 A and 105 A are opened and closed pulse-wise.
  • the switching valves 50 A and 52 A are opened and closed pulse-wise while the switching valves 103 A and 105 A are closed and opened pulse-wise in the reverse phase.
  • FIG. 5 is a view showing part of a gas supply system used in a film formation apparatus according to a modification of the second embodiment (the fourth process gas supply circuit is not shown).
  • the tank 104 of the third process gas supply circuit 32 is omitted because the flow rate of the third process gas containing BCl 3 gas is far smaller than the flow rate of the first process gas containing DCS gas.
  • the third process gas in a smaller amount is made to be uniformly mixed with the first process gas in a larger amount within the mixture gas supply pipe 40 X, so that the third process gas is uniformly supplied.
  • FIG. 6 is a block diagram schematically showing the structure of the main control section 60 .
  • the main control section 60 includes a CPU 210 , which is connected to a storage section 212 , an input section 214 , and an output section 216 .
  • the storage section 212 stores process programs and process recipes.
  • the input section 214 includes input devices, such as a keyboard, a pointing device, and a storage media drive, to interact with an operator.
  • the output section 216 outputs control signals for controlling components of the processing apparatus.
  • FIG. 6 also shows a storage medium 218 attached to the computer in a removable state.
  • Each of the methods according to the first and second embodiments may be written as program instructions for execution on a processor, into a computer readable storage medium or media to be applied to a semiconductor processing apparatus.
  • program instructions of this kind may be transmitted by a communication medium or media and thereby applied to a semiconductor processing apparatus.
  • the storage medium or media are a magnetic disk (flexible disk, hard disk (a representative of which is a hard disk included in the storage section 212 ), etc.), an optical disk (CD, DVD, etc.), a magneto-optical disk (MO, etc.), and a semiconductor memory.
  • a computer for controlling the operation of the semiconductor processing apparatus reads program instructions stored in the storage medium or media, and executes them on a processor, thereby performing a corresponding method, as described above.
  • the exciting section 66 for generating plasma of the film formation apparatus 2 is integrally combined with the process container 4 .
  • the exciting section 66 may be separately disposed from the process container 4 , so as to excite NH 3 gas outside the process container 4 (so called remote plasma), and then supply the excited NH 3 gas into the process container 4 .
  • NH 3 gas may be supplied without being activated, and, in this case, the members associating with the gas exciting section 66 are not necessary.
  • the process temperature needs to be increased to some extent to compensate for energy decrease due to no plasma being used.
  • the distribution nozzle 40 having a number of gas spouting holes 40 A is used as a nozzle for supplying the mixture gas.
  • a linear or straight pipe similar to the gas nozzle 46 , or a bent or L-shaped pipe may be used for the same purpose.
  • the mixture gas is supplied from below or above the process field 5 .
  • an exhaust port 68 is formed at the top or bottom of the process container 4 to cause the mixture gas to sufficiently flow within the process field 5 in the vertical direction.
  • the first process gas contains DCS gas as a silane family gas.
  • the silane family gas may be one or more gases selected from the group consisting of dichlorosilane (DCS), hexachlorodisilane (HCD), monosilane (SiH 4 ), disilane (Si 2 Cl 6 ), hexamethyl-disilazane (HMDS), tetrachlorosilane (TCS), disilylamine (DSA), trisilylamine (TSA), bistertial-butylaminosilane (BTBAS).
  • DCS dichlorosilane
  • HCD hexachlorodisilane
  • H 4 monosilane
  • Si 2 Cl 6 hexamethyl-disilazane
  • HMDS tetrachlorosilane
  • DSA disilylamine
  • TSA trisilylamine
  • BBAS bistertial-butylaminosilane
  • the second process gas contains a nitriding gas, which may be NH 3 gas or N 2 gas.
  • a nitriding gas such as dinitrogen oxide (N 2 O) or nitrogen oxide (NO)
  • N 2 O dinitrogen oxide
  • NO nitrogen oxide
  • a film to be formed is a film based on silicon oxynitride that contains oxygen (O).
  • the third process gas contains BC 1 3 gas as a boron-containing gas.
  • the boron-containing gas may be one or more gases selected from the group consisting of BC 1 3 , B 2 H 6 , BF 3 , and B(CH 3 ) 3 .
  • the fourth process gas contains ethylene gas as a carbon hydride gas.
  • the carbon hydride gas may be one or more gases selected from the group consisting of acetylene, ethylene, methane, ethane, propane, and butane.
  • an SiBN film or SiBCN film is formed.
  • the present invention may be applied to a process for forming a BCN film (carbon-containing boron nitride film).
  • a boron-containing gas and a carbon hydride gas are used as source gases and mixed.
  • the present invention can be applied to various film formation processes which use a plurality of source gases that does not cause any problem if they are mixed before being supplied into a process container.
  • the present invention may be applied to a film formation process using an oxidizing gas, such as O 2 gas, as a reaction gas.
  • a target substrate is not limited to a semiconductor wafer, and it may be another substrate, such as an LCD substrate or glass substrate.

Abstract

A film formation apparatus for a semiconductor process includes a process gas supply system configured to supply process gases. The process gas supply system includes a gas mixture tank configured to mix first and third process gases to form a mixture gas, a mixture gas supply line configured to supply the mixture gas from the gas mixture tank to a process field, a second process gas supply circuit having a second process gas supply line configured to supply a second process gas to the process field without passing through the gas mixture tank, and first and second switching valves disposed on the mixture gas supply line and the second process gas supply line, respectively. A control section controls the first and second switching valves to be opened and closed so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is based upon and claims the benefit of priority from prior Japanese Patent Applications No. 2005-070034, filed Mar. 11, 2005; and No. 2006-004192, filed Jan. 11, 2006, the entire contents of both of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a film formation apparatus and method for a semiconductor process for forming a thin film on a target substrate, such as a semiconductor wafer. The term “semiconductor process” used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or a glass substrate used for an LCD (Liquid Crystal Display) or FPD (Flat Panel Display), by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • 2. Description of the Related Art
  • In manufacturing semiconductor devices for constituting semiconductor integrated circuits, a target substrate, such as a semiconductor wafer, is subjected to various processes, such as film formation, etching, oxidation, diffusion, reformation, annealing, and natural oxide film removal. Jpn. Pat. Appln. KOKAI Publication No. 6-34974 discloses a semiconductor processing method of this kind performed in a vertical heat-processing apparatus (of the so-called batch type). According to this method, semiconductor wafers are first transferred from a wafer cassette onto a vertical wafer boat and supported thereon at intervals in the vertical direction. The wafer cassette can store, e.g., 25 wafers, while the wafer boat can support 30 to 150 wafers. Then, the wafer boat is loaded into a process container from below, and the process container is airtightly closed. Then, a predetermined heat process is performed, while the process conditions, such as process gas flow rate, process pressure, and process temperature, are controlled.
  • Conventionally, silicon oxide films (SiO2 films) are mainly used as insulating films for semiconductor devices. However, in recent years, owing to the demands of increased integration and miniaturization of semiconductor integrated circuits, silicon nitride films (Si3N4 films) are used in place of silicon oxide films, as needed (Jpn. Pat. Appln. KOKAI Publication No. 6-34974). For example, silicon nitride films are disposed as films resistant to oxidation, films for preventing impurity diffusion, and sidewall films of gate electrode structures. Since silicon nitride films provide a low coefficient of impurity diffusion and a good barrier property against oxidation, they are very suitable as insulating films for the purpose described above. Further, boron nitride films (BN films) have also attracted attention for the same reasons.
  • For example, where dichlorosilane (DCS) and NH3 are supplied as a silane family gas and a nitriding gas, respectively, to form a silicon nitride film (SiN), the process is performed, as follows. Specifically, DCS and NH3 gas are alternately and intermittently supplied into a process container with purge periods interposed therebetween. When NH3 gas is supplied, an RF (radio frequency) is applied to generate plasma within the process container so as to promote a nitridation reaction. More specifically, when DCS is supplied into the process container, a layer with a thickness of one molecule or more of DCS is adsorbed onto the surface of wafers. The superfluous DCS is removed during the purge period. Then, NH3 is supplied and plasma is generated, thereby performing low temperature nitridation to form a silicon nitride film. These sequential steps are repeated to complete a film having a predetermined thickness.
  • On the other hand, in recent years, increasing the operation speed of semiconductor devices is also an important factor. In this respect, silicon nitride films have a relatively high dielectric constant, which increases parasitic capacitance and thus is problematic. Specifically, with an increase in parasitic capacitance, the mobility of electrons is suppressed, so the device operation speed decreases. Further, where a silicon nitride film is used for a sensor of the charge storage type, there is a problem in that parasitic capacitance increases a background level.
  • Under the circumstances, it has been proposed to dope a silicon nitride film with an impurity, so as to decrease the dielectric constant while maintaining the impurity diffusion coefficient and oxidation barrier property. Jpn. Pat. Appln. KOKAI Publication No. 2004-6801 discloses a method of forming a silicon nitride film doped with boron (B) as an impurity, by CVD (Chemical Vapor Deposition). Silicon nitride films doped with boron (SiBN) have not only a low coefficient of impurity diffusion and a good barrier property against oxidation, but also a very low dielectric constant, so they are very useful as insulating films.
  • However, as described later, the present inventors have found that, where a process gas in a small amount, such as a doping gas, is used in conventional vertical heat-processing apparatuses (of the so-called batch type), the inter-substrate uniformity in the composition of deposited films tends to be deteriorated.
  • BRIEF SUMMARY OF THE INVENTION
  • An object of the present invention is to provide an apparatus and method for a semiconductor process, which can uniformly supply a process gas in a small amount, such as a doping gas, into a process container in the vertical direction, thereby improving the inter-substrate uniformity in the composition of deposited films.
  • According to a first aspect of the present invention, there is provided a film formation apparatus for a semiconductor process, comprising:
  • a process container having a process field configured to accommodate a plurality of target substrates stacked at intervals;
  • a support member configured to support the target substrates inside the process field;
  • a heater configured to heat the target substrates inside the process field;
  • an exhaust system configured to exhaust gas inside the process field;
  • a process gas supply system configured to supply process gases to the process field so as to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film;
  • a control section configured to control an operation of the apparatus including the process gas supply system,
  • wherein the process gas supply system comprises
  • a gas mixture tank disposed outside the process container and configured to mix the first and third process gases to form a mixture gas,
  • a mixture gas supply line configured to supply the mixture gas from the gas mixture tank to the process field,
  • first and third process gas supply circuits configured to supply the first and third process gases to the gas mixture tank, respectively,
  • a second process gas supply circuit having a second process gas supply line configured to supply the second process gas to the process field without passing through the gas mixture tank,
  • first and second switching valves disposed on the mixture gas supply line and the second process gas supply line, respectively, and
  • wherein the control section controls the first and second switching valves to be opened and closed so as to alternately and pulse-wise supply the mixture gas from the gas mixture tank and the second process gas from the second process gas supply circuit to the process field.
  • According to a second aspect of the present invention, there is provided a film formation apparatus for a semiconductor process, comprising:
  • a process container having a process field configured to accommodate a plurality of target substrates stacked at intervals;
  • a support member configured to support the target substrates inside the process field;
  • a heater configured to heat the target substrates inside the process field;
  • an exhaust system configured to exhaust gas inside the process field;
  • a process gas supply system configured to supply process gases to the process field so as to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas;
  • a control section configured to control an operation of the apparatus including the process gas supply system,
  • wherein the process gas supply system comprises
  • a mixture gas supply line configured to mix the first and third process gases to form a mixture gas and supply the mixture gas to the process field,
  • first and third process gas supply circuits having first and third process gas supply lines configured to supply the first and third process gases to the mixture gas supply line, respectively,
  • a second process gas supply circuit having a second process gas supply line configured to supply the second process gas to the process field without passing through the mixture gas supply line,
  • first, second, and third switching valves disposed on the first, second, and third process gas supply lines, respectively,
  • a first tank disposed on the first process gas supply line immediately before the first switching valve to temporarily store the first process gas, and
  • wherein the control section controls the first, second, and third switching valves to be opened and closed so as to alternately and pulse-wise supply the mixture gas from the mixture gas supply line and the second process gas from the second process gas supply circuit to the process field.
  • According to a third aspect of the present invention, there is provided a film formation method for a semiconductor process, comprising:
  • heating a plurality of target substrates stacked at intervals within a process field in a process container; and
  • supplying process gases to the process field to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film,
  • wherein said supplying the process gases comprises
  • supplying the first and third process gases to a gas mixture tank disposed outside the process container to form a mixture gas,
  • supplying the mixture gas from the gas mixture tank to the process field, and
  • supplying the second process gas to the process field without passing through the gas mixture tank,
  • so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
  • According to a fourth aspect of the present invention, there is provided a film formation method for a semiconductor process, comprising:
  • heating a plurality of target substrates stacked at intervals within a process field in a process container; and
  • supplying process gases to the process field to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas,
  • wherein said supplying the process gases comprises
  • supplying the first and third process gases to a mixture gas supply line to form a mixture gas and supply the mixture gas to the process field, while temporarily storing the first process gas in a first tank disposed immediately before the mixture gas supply line, and
  • supplying the second process gas to the process field without passing through the mixture gas supply line,
  • so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
  • According to a fifth aspect of the present invention, there is provided a computer readable medium containing program instructions for execution on a processor, which, when executed by the processor, cause a film formation apparatus for a semiconductor process to execute
  • heating a plurality of target substrates stacked at intervals within a process field in a process container; and
  • supplying process gases to the process field to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film,
  • wherein said supplying the process gases comprises
  • supplying the first and third process gases to a gas mixture tank disposed outside the process container to form a mixture gas,
  • supplying the mixture gas from the gas mixture tank to the process field, and
  • supplying the second process gas to the process field without passing through the gas mixture tank,
  • so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
  • According to a sixth aspect of the present invention, there is provided a computer readable medium containing program instructions for execution on a processor, which, when executed by the processor, cause a film formation apparatus for a semiconductor process to execute
  • heating a plurality of target substrates stacked at intervals within a process field in a process container; and
  • supplying process gases to the process field to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas,
  • wherein said supplying the process gases comprises
  • supplying the first and third process gases to a mixture gas supply line to form a mixture gas and supply the mixture gas to the process field, while temporarily storing the first process gas in a first tank disposed immediately before the mixture gas supply line, and
  • supplying the second process gas to the process field without passing through the mixture gas supply line,
  • so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
  • Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate embodiments of the invention, and together with the general description given above and the detailed description of the embodiments given below, serve to explain the principles of the invention.
  • FIG. 1 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to a first embodiment of the present invention;
  • FIG. 2 is a sectional plan view showing part of the apparatus shown in FIG. 1;
  • FIG. 3 is a timing chart of the gas supply and RF (radio frequency) application of a film formation method according to a first embodiment;
  • FIG. 4 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to a second embodiment of the present invention;
  • FIG. 5 is a view showing part of a gas supply system used in a film formation apparatus according to a modification of the second embodiment; and
  • FIG. 6 is a block diagram schematically showing the structure of a main control section.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the process of developing the present invention, the inventors studied problems caused in conventional film formation apparatuses for a semiconductor process, where a plurality of source gases were used to perform a film formation process, such as a process for forming a thin film doped with an impurity. As a result, the inventors have arrived at the findings given below.
  • Specifically, where a plurality of source gases are used to perform a film formation process, it is important to control the ratio of film composition elements of to be a predetermined value, so as to attain high film quality. However, for example, where the source gases includes a main source gas containing a main component element of a deposition film and a doping gas, the supply amount of the doping gas is far smaller than the supply amount of the main source gas, in general. In this case, since the supply amount of the doping gas supplied into a vertical process container is small, a problem arises such that an element derived from the doping gas is taken into deposited films on wafers in varying amounts, depending on the wafer position in the vertical direction. Consequently, the inter-substrate uniformity in the composition of the deposited films tends to be lower. This problem is caused due to differences in the adsorptivity of the source gas relative to the wafer surface and in the flow rate of the source gases.
  • For this reason, where the supply amount of a gas, such as a doping gas, supplied into a vertical process container is small, countermeasures are required to supply the gas at a uniform supply amount in the vertical direction. For example, a gas nozzle having a number of gas spouting holes at predetermined intervals is disposed to extend in the longitudinal direction of the vertical process container, and the doping gas is delivered from the holes. Alternatively, the supply time of the doping gas is shortened to increase the flow rate of the gas per unit time. However, even with the former countermeasure, where a very small gas supply amount is handled, the flow rate from gas spouting holes at the upstream side tends to be higher than the flow rate from gas spouting holes at the downstream side. In this respect, it is not easy to optimize the dimensions and so forth of gas spouting holes, so as to uniformize the flow rate from the gas spouting holes, for a small gas supply amount.
  • Further, where the supply amount of a gas, such as a doping gas, is very small, the gas can be mixed with an inactive carrier gas to increase the total flow rate, as a countermeasure. In this case, however, due to the presence of the carrier gas, the partial pressure of the doping gas decreases and thus the adsorption rate of the doping gas decreases by that much.
  • Embodiments of the present invention achieved on the basis of the findings given above will now be described with reference to the accompanying drawings. In the following description, the constituent elements having substantially the same function and arrangement are denoted by the same reference numerals, and a repetitive description will be made only when necessary.
  • <First Embodiment>
  • FIG. 1 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to a first embodiment of the present invention. FIG. 2 is a sectional plan view showing part of the apparatus shown in FIG. 1. The film formation apparatus 2 has a process field configured to be selectively supplied with a first process gas containing dichlorosilane (DCS) gas as a silane family gas, a second process gas containing ammonia (NH3) gas as a nitriding gas, and a third process gas containing BCl3 gas as a boron-containing gas. The film formation apparatus 2 is configured to form an SIBN (boron doped silicon nitride) film on target substrates by CVD in the process field. Accordingly, the boron-containing gas is used as a doping gas. The process field is further configured to be selectively supplied with a fourth process gas containing C2H4 gas (ethylene gas) as a carbon hydride gas, as needed.
  • The apparatus 2 includes a process container 4 shaped as a cylindrical column with a ceiling and an opened bottom, in which a process field 5 is defined to accommodate and process a plurality of semiconductor wafers (target substrates) stacked at intervals in the vertical direction. The entirety of the process container 4 is made of, e.g., quartz. The top of the process container 4 is provided with a quartz ceiling plate 6 to airtightly seal the top. The bottom of the process container 4 is connected through a seal member 10, such as an O-ring, to a cylindrical manifold 8. The process container may be entirely formed of a cylindrical quartz column without a manifold 8 separately formed.
  • The manifold 8 is made of, e.g., stainless steel, and supports the bottom of the process container 4. A wafer boat 12 made of quartz is moved up and down through the bottom port of the manifold 8, so that the wafer boat 12 is loaded/unloaded into and from the process container 4. A number of target substrates or semiconductor wafers W are stacked on a wafer boat 12. For example, in this embodiment, the wafer boat 12 has struts 12A that can support, e.g., about 50 to 100 wafers having a diameter of 300 mm at essentially regular intervals in the vertical direction.
  • The wafer boat 12 is placed on a table 16 through a heat-insulating cylinder 14 made of quartz. The table 16 is supported by a rotary shaft 20, which penetrates a lid 18 made of, e.g., stainless steel, and is used for opening/closing the bottom port of the manifold 8.
  • The portion of the lid 18 where the rotary shaft 20 penetrates is provided with, e.g., a magnetic-fluid seal 22, so that the rotary shaft 20 is rotatably supported in an airtightly sealed state. A seal member 24, such as an O-ring, is interposed between the periphery of the lid 18 and the bottom of the manifold 8, so that the interior of the process container 4 can be kept sealed.
  • The rotary shaft 20 is attached at the distal end of an arm 26 supported by an elevating mechanism 25, such as a boat elevator. The elevating mechanism 25 moves the wafer boat 12 and lid 18 up and down integratedly. The table 16 may be fixed to the lid 18, so that wafers W are processed without rotation of the wafer boat 12.
  • A gas supply system is connected to the side of the manifold 8 to supply predetermined process gases to the process field 5 within the process container 4. Specifically, the gas supply system includes a second process gas supply circuit 28, a first process gas supply circuit 30, a third process gas supply circuit 32, and a purge gas supply circuit 36, and, as needed, a fourth process gas supply circuit 34. The first process gas supply circuit 30 is arranged to supply a first process gas containing a silane family gas, such as DCS (dichlorosilane) gas. The second process gas supply circuit 28 is arranged to supply a second process gas containing a nitriding gas, such as ammonia (NH3) gas. The third process gas supply circuit 32 is arranged to supply a third process gas containing a boron-containing gas (doping gas), such as BC1 3 gas. The fourth process gas supply circuit 34 is arranged to supply a fourth process gas containing a carbon hydride gas, such as C2H4 gas (ethylene gas). The purge gas supply circuit 36 is arranged to supply an inactive gas, such as N2 gas, as a purge gas. Each of the first, second, and fourth process gases is mixed with a suitable amount of carrier gas, as needed. However, such a carrier gas will not be mentioned, hereinafter, for the sake of simplicity of explanation.
  • More specifically, the first, third, and fourth process gas supply circuits 30, 32, and 34 are connected to a common mixture gas supply circuit 35. The mixture gas supply circuit 35 has a gas mixture tank configured to mix the first and third process gases, and further the fourth process gas in addition thereto, as needed. The gas mixture tank 42 is designed to have a volume for uniformly mixing the gases and temporarily store a sufficient supply amount of the mixture gas, such as a volume of, e.g., about four liters (to be changed depending on the gas flow rate). The gas mixture tank 52 is connected to a gas distribution nozzle 40 formed of a quartz pipe through a mixture gas supply line 44 provided with a switching valve 44A. On the other hand, the second process gas supply circuit 28 is connected to a gas distribution nozzle 38 formed of a quartz pipe.
  • The gas distribution nozzles 38 and 40 penetrate the sidewall of the manifold 8 from the outside and then turn and extend upward. The gas distribution nozzles 38 and 40 respectively have a plurality of gas spouting holes 38A and 40A, each set of holes being formed at predetermined intervals in the longitudinal direction (the vertical direction) over all the wafers W on the wafer boat 12. Each of the gas spouting holes 38A and 40A delivers the corresponding process gas almost uniformly in the horizontal direction, so as to form gas flows parallel with the wafers W on the wafer boat 12. The purge gas supply circuit 36 includes a short gas nozzle 46, which penetrates the sidewall of the manifold 8 from the outside.
  • The gas mixture tank 52 is connected to gas sources 30S, 32S, and 34S of DCS gas, BC1 3 gas, and C2H4 gas, respectively, through gas supply lines (gas passages) 50, 52, and 54 of the first, third, and fourth process gas supply circuits 30, 32, and 34, respectively. The gas distribution nozzle 38 of the second process gas supply circuit 28 is connected to a gas source 28S of NH3 gas through a gas supply line (gas passage) 48. The nozzle 46 of the purge gas supply circuit 36 is connected to a gas source 36S of N2 gas through gas supply lines (gas passage) 56. The gas supply lines 48, 50, 52, 54, and 56 are provided with switching valves 48A, 50A, 52A, 54A, and 56A and flow rate controllers 48B, 50B, 52B, 54B, and 56B, such as mass flow controllers, respectively. With this arrangement, NH3 gas, DCS gas, BCl3 gas, C2H4 gas, and N2 gas can be supplied at controlled flow rates.
  • A gas exciting section 66 is formed at the sidewall of the process container 4 in the vertical direction. On the side of the process container 4 opposite to the gas exciting section 66, a long and thin exhaust port 68 for vacuum-exhausting the inner atmosphere is formed by cutting the sidewall of the process container 4 in, e.g., the vertical direction.
  • Specifically, the gas exciting section 66 has a vertically long and thin opening 70 formed by cutting a predetermined width of the sidewall of the process container 4, in the vertical direction. The opening 70 is covered with a quartz cover 72 airtightly connected to the outer surface of the process container 4 by welding. The cover 72 has a vertical long and thin shape with a concave cross-section, so that it projects outward from the process container 4.
  • With this arrangement, the gas exciting section 66 is formed such that it projects outward from the sidewall of the process container 4 and is opened on the other side to the interior of the process container 4. In other words, the inner space of the gas exciting section 66 communicates with the process field 5 within the process container 4. The opening 70 has a vertical length sufficient to cover all the wafers W on the wafer boat 12 in the vertical direction.
  • A pair of long and thin electrodes 74 are disposed on the opposite outer surfaces of the cover 72, and face each other along the longitudinal direction (the vertical direction). The electrodes 74 are connected to an RF (Radio Frequency) power supply 76 for plasma generation, through feed lines 78. An RF voltage of, e.g., 13.56 MHz is applied to the electrodes 74 to form an RF electric field for exciting plasma between the electrodes 74. The frequency of the RF voltage is not limited to 13.56 MHz, and it may be set at another frequency, e.g., 400 kHz.
  • The gas distribution nozzle 38 of the second process gas is bent outward in the radial direction of the process container 4, at a position lower than the lowermost wafer W on the wafer boat 12. Then, the gas distribution nozzle 38 vertically extends at the deepest position (the farthest position from the center of the process container 4) in the gas exciting section 66. As shown also in FIG. 2, the gas distribution nozzle 38 is separated outward from an area sandwiched between the pair of electrodes 74 (a position where the RF electric field is most intense), i.e., a plasma generation area PS where the main plasma is actually generated. The second process gas containing NH3 gas is spouted from the gas spouting holes 38A of the gas distribution nozzle 38 toward the plasma generation area PS. Then, the second process gas is excited (decomposed or activated) in the plasma generation area PS, and is supplied in this state onto the wafers W on the wafer boat 12.
  • An insulating protection cover 80 made of, e.g., quartz is attached on and covers the outer surface of the cover 72. A cooling mechanism (not shown) is disposed in the insulating protection cover 80 and comprises coolant passages respectively facing the electrodes 74. The coolant passages are supplied with a coolant, such as cooled nitrogen gas, to cool the electrodes 74. The insulating protection cover 80 is covered with a shield (not shown) disposed on the outer surface to prevent RF leakage.
  • At a position near and outside the opening 70 of the gas exciting section 66, the gas distribution nozzle 40 of the mixture gas supply circuit 35 is disposed to vertically extend upward. The mixture gas (a mixture gas of the first and third process gases, and further the fourth process gas in addition thereto, as needed) is spouted from the gas spouting holes 40A of the gas distribution nozzle 40 toward the center of the process container 4.
  • On the other hand, the exhaust port 68, which is formed opposite the gas exciting section 66, is covered with an exhaust port cover member 82. The exhaust port cover member 82 is made of quartz with a U-shape cross-section, and attached by welding. The exhaust cover member 82 extends upward along the sidewall of the process container 4, and has a gas outlet 84 at the top of the process container 4. The gas outlet 84 is connected to a vacuum-exhaust system GE including a vacuum pump and so forth.
  • The process container 4 is surrounded by a heater 86, which is used for heating the atmosphere within the process container 4 and the wafers W. A thermocouple (not shown) is disposed near the exhaust port 68 in the process container 4 to control the heater 86.
  • The film formation apparatus 2 further includes a main control section 60 formed of, e.g., a computer, to control the entire apparatus. The main control section 60 can control the film formation process described below in accordance with the process recipe of the film formation process concerning, e.g., the film thickness and composition of a film to be formed, stored in the memory 212 thereof in advance. In the memory 212, the relationship between the process gas flow rates and the thickness and composition of the film is also stored as control data in advance. Accordingly, the main control section 60 can control the elevating mechanism 25, gas supply circuits 28, 30, 32, 34, 35 and 36, exhaust system GE, gas exciting section 66, heater 86, and so forth, based on the stored process recipe and control data.
  • Next, an explanation will be given of a film formation method (so called ALD (Atomic Layer Deposition) film formation) performed in the apparatus shown in FIG. 1. In this film formation method, an insulating film of SiBN is formed on semiconductor wafers by CVD. In order to achieve this, a first process gas containing dichlorosilane (DCS) gas as a silane family gas, a second process gas containing ammonia (NH3) gas as a nitriding gas, and a third process gas containing BC1 3 gas as a boron-containing gas are selectively supplied into the process field 5 accommodating wafers W. At this time, the first process gas and third process gas are mixed in the gas mixture tank 42, and the mixture gas thus formed is supplied into the process field. It should be noted that this film formation method is an example where a fourth process gas containing C2H4 gas (ethylene gas) as a carbon hydride gas is not supplied.
  • At first, the wafer boat 12 at room temperature, which supports a number of, e.g., 50 to 100, wafers having a diameter of 300 mm, is loaded into the process container 4 heated at a predetermined temperature, and the process container 4 is airtightly closed. Then, the interior of the process container 4 is vacuum-exhausted and kept at a predetermined process pressure, and the wafer temperature is increased to a process temperature for film formation. At this time, the apparatus is in a waiting state until the temperature becomes stable. Then, while the wafer boat 12 is rotated, the first to third process gases are intermittently supplied from the respective gas distribution nozzles 38 and 40 at controlled flow rates.
  • Specifically, the first process gas containing DCS gas and the third process gas containing BCl3 gas are supplied into the gas mixture tank 42 to form a mixture gas. The mixture gas is supplied from the gas spouting holes 40A of the gas distribution nozzle 40 to form gas flows parallel with the wafers W on the wafer boat 12. While being supplied, molecules of DCS gas and BC1 3 gas and molecules and atoms of decomposition products generated by their decomposition are adsorbed on the wafers W.
  • On the other hand, the second process gas containing NH3 gas is supplied from the gas spouting holes 38A of the gas distribution nozzle 38 to form gas flows parallel with the wafers W on the wafer boat 12. The second process gas is selectively excited and partly turned into plasma when it passes through the plasma generation area PS between the pair of electrodes 74. At this time, for example, radicals (activated species), such as N*, NH*, NH2*, and NH3*, are produced (the symbol ┌*┐ denotes that it is a radical). The radicals flow out from the opening 70 of the gas exciting section 66 toward the center of the process container 4, and are supplied into gaps between the wafers W in a laminar flow state.
  • The radicals react with molecules of DCS gas adsorbed on the surface of the wafers W, so that a thin film is formed on the wafers W. Further, at this time, B atoms generated by decomposition of BC1 3 gas are taken into the thin film, so a film of SiBN doped with boron as an impurity is formed. Alternatively, when DCS gas and BC1 3 gas flow onto radicals adsorbed on the surface of the wafers W, the same reaction is caused, so an SIBN film doped with boron is formed on the wafers W.
  • FIG. 3 is a timing chart of the gas supply and RF (radio frequency) application of a film formation method according to the first embodiment. As shown in FIG. 3, the film formation method according to this embodiment is arranged to alternately repeat first to fourth steps T1 to T4. A cycle comprising the first to fourth steps T1 to T4 is repeated a number of times, and thin films of SiBN formed by respective cycles are laminated, thereby arriving at an SiBN film having a target thickness.
  • Specifically, the first step T1 is arranged to perform supply of the mixture gas of the first process gas (denoted as DCS in FIG. 3) and the third process gas (denoted as BCl3 in FIG. 3) to the process field 5, while stopping supply of the second process gas (denoted as NH3 in FIG. 3) to the process field 5. The second step T2 is arranged to stop supply of the mixture gas of the first and third process gases and the second process gas to the process field 5. The third step T3 is arranged to perform supply of the second process gas to the process field 5, while stopping supply of the mixture gas of the first and third process gases to the process field 5. Further, halfway through the third step T3, the RF power supply 76 is set in the ON state to turn the second process gas into plasma by the gas exciting section 66, so as to supply the second process gas in an activated state to the process field 5 during a sub-step T3 b. The fourth step T4 is arranged to stop supply of the mixture gas of the first and third process gases and the second process gas to the process field 5.
  • In the third step T3, the RF power supply 76 is turned on after a predetermined time Δt passes, to turn the second process gas into plasma by the gas exciting section 66, so as to supply the second process gas in an activated state to the process field 5 during the sub-step T3 b. The predetermined time Δt is defined as the time necessary for stabilizing the flow rate of NH3 gas, which is set at, e.g., about 5 seconds. However, the second process gas may be turned into plasma by the gas exciting section 66 over the entire period of supplying the second process gas. Since the RF power supply is turned on to generate plasma after the flow rate of the second process gas is stabilized, the uniformity of radical concentration among the wafers W (uniformity in the vertical direction) is improved.
  • Each of the second and fourth steps T2 and T4 is used as a purge step to remove the residual gas within the process container 4. The term “purge” means removal of the residual gas within the process container 4 by vacuum-exhausting the interior of the process container 4 while supplying an inactive gas, such as N2 gas, into the process container 4, or by vacuum-exhausting the interior of the process container 4 while stopping supply of all the gases. In this respect, the second and fourth steps T2 and T4 may be arranged such that the first half utilizes only vacuum-exhaust and the second half utilizes both vacuum-exhaust and inactive gas supply. Further, the first and third steps T1 and T3 may be arranged to stop vacuum-exhausting the process container 4 while supplying each of the first to third process gases. However, where supplying each of the first to third process gases is performed along with vacuum-exhausting the process container 4, the interior of the process container 4 can be continuously vacuum-exhausted over the entirety of the first to fourth steps T1 to T4.
  • In FIG. 3, the first step T1 is set to be within a range of about 1 to 20 seconds, and, for example, at about 10 seconds, the second step T2 is set to be within a range of about 5 to 15 seconds, and, for example, at about 10 seconds, the third step T3 is set to be within a range of about 1 to 30 seconds, and, for example, at about 20 seconds, the sub-step T3 b is set to be within a range of about 1 to 25 seconds, and, for example, at about 10 seconds, and the fourth step T4 is set to be within a range of about 5 to 15 seconds, and, for example, at about 10 seconds. In general, the film thickness obtained by one cycle of the first to fourth steps T1 to T4 is about 0.11 to 0.13 nm. Accordingly, for example, where the target film thickness is 70 nm, the cycle is repeated about 600 times. However, these values of time and thickness are merely examples and thus are not limiting.
  • The process conditions of the film formation process are as follows. The flow rate of DCS gas is set to be within a range of 50 to 2,000 sccm, e.g., at 1,000 sccm (1 slm). The flow rate of NH3 gas is set to be within a range of 500 to 5,000 sccm, e.g., at 1,000 sccm. The flow rate of BC1 3 gas is set to be within a range of 1 to 15 sccm, e.g., at 2 sccm. Accordingly, the flow rate of BC1 3 gas is far smaller than the flow rate of DCS gas.
  • The process temperature is lower than ordinary CVD processes, and is set to be within a range of 300 to 700° C., and preferably a range of 550 to 630° C. If the process temperature is lower than 300° C., essentially no film is deposited because hardly any reaction is caused. If the process temperature is higher than 700° C., a low quality CVD film is deposited, and existing films, such as a metal film, suffer thermal damage.
  • The process pressure is set to be within a range of 13 Pa (0.1 Torr) to 1,330 Pa (10 Torr), and preferably a range of 40 Pa (0.3 Torr) to 266 Pa (2 Torr). For example, the process pressure is set at 1 Torr during the first step (adsorption step) T1, and at 0.3 Torr during the third step (nitridation step using plasma) T3. If the process pressure is lower than 13 Pa, the film formation rate becomes lower than the practical level. If the process pressure is higher than 1,330 Pa, it becomes difficult to generate plasma.
  • As described above, the first process gas containing DCS gas for providing the main material of a thin film, and the third process gas containing BCl3 gas for providing a sub-material of the thin film are uniformly mixed at first in the gas mixture tank 42 to form a mixture gas. The mixture gas is then intermittently supplied into the process field 5 through a plurality of gas spouting holes 40A arrayed at intervals in the vertical direction. In this case, although the amount of BCl3 gas is small, it can be essentially uniformly distributed within the process container 4 in the vertical direction without using a carrier gas. Consequently, the ratio of the composition elements in SiBN thin films to be formed becomes more uniform, regardless of wafer position.
  • In other words, the supply amount of the third process gas is far smaller than the supply amount of the first process gas. In this case, the third process gas in a smaller supply amount is made to accompany the first process gas in a larger supply amount, so that it can be uniformly distributed within the process container 4 in the vertical direction. Particularly, where the supply amount of one source gas is 1/100 or less of the supply amount of the other source gas, the above-described effect of uniformizing the ratio of the composition elements in films is more influential.
  • When BC1 3 gas is adsorbed on the wafer surface, the adsorption amount depends on the partial pressure of BC1 3 gas. According to this embodiment, BCl3 gas is supplied into the process container 4 uniformly in the vertical direction without using a carrier gas. In this case, the partial pressure of BC1 3 gas can be higher than that in the case of a carrier gas being used, so adsorption of BCl3 gas on the wafer surface is promoted. Consequently, while the film formation rate per unit cycle is maintained, the time necessary for one cycle is shortened, so the throughput is improved.
  • For example, in an experiment, a conventional film formation method took about 15 seconds until BCl3 gas was sufficiently adsorbed on a wafer surface. On the other hand, the present embodiment took only two or three seconds for the same. Accordingly, the conventional method took about 30 seconds for one cycle, while the present embodiment shortened this period to only 8 seconds.
  • Typically, the mixture gas may be formed and supplied by either of the following two methods. The first method comprises continuously supplying the first and third process gases from the first and third process gas supply circuits 30 and 32 into the gas mixture tank 42, while supplying the mixture gas pulse-wise from the gas mixture tank 42 into the process field 5. The second method comprises simultaneously supplying the first and third process gases pulse-wise in a first phase from the first and third process gas supply circuits 30 and 32 into the gas mixture tank 42, while supplying the mixture gas pulse-wise in a second phase reverse to the first phase from the gas mixture tank 42 into the process field 5.
  • In order to realize these methods, the switching valves 50A and 52A of the first and third process gas supply circuits 30 and 32 and the switching valve 44A of the mixture gas supply circuit 35 are opened and closed in accordance with instructions from the main control section 60, as follows. In the first method, over a plurality of cycles from the start to the end of the film formation process, the switching valves 50A and 52A are kept opened and the switching valve 44A is opened and closed pulse-wise. In the second method, over a plurality of cycles from the start to the end of the film formation process, the switching valves 50A and 52A are opened and closed pulse-wise while the switching valve 44A is closed and opened pulse-wise in the reverse phase.
  • In the film formation method described above, the fourth process gas containing C2H4 gas (ethylene gas) as a carbon hydride gas is not supplied, but the fourth process gas may be supplied, as needed. In this case, the fourth process gas is supplied into the gas mixture tank 42 at a controlled flow rate, simultaneously with the first and third process gases. Where a mixture gas of the first, third, and fourth process gases is used, a thin film to be formed is an insulating film of SiBCN (boron doped silicon carbon nitride) containing carbon.
  • <Second Embodiment>
  • FIG. 4 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to a second embodiment of the present invention. This film formation apparatus 2X has the same structure as the film formation apparatus 2 shown in FIG. 1 except for the first, third, and fourth process gas supply circuits 30, 32, and 34 and the mixture gas supply circuit 35. Accordingly, an explanation will be give of the film formation apparatus 2X, focusing on the difference from the film formation apparatus 2.
  • In the film formation apparatus 2X, the first, third, and fourth process gas supply circuits 30, 32, and 34 are connected to a common mixture gas supply circuit 35X. The mixture gas supply circuit 35X includes a mixture gas supply pipe 40X configured to mix the first and third process gases, and further the fourth process gas in addition thereto, as needed. The mixture gas supply pipe 40X is formed of a quartz pipe, which is a proximal end portion of a gas distribution nozzle 40.
  • The first, third, and fourth process gas supply circuits 30, 32, and 34 have tanks 102, 104, and 106, respectively, disposed immediately before the mixture gas supply pipe 40X to temporarily store the corresponding process gases. Since the tanks 102 and 106 need to handle a large gas flow rate, they are larger than the tank 104. For example, each of the tanks 102 and 106 has a volume of about four liters, while the tank 104 has a volume of about 0.05 liters (both to be changed depending on the gas flow rate). The tanks 102, 104, and 106 are connected to the mixture gas supply pipe 40X through gas supply lines 103, 105, and 107 provided with switching valves 103A, 105A, and 107A, respectively.
  • The tanks 102, 104, and 106 of the first, third, and fourth process gas supply circuits 30, 32, and 34 are connected to gas sources 30S, 32S, and 34S of DCS gas, BC1 3 gas, and C2H4 gas, respectively, through gas supply lines (gas passages) 50, 52, and 54. The gas supply lines 50, 52, and 54 are provided with switching valves 50A, 52A, and 54A and flow rate controllers 50B, 52B, and 54B, such as mass flow controllers, respectively. With this arrangement, DCS gas, BC1 3 gas, and C2H4 gas can be supplied at controlled flow rates.
  • Next, an explanation will be given of a film formation method (so called ALD (Atomic Layer Deposition) film formation) performed in the apparatus shown in FIG. 4. It should be noted that this film formation method is also an example where a fourth process gas containing C2H4 gas (ethylene gas) as a carbon hydride gas is not supplied. The gas supply and RF (radio frequency) application of this film formation method are performed in accordance with the timing chart shown in FIG. 3. At this time, in order to form and supply a mixture gas (denoted as DCS+BC1 3 in FIG. 3), the switching valves 103A and 105A are simultaneously opened and closed to supply first and third process gases temporarily stored in the tanks 102 and 104 into the mixture gas supply pipe 40X and mix them in the pipe 40X. The mixture gas is supplied from the gas spouting holes 40A of the gas distribution nozzle 40 to form gas flows parallel with the wafers W on the wafer boat 12. As a consequence, this apparatus can provide the same operation and effect as those obtained in the apparatus shown in FIG. 1.
  • Typically, the mixture gas may be formed and supplied by either of the following two methods (also in the following explanation, the fourth process gas is not supplied). The first method comprises continuously supplying the first and third process gases into the respective tanks 102 and 104 of the first and third process gas supply circuits 30 and 32, while supplying the gases pulse-wise from the respective tanks 102 and 104 into the mixture gas supply pipe 40X. The second method comprises simultaneously supplying the first and third process gases pulse-wise in a first phase into the respective tanks 102 and 104 of the first and third process gas supply circuits 30 and 32, while supplying the gases pulse-wise in a second phase reverse to the first phase from the respective tanks 102 and 104 into the mixture gas supply pipe 40X.
  • In order to realize these methods, the switching valves 50A and 52A of the first and third process gas supply circuits 30 and 32 and the switching valves 103A and 105A downstream from the tanks are opened and closed in accordance with instructions from the main control section 60, as follows. In the first method, over a plurality of cycles from the start to the end of the film formation process, the switching valves 50A and 52A are kept opened and the switching valves 103A and 105A are opened and closed pulse-wise. In the second method, over a plurality of cycles from the start to the end of the film formation process, the switching valves 50A and 52A are opened and closed pulse-wise while the switching valves 103A and 105A are closed and opened pulse-wise in the reverse phase.
  • FIG. 5 is a view showing part of a gas supply system used in a film formation apparatus according to a modification of the second embodiment (the fourth process gas supply circuit is not shown). In this modification, the tank 104 of the third process gas supply circuit 32 is omitted because the flow rate of the third process gas containing BCl3 gas is far smaller than the flow rate of the first process gas containing DCS gas. Even with this arrangement, the third process gas in a smaller amount is made to be uniformly mixed with the first process gas in a larger amount within the mixture gas supply pipe 40X, so that the third process gas is uniformly supplied.
  • <Common Matters to First and Second Embodiments>
  • Each of the methods according to the first and second embodiments is performed under the control of the main control section 60 in accordance with a process program, as described above. FIG. 6 is a block diagram schematically showing the structure of the main control section 60. The main control section 60 includes a CPU 210, which is connected to a storage section 212, an input section 214, and an output section 216. The storage section 212 stores process programs and process recipes. The input section 214 includes input devices, such as a keyboard, a pointing device, and a storage media drive, to interact with an operator. The output section 216 outputs control signals for controlling components of the processing apparatus. FIG. 6 also shows a storage medium 218 attached to the computer in a removable state.
  • Each of the methods according to the first and second embodiments may be written as program instructions for execution on a processor, into a computer readable storage medium or media to be applied to a semiconductor processing apparatus. Alternately, program instructions of this kind may be transmitted by a communication medium or media and thereby applied to a semiconductor processing apparatus. Examples of the storage medium or media are a magnetic disk (flexible disk, hard disk (a representative of which is a hard disk included in the storage section 212), etc.), an optical disk (CD, DVD, etc.), a magneto-optical disk (MO, etc.), and a semiconductor memory. A computer for controlling the operation of the semiconductor processing apparatus reads program instructions stored in the storage medium or media, and executes them on a processor, thereby performing a corresponding method, as described above.
  • In the embodiments described above, for example, the exciting section 66 for generating plasma of the film formation apparatus 2 is integrally combined with the process container 4. Alternatively, the exciting section 66 may be separately disposed from the process container 4, so as to excite NH3 gas outside the process container 4 (so called remote plasma), and then supply the excited NH3 gas into the process container 4. Further, NH3 gas may be supplied without being activated, and, in this case, the members associating with the gas exciting section 66 are not necessary. However, in this case, the process temperature needs to be increased to some extent to compensate for energy decrease due to no plasma being used.
  • In the embodiments described above, for example, the distribution nozzle 40 having a number of gas spouting holes 40A is used as a nozzle for supplying the mixture gas. Alternatively, a linear or straight pipe similar to the gas nozzle 46, or a bent or L-shaped pipe may be used for the same purpose. Where the straight pipe or L-shaped pipe is used, the mixture gas is supplied from below or above the process field 5. In this case, an exhaust port 68 is formed at the top or bottom of the process container 4 to cause the mixture gas to sufficiently flow within the process field 5 in the vertical direction.
  • In the embodiments described above, for example, the first process gas contains DCS gas as a silane family gas. In this respect, the silane family gas may be one or more gases selected from the group consisting of dichlorosilane (DCS), hexachlorodisilane (HCD), monosilane (SiH4), disilane (Si2Cl6), hexamethyl-disilazane (HMDS), tetrachlorosilane (TCS), disilylamine (DSA), trisilylamine (TSA), bistertial-butylaminosilane (BTBAS).
  • In the embodiments described above, the second process gas contains a nitriding gas, which may be NH3 gas or N2 gas. Where the present invention is applied to formation of a film based on silicon oxynitride, an oxynitriding gas, such as dinitrogen oxide (N2O) or nitrogen oxide (NO), may be used in place of the nitriding gas. In this case, a film to be formed is a film based on silicon oxynitride that contains oxygen (O).
  • In the embodiments described above, for example, the third process gas contains BC1 3 gas as a boron-containing gas. In this respect, the boron-containing gas may be one or more gases selected from the group consisting of BC1 3, B2H6, BF3, and B(CH3)3.
  • In the embodiments described above, for example, the fourth process gas contains ethylene gas as a carbon hydride gas. In this respect, the carbon hydride gas may be one or more gases selected from the group consisting of acetylene, ethylene, methane, ethane, propane, and butane.
  • In the embodiments described above, for example, an SiBN film or SiBCN film is formed. Alternatively, for example, the present invention may be applied to a process for forming a BCN film (carbon-containing boron nitride film). In this case, a boron-containing gas and a carbon hydride gas are used as source gases and mixed. The present invention can be applied to various film formation processes which use a plurality of source gases that does not cause any problem if they are mixed before being supplied into a process container. For example, the present invention may be applied to a film formation process using an oxidizing gas, such as O2 gas, as a reaction gas.
  • A target substrate is not limited to a semiconductor wafer, and it may be another substrate, such as an LCD substrate or glass substrate.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (24)

1. A film formation apparatus for a semiconductor process, comprising:
a process container having a process field configured to accommodate a plurality of target substrates stacked at intervals;
a support member configured to support the target substrates inside the process field;
a heater configured to heat the target substrates inside the process field;
an exhaust system configured to exhaust gas inside the process field;
a process gas supply system configured to supply process gases to the process field so as to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film;
a control section configured to control an operation of the apparatus including the process gas supply system,
wherein the process gas supply system comprises
a gas mixture tank disposed outside the process container and configured to mix the first and third process gases to form a mixture gas,
a mixture gas supply line configured to supply the mixture gas from the gas mixture tank to the process field,
first and third process gas supply circuits configured to supply the first and third process gases to the gas mixture tank, respectively,
a second process gas supply circuit having a second process gas supply line configured to supply the second process gas to the process field without passing through the gas mixture tank,
first and second switching valves disposed on the mixture gas supply line and the second process gas supply line, respectively, and
wherein the control section controls the first and second switching valves to be opened and closed so as to alternately and pulse-wise supply the mixture gas from the gas mixture tank and the second process gas from the second process gas supply circuit to the process field.
2. The apparatus according to claim 1, wherein the control section performs control to continuously supply the first and third process gases from the first and third process gas supply circuits to the gas mixture tank, while supplying the mixture gas pulse-wise from the gas mixture tank to the process field.
3. The apparatus according to claim 1, wherein the control section performs control to simultaneously supply the first and third process gases pulse-wise in a first phase from the first and third process gas supply circuits to the gas mixture tank, while supplying the mixture gas pulse-wise in a second phase reverse to the first phase from the gas mixture tank to the process field.
4. The apparatus according to claim 1, wherein the mixture gas supply line and the second process gas supply line comprise first and second supply ports, respectively, each of which comprises a plurality of gas spouting holes arrayed over the target substrates in a vertical direction to form gas flows parallel with the target substrates.
5. The apparatus according to claim 1, wherein the third process gas is supplied to the process field in an amount 1/100 or less of the first process gas.
6. The apparatus according to claim 1, wherein the first process gas contains a silane family gas, the second process gas contains a nitriding gas or oxynitriding gas, and the third process gas contains a doping gas.
7. The apparatus according to claim 6, wherein the process gas supply system further comprises a fourth process gas supply circuit configured to supply a fourth process gas containing a carbon hydride gas to the gas mixture tank.
8. The apparatus according to claim 6, wherein the first process gas contains at least one gas selected from the group consisting of dichlorosilane, hexachlorodisilane, monosilane, disilane, hexamethyldisilazane, tetrachlorosilane, disilylamine, trisilylamine, and bistertialbutylaminosilane, the second process gas contains at least one gas selected from the group consisting of ammonia, nitrogen, dinitrogen oxide, and nitrogen oxide, and the third process gas contains at least one gas selected from the group consisting of BCl3, B2H6, BF3, and B(CH3)3.
9. The apparatus according to claim 1, further comprises an exciting mechanism configured to activate the second process gas by generating plasma.
10. The apparatus according to claim 9, wherein the exciting mechanism comprises a plasma generation area disposed in a space communicating with the process field and between a supply port of the second process gas and the target substrates.
11. A film formation apparatus for a semiconductor process, comprising:
a process container having a process field configured to accommodate a plurality of target substrates stacked at intervals;
a support member configured to support the target substrates inside the process field;
a heater configured to heat the target substrates inside the process field;
an exhaust system configured to exhaust gas inside the process field;
a process gas supply system configured to supply process gases to the process field so as to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas;
a control section configured to control an operation of the apparatus including the process gas supply system,
wherein the process gas supply system comprises
a mixture gas supply line configured to mix the first and third process gases to form a mixture gas and supply the mixture gas to the process field,
first and third process gas supply circuits having first and third process gas supply lines configured to supply the first and third process gases to the mixture gas supply line, respectively,
a second process gas supply circuit having a second process gas supply line configured to supply the second process gas to the process field without passing through the mixture gas supply line,
first, second, and third switching valves disposed on the first, second, and third process gas supply lines, respectively,
a first tank disposed on the first process gas supply line immediately before the first switching valve to temporarily store the first process gas, and
wherein the control section controls the first, second, and third switching valves to be opened and closed so as to alternately and pulse-wise supply the mixture gas from the mixture gas supply line and the second process gas from the second process gas supply circuit to the process field.
12. The apparatus according to claim 11, wherein the control section controls the first and third switching valve to be simultaneously opened and closed.
13. The apparatus according to claim 11, wherein the process gas supply system further comprises a second tank disposed on the third process gas supply line immediately before the third switching valve to temporarily store the third process gas
14. The apparatus according to claim 11, wherein the mixture gas supply line and the second process gas supply line comprise first and second supply ports, respectively, each of which comprises a plurality of gas spouting holes arrayed over the target substrates in a vertical direction to form gas flows parallel with the target substrates.
15. The apparatus according to claim 11, wherein the third process gas is supplied to the process field in an amount 1/100 or less of the first process gas.
16. The apparatus according to claim 11, wherein the first process gas contains a silane family gas, the second process gas contains a nitriding gas or oxynitriding gas, and the third process gas contains a doping gas.
17. The apparatus according to claim 16, wherein the process gas supply system further comprises a fourth process gas supply circuit configured to supply a fourth process gas containing a carbon hydride gas to the mixture gas supply line.
18. The apparatus according to claim 16, wherein the first process gas contains at least one gas selected from the group consisting of dichlorosilane, hexachlorodisilane, monosilane, disilane, hexamethyldisilazane, tetrachlorosilane, disilylamine, trisilylamine, and bistertialbutylaminosilane, the second process gas contains at least one gas selected from the group consisting of ammonia, nitrogen, dinitrogen oxide, and nitrogen oxide, and the third process gas contains at least one gas selected from the group consisting of BCl3, B2H6, BF3, and B(CH3)3.
19. The apparatus according to claim 11, further comprises an exciting mechanism configured to activate the second process gas by generating plasma.
20. The apparatus according to claim 19, wherein the exciting mechanism comprises a plasma generation area disposed in a space communicating with the process field and between a supply port of the second process gas and the target substrates.
21. A film formation method for a semiconductor process, comprising:
heating a plurality of target substrates stacked at intervals within a process field in a process container; and
supplying process gases to the process field to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film,
wherein said supplying the process gases comprises
supplying the first and third process gases to a gas mixture tank disposed outside the process container to form a mixture gas,
supplying the mixture gas from the gas mixture tank to the process field, and
supplying the second process gas to the process field without passing through the gas mixture tank,
so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
22. A film formation method for a semiconductor process, comprising:
heating a plurality of target substrates stacked at intervals within a process field in a process container; and
supplying process gases to the process field to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas,
wherein said supplying the process gases comprises
supplying the first and third process gases to a mixture gas supply line to form a mixture gas and supply the mixture gas to the process field, while temporarily storing the first process gas in a first tank disposed immediately before the mixture gas supply line, and
supplying the second process gas to the process field without passing through the mixture gas supply line,
so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
23. A computer readable medium containing program instructions for execution on a processor, which, when executed by the processor, cause a film formation apparatus for a semiconductor process to execute
heating a plurality of target substrates stacked at intervals within a process field in a process container; and
supplying process gases to the process field to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film,
wherein said supplying the process gases comprises
supplying the first and third process gases to a gas mixture tank disposed outside the process container to form a mixture gas,
supplying the mixture gas from the gas mixture tank to the process field, and
supplying the second process gas to the process field without passing through the gas mixture tank,
so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
24. A computer readable medium containing program instructions for execution on a processor, which, when executed by the processor, cause a film formation apparatus for a semiconductor process to execute
heating a plurality of target substrates stacked at intervals within a process field in a process container; and
supplying process gases to the process field to deposit a thin film on the target substrates, wherein the process gases include a first process gas for providing a main material of the thin film, a second process gas for reacting with the first process gas, and a third process gas for providing a sub-material of the thin film, and the third process gas is supplied to the process field in an amount smaller than that of the first process gas,
wherein said supplying the process gases comprises
supplying the first and third process gases to a mixture gas supply line to form a mixture gas and supply the mixture gas to the process field, while temporarily storing the first process gas in a first tank disposed immediately before the mixture gas supply line, and
supplying the second process gas to the process field without passing through the mixture gas supply line,
so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
US11/367,339 2005-03-11 2006-03-06 Film formation method and apparatus for semiconductor process Abandoned US20060207504A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/167,270 US8343594B2 (en) 2005-03-11 2008-07-03 Film formation method and apparatus for semiconductor process

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005-070034 2005-03-11
JP2005070034 2005-03-11
JP2006004192A JP4506677B2 (en) 2005-03-11 2006-01-11 Film forming method, film forming apparatus, and storage medium
JP2006-004192 2006-01-11

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/167,270 Division US8343594B2 (en) 2005-03-11 2008-07-03 Film formation method and apparatus for semiconductor process

Publications (1)

Publication Number Publication Date
US20060207504A1 true US20060207504A1 (en) 2006-09-21

Family

ID=37008987

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/367,339 Abandoned US20060207504A1 (en) 2005-03-11 2006-03-06 Film formation method and apparatus for semiconductor process
US12/167,270 Active 2028-09-29 US8343594B2 (en) 2005-03-11 2008-07-03 Film formation method and apparatus for semiconductor process

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/167,270 Active 2028-09-29 US8343594B2 (en) 2005-03-11 2008-07-03 Film formation method and apparatus for semiconductor process

Country Status (5)

Country Link
US (2) US20060207504A1 (en)
JP (1) JP4506677B2 (en)
KR (1) KR100967238B1 (en)
CN (1) CN1831192B (en)
TW (1) TWI352380B (en)

Cited By (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050196977A1 (en) * 2004-03-02 2005-09-08 Semiconductor Leading Edge Technologies, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US20060205231A1 (en) * 2005-03-09 2006-09-14 Pao-Hwa Chou Film formation method and apparatus for semiconductor process
US20080292798A1 (en) * 2007-05-23 2008-11-27 Jeong-Uk Huh Boron nitride and boron nitride-derived materials deposition method
US20090029561A1 (en) * 2007-07-26 2009-01-29 Hitachi Kokusai Electric Inc. Semiconductor processing apparatus
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20090159958A1 (en) * 2007-12-20 2009-06-25 Spansion Llc Electronic device including a silicon nitride layer and a process of forming the same
US20090181550A1 (en) * 2008-01-12 2009-07-16 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US20090263972A1 (en) * 2008-04-04 2009-10-22 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US20100098884A1 (en) * 2008-10-16 2010-04-22 Applied Materials, Inc. Boron film interface engineering
US20100099236A1 (en) * 2008-10-16 2010-04-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100233633A1 (en) * 2007-06-19 2010-09-16 Applied Materials, Inc. Engineering boron-rich films for lithographic mask applications
US20100275848A1 (en) * 2009-05-01 2010-11-04 Hitachi-Kokusai Electric Inc. Heat treatment apparatus
US20100304574A1 (en) * 2007-06-11 2010-12-02 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20110212599A1 (en) * 2010-03-01 2011-09-01 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
US20130276700A1 (en) * 2009-08-28 2013-10-24 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN110168698A (en) * 2016-12-22 2019-08-23 应用材料公司 SiBN film for conformally sealed dielectric enclosed without the direct RF exposure to fabric material
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11640899B2 (en) 2016-12-22 2023-05-02 Asm Ip Holding B.V. Atomic layer etching processes
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11739428B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes
US11948813B2 (en) 2019-07-18 2024-04-02 Asm Ip Holding B.V. Showerhead device for semiconductor processing system

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4464949B2 (en) * 2006-11-10 2010-05-19 株式会社日立国際電気 Substrate processing apparatus and selective epitaxial film growth method
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP4924437B2 (en) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 Film forming method and film forming apparatus
JPWO2009054232A1 (en) * 2007-10-22 2011-03-03 株式会社ナノマテリアル研究所 Semiconductor manufacturing apparatus, semiconductor manufacturing method, and electronic apparatus
JP4611414B2 (en) * 2007-12-26 2011-01-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP4935687B2 (en) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP5233562B2 (en) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP5462671B2 (en) * 2010-03-15 2014-04-02 株式会社豊田中央研究所 Vapor growth method
JP5374638B2 (en) * 2010-04-09 2013-12-25 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101277966B1 (en) * 2010-10-27 2013-06-27 현대제철 주식회사 Apparatus for cooling back side of welding part in electro gas welding
JP5886531B2 (en) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5920242B2 (en) * 2012-06-02 2016-05-18 東京エレクトロン株式会社 Film forming method and film forming apparatus
TWI595112B (en) 2012-10-23 2017-08-11 蘭姆研究公司 Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP5839606B2 (en) * 2013-02-26 2016-01-06 東京エレクトロン株式会社 Method for forming a nitride film
JP6267080B2 (en) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 Method and apparatus for forming silicon nitride film
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6619027B2 (en) 2015-05-08 2019-12-11 ダウ グローバル テクノロジーズ エルエルシー Method for foaming polyolefin compositions using azodicarbonamide / citrate mixtures as nucleating agents
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
GB201514542D0 (en) 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6733516B2 (en) 2016-11-21 2020-08-05 東京エレクトロン株式会社 Method of manufacturing semiconductor device
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN109234703B (en) * 2018-11-27 2020-10-16 湖南顶立科技有限公司 Vapor deposition system
WO2023175740A1 (en) * 2022-03-15 2023-09-21 株式会社Kokusai Electric A substrate processing device, a substrate processing method, a semiconductor device manufacturing method, a program, and a gas supply unit

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3721583A (en) * 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
US5658417A (en) * 1992-12-08 1997-08-19 Nec Corporation HF vapor selective etching method and apparatus
US5989345A (en) * 1997-05-02 1999-11-23 Tokyo Electron Limited Process-gas supply apparatus
US20020160125A1 (en) * 1999-08-17 2002-10-31 Johnson Wayne L. Pulsed plasma processing method and apparatus
US20040115584A1 (en) * 2001-03-30 2004-06-17 Tsuneyuki Okabe Heat treating method and heat treating device
US6815350B2 (en) * 2002-03-05 2004-11-09 Samsung Electronics Co., Ltd. Method for forming a thin film using an atomic layer deposition (ALD) process

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6262529A (en) * 1985-09-12 1987-03-19 Toppan Printing Co Ltd Forming method for silicon nitride film
JPS62156822A (en) * 1985-12-27 1987-07-11 Nippon Telegr & Teleph Corp <Ntt> Insulating thin film, and formation and forming device thereof
JPH0642474B2 (en) * 1988-03-31 1994-06-01 株式会社東芝 Semiconductor manufacturing equipment
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
JP2912059B2 (en) * 1991-08-27 1999-06-28 山形日本電気株式会社 Atmospheric pressure CVD equipment
JPH06314660A (en) * 1993-03-04 1994-11-08 Mitsubishi Electric Corp Method and apparatus for forming thin film
JP3590451B2 (en) 1995-05-30 2004-11-17 アネルバ株式会社 How to make insulating film
JP2000058527A (en) * 1998-08-05 2000-02-25 Toshiba Mach Co Ltd Rotary device and method for vapor phase growth
JP2002134497A (en) 2000-10-23 2002-05-10 Sony Corp Manufacturing method for semiconductor device
CN100462475C (en) * 2001-08-29 2009-02-18 东京电子株式会社 Apparatus and method for plasma processing
WO2003060978A1 (en) * 2002-01-15 2003-07-24 Tokyo Electron Limited Cvd method and device for forming silicon-containing insulation film
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR20030081144A (en) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
JP3947126B2 (en) * 2002-04-11 2007-07-18 株式会社日立国際電気 Semiconductor manufacturing equipment
JP4204840B2 (en) * 2002-10-08 2009-01-07 株式会社日立国際電気 Substrate processing equipment
JP4329403B2 (en) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 Plasma processing equipment
CN1670244B (en) * 2004-03-20 2010-05-05 鸿富锦精密工业(深圳)有限公司 Gas preparing system and gas preparing method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3721583A (en) * 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
US5658417A (en) * 1992-12-08 1997-08-19 Nec Corporation HF vapor selective etching method and apparatus
US5989345A (en) * 1997-05-02 1999-11-23 Tokyo Electron Limited Process-gas supply apparatus
US20020160125A1 (en) * 1999-08-17 2002-10-31 Johnson Wayne L. Pulsed plasma processing method and apparatus
US20040115584A1 (en) * 2001-03-30 2004-06-17 Tsuneyuki Okabe Heat treating method and heat treating device
US6815350B2 (en) * 2002-03-05 2004-11-09 Samsung Electronics Co., Ltd. Method for forming a thin film using an atomic layer deposition (ALD) process

Cited By (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510984B2 (en) * 2004-03-02 2009-03-31 Ulvac, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US20050196977A1 (en) * 2004-03-02 2005-09-08 Semiconductor Leading Edge Technologies, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US20060205231A1 (en) * 2005-03-09 2006-09-14 Pao-Hwa Chou Film formation method and apparatus for semiconductor process
US7351668B2 (en) * 2005-03-09 2008-04-01 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20080292798A1 (en) * 2007-05-23 2008-11-27 Jeong-Uk Huh Boron nitride and boron nitride-derived materials deposition method
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US20100304574A1 (en) * 2007-06-11 2010-12-02 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US8178448B2 (en) 2007-06-11 2012-05-15 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US8337950B2 (en) 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US20100233633A1 (en) * 2007-06-19 2010-09-16 Applied Materials, Inc. Engineering boron-rich films for lithographic mask applications
US20090029561A1 (en) * 2007-07-26 2009-01-29 Hitachi Kokusai Electric Inc. Semiconductor processing apparatus
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20090159958A1 (en) * 2007-12-20 2009-06-25 Spansion Llc Electronic device including a silicon nitride layer and a process of forming the same
WO2009086157A1 (en) * 2007-12-20 2009-07-09 Spansion Llc Memory device comprising a silicon nitride charge storage layer doped with boron
US20090181550A1 (en) * 2008-01-12 2009-07-16 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US8119544B2 (en) 2008-01-12 2012-02-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US20090263972A1 (en) * 2008-04-04 2009-10-22 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100099236A1 (en) * 2008-10-16 2010-04-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100098884A1 (en) * 2008-10-16 2010-04-22 Applied Materials, Inc. Boron film interface engineering
US9074284B2 (en) * 2009-05-01 2015-07-07 Hitachi Kokusai Electric, Inc. Heat treatment apparatus
US20100275848A1 (en) * 2009-05-01 2010-11-04 Hitachi-Kokusai Electric Inc. Heat treatment apparatus
US20130276700A1 (en) * 2009-08-28 2013-10-24 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
US9169560B2 (en) * 2009-08-28 2015-10-27 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
US20110212599A1 (en) * 2010-03-01 2011-09-01 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11739428B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes
US11739427B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes
CN110168698A (en) * 2016-12-22 2019-08-23 应用材料公司 SiBN film for conformally sealed dielectric enclosed without the direct RF exposure to fabric material
US11640899B2 (en) 2016-12-22 2023-05-02 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11948813B2 (en) 2019-07-18 2024-04-02 Asm Ip Holding B.V. Showerhead device for semiconductor processing system
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching

Also Published As

Publication number Publication date
JP2006287195A (en) 2006-10-19
US20080274302A1 (en) 2008-11-06
US8343594B2 (en) 2013-01-01
KR100967238B1 (en) 2010-06-30
TWI352380B (en) 2011-11-11
KR20060097672A (en) 2006-09-14
TW200710952A (en) 2007-03-16
JP4506677B2 (en) 2010-07-21
CN1831192A (en) 2006-09-13
CN1831192B (en) 2011-06-29

Similar Documents

Publication Publication Date Title
US8343594B2 (en) Film formation method and apparatus for semiconductor process
US7351668B2 (en) Film formation method and apparatus for semiconductor process
US7964241B2 (en) Film formation method and apparatus for semiconductor process
US7758920B2 (en) Method and apparatus for forming silicon-containing insulating film
US8080290B2 (en) Film formation method and apparatus for semiconductor process
US7300885B2 (en) Film formation apparatus and method for semiconductor process
US8119544B2 (en) Film formation method and apparatus for semiconductor process
US7507676B2 (en) Film formation method and apparatus for semiconductor process
US8178448B2 (en) Film formation method and apparatus for semiconductor process
US7825039B2 (en) Vertical plasma processing method for forming silicon containing film
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
US8257789B2 (en) Film formation method in vertical batch CVD apparatus
US7632757B2 (en) Method for forming silicon oxynitride film
US7442656B2 (en) Method and apparatus for forming silicon oxide film
US7651730B2 (en) Method and apparatus for forming silicon oxide film
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
US20090263975A1 (en) Film formation method and apparatus for forming silicon-containing insulating film doped with metal
US20080003362A1 (en) Film formation apparatus and method for using the same
US8168270B2 (en) Film formation method and apparatus for semiconductor process
US7427572B2 (en) Method and apparatus for forming silicon nitride film
US20090124087A1 (en) Vertical plasma processing apparatus and method for using same
KR100980126B1 (en) Method and apparatus for forming film, and storage medium
CN115917713A (en) Substrate processing apparatus, method and program for manufacturing semiconductor device, auxiliary plate, and substrate holder

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HASEBE, KAZUHIDE;OKADA, MITSUHIR0;KIM, CHAEHO;AND OTHERS;REEL/FRAME:017939/0259;SIGNING DATES FROM 20060302 TO 20060315

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION