US20060219157A1 - Oxide films containing titanium - Google Patents

Oxide films containing titanium Download PDF

Info

Publication number
US20060219157A1
US20060219157A1 US11/317,656 US31765605A US2006219157A1 US 20060219157 A1 US20060219157 A1 US 20060219157A1 US 31765605 A US31765605 A US 31765605A US 2006219157 A1 US2006219157 A1 US 2006219157A1
Authority
US
United States
Prior art keywords
titanium
source material
compound
reaction chamber
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/317,656
Inventor
Antti Rahtu
Raija Matero
Markku Leskela
Mikko Ritala
Timo Hatanpaa
Timo Hanninen
Marko Vehkamaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/787,062 external-priority patent/US7108747B1/en
Application filed by ASM International NV filed Critical ASM International NV
Priority to US11/317,656 priority Critical patent/US20060219157A1/en
Assigned to ASM INTERNATIONAL N.V. reassignment ASM INTERNATIONAL N.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HATANPAA, TIMO, LESKELA, MARKKU, RITALA, MIKKO, VEHKAMAKI, MARKO, HANNINEN, TIMO, MATERO, RAIJA, RAHTU, ANTTI
Publication of US20060219157A1 publication Critical patent/US20060219157A1/en
Priority to US11/864,677 priority patent/US8685165B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/22Complex oxides
    • C30B29/32Titanates; Germanates; Molybdates; Tungstates

Definitions

  • This invention relates generally to processes for depositing titanium-containing oxide films. Certain embodiments relate to processes for manufacturing titanium-containing oxide thin films by atomic layer deposition using volatile titanium compounds as source materials.
  • Atomic layer deposition refers to vapour deposition-type methods in which a material, typically a thin film, is deposited on a substrate from vapour phase reactants. It is based on sequential self-saturating surface reactions. ALD is described in detail in U.S. Pat. Nos. 4,058,430 and 5,711,811, incorporated herein by reference. ALD reactors benefit from the use of inert carrier and purging gases, which makes the system fast.
  • the reactants also referred to as “source chemicals” or “precursors”
  • source chemicals typically by inert gas
  • the reactants are separated from each other, typically by inert gas, to prevent gas-phase reactions and to enable the above-mentioned self-saturating surface reactions.
  • Surplus chemicals and reaction by-products are removed from the reaction chamber by purging with an inert gas and/or evacuating the chamber before the next reactive chemical pulse is introduced.
  • Undesired gaseous molecules can be effectively expelled from the reaction chamber by keeping the gas flow speeds high with the help of an inert purging gas.
  • the purging gas pushes the extra molecules towards the vacuum pump used for maintaining a suitable pressure in the reaction chamber.
  • ALD provides controlled film growth as well as outstanding conformality.
  • Titanium containing oxides are technologically very important and they have a variety of industrially useful properties. They function well, for example, as semiconductors, insulators and ferroelectrics. TiO 2 has a high permittivity of around 70. BaTiO 3 and SrTiO 3 have permittivities of several hundreds. Titanium also has several technologically important ternary compounds, such as BiTiO 3 and PbTiO 3 . Pure TiO 2 is usually oxygen deficient and thus semiconducting. Semiconducting TiO 2 has been used, for example, in solar cells and self-cleaning coatings.
  • Alkaline earth metals such as Ba and Sr
  • halide-containing precursors of these metals are not generally useful in depositing oxides such as SrTiO 3 and BaTiO 3 by ALD.
  • alkaline earth metals easily form hydroxides.
  • ALD using water as an oxygen source can be problematic, requiring long purge times and/or high temperatures.
  • long purge times effectively impair the productivity of these processes.
  • atomic layer deposition processes for producing titanium-containing oxide thin films are provided.
  • the processes preferably comprise alternately contacting a substrate in a reaction space with vapor phase pulses of a titanium alkoxide reactant, such as a titanium methoxide compound and at least one oxygen source material capable of forming an oxide with the titanium.
  • a titanium alkoxide reactant such as a titanium methoxide compound
  • at least one oxygen source material capable of forming an oxide with the titanium.
  • the titanium methoxide is Ti(OMe) 4 .
  • the oxygen source material is ozone.
  • the oxygen source material is selected from the group consisting of water, oxygen, hydrogen peroxide, aqueous solutions of hydrogen peroxide, ozone, oxides of nitrogen, halide-oxygen compounds, peracids (—O—O—H), alcohols, alkoxides, oxygen-containing radicals and mixtures thereof.
  • ternary and other multicomponent oxide films are deposited by providing a second metal source material, preferably comprising at least one transition metal or main group metal, followed by provision of an oxygen source material.
  • the deposition temperature is preferably between about 100° C. and about 300° C.
  • multicomponent oxide thin films comprising titanium are deposited by atomic layer deposition type processes.
  • the processes preferably comprise contacting a substrate with alternate and sequential vapour phase pulses of a metal precursor and an oxygen source material, where the metal precursor is preferably a titanium alkoxide compound and the oxygen source material is preferably ozone.
  • the titanium alkoxide compound may be, for example, a titanium methoxide compound.
  • the multicomponent oxide film comprises titanium, barium and strontium.
  • first growth cycle preferably comprises contacting a substrate in a reaction chamber with a titanium methoxide compound, removing excess titanium methoxide, contacting the substrate with ozone and removing excess ozone from the reaction chamber.
  • second and third deposition cycles the substrate is alternately contacted with a barium or strontium compound, respectively, and an oxygen source material, such as ozone.
  • the cycles may be repeated in equivalent numbers. In other embodiments, the ratio of cycles is varied to achieve the desired film composition, as will be apparent to the skilled artisan.
  • FIGS. 1 a - g are graphical presentations of the range, uniformity and growth rate of titanium oxide films deposited at temperatures of 100-300° C. using titanium alkoxides as the titanium source material and water ( FIGS. 1 a - 1 c ) or ozone ( FIGS. 1 d - 1 g ) as the oxidant.
  • Deposition was carried out at 200° C. in FIG. 1 a , at 250° C. in FIG. 1 b , at 300° C. in FIG. 1 c , at 150° C. in FIG. 1 d , at 200° C. in FIG. 1 e , at 250° C. in FIG. 1 f and at 300° C. in FIG. 1 g.
  • FIG. 2 is a graphical presentation of the growth rate as a function of the growth temperature (deposition temperature).
  • the titanium source chemical was Ti(OMe) 4 and the oxidant was ozone.
  • a 200 mm wafer was measured at 49 points.
  • FIG. 3 is a graphical presentation of the film nonuniformity as a function of growth temperature.
  • the titanium source was Ti(OMe) 4 and the oxidant wa ozone.
  • a 200 mm wafer was measured at 49 points.
  • an ALD type process generally refers to a process for depositing thin films on a substrate molecular layer by molecular layer. This controlled deposition is made possible by self-saturating chemical reactions on the substrate surface. Gaseous reactants are conducted alternately and sequentially into a reaction chamber and contacted with a substrate located in the chamber to provide a surface reaction. Typically, a pulse of a first reactant is provided to the reaction chamber where it chemisorbs to the substrate surface in a self-limiting manner. Excess first reactant is then removed and a pulse of a second reactant is provided to the reaction chamber. The second reactant reacts with the adsorbed first reactant, also in a self-limiting manner. Excess second reactant and reaction by-products, if any, are removed from the reaction chamber. Additional reactants may be supplied in each ALD cycle, depending on the composition of the thin film being deposited.
  • ⁇ /pulsing cycle the rate of the thin film, which is typically presented as ⁇ /pulsing cycle, depends, for example, on the number of available reactive surface sites on the surface and bulkiness of the reactant molecules.
  • Gas phase reactions between precursors and any undesired reactions with by-products are preferably inhibited or prevented.
  • Reactant pulses are separated from each other and the reaction chamber is purged with the aid of an inactive gas (e.g. nitrogen or
  • ALD type processes have been presented by the inventor of the ALD technology, Dr T. Suntola, e.g. in the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B. V. 1994, the disclosure of which is incorporated herein by reference.
  • a reaction space designates generally a reaction chamber, or a defined volume therein, in which the conditions can be adjusted so that deposition of a thin film is possible.
  • an ALD type reactor is a reactor where the reaction space is in fluid communication with an inactive gas source and at least one, preferably at least two precursor sources such that the precursors can be pulsed into the reaction space.
  • the reaction space is also preferably in fluid communication with a vacuum generator (e.g. a vacuum pump), and the temperature and pressure of the reaction space and the flow rates of gases can be adjusted to a range that makes it possible to grow thin films by ALD type processes.
  • PEALD plasma enhanced ALD
  • thermal ALD refers to an ALD method where
  • ALD covers both PEALD and thermal ALD.
  • Metal source material and “metal precursor” are used interchangeably to designate a volatile or gaseous metal compound that can be used in an ALD process. Preferred metal source materials and metal precursors can be used as a starting compound for the corresponding metal oxide.
  • multicomponent oxide covers oxide materials comprising at least two different metal cations.
  • titanium oxide containing thin films are deposited by ALD using titanium alkoxide precursors, more preferably the specific alkoxide precursor Ti(OMe) 4 .
  • a gas phase pulse of an evaporated titanium alkoxide compound preferably an evaporated titanium methoxide compound
  • a gas phase pulse of an evaporated titanium alkoxide compound is introduced into the reaction space of an ALD reactor, where it is contacted with a suitable substrate. No more than a monolayer of the titanium alkoxide compound adsorbs to the substrate surface in a self-limiting manner. Excess titanium alkoxide compound is removed from the reaction space by purging and/or evacuating the chamber.
  • a gas phase pulse of an oxygen source material is introduced into the reaction space, where it reacts with the adsorbed titanium precursor in a self-limiting manner.
  • the oxygen source material is preferably selected from the group consisting of water, oxygen, hydrogen peroxide, aqueous solution of hydrogen peroxide,
  • ozone is used as the oxygen source material, since it does not form hydroxides with the alkaline earth materials.
  • a titanium oxide thin film can be deposited.
  • a growth rate of about 0:10 to 0.20 ⁇ /cycle is typically achieved in ALD processes.
  • TiO 2 was grown from titanium methoxide and ozone a growth rate of 0.55 ⁇ /c was achieved at 250° C.
  • an inactive gas can be used as a carrier gas during deposition.
  • Inactive gas may also be used to purge the reaction chamber of excess reactant and reaction by-products, if any, between reactant pulses.
  • the deposition can be carried out at normal pressure, but it is preferred to operate the process at reduced pressure.
  • the pressure in the reactor is typically 0.01-20 mbar, preferably 0.1-5 mbar.
  • the reaction temperature can be varied depending on the evaporation temperature and the decomposition temperature of the precursor. In some embodiments the range is from about 100 to 400° C., in particular about 180 to 380° C.
  • the substrate temperature is preferably low enough to keep the bonds between thin film atoms intact and to prevent thermal decomposition of the gaseous reactants. On the other hand, the substrate temperature is preferably high enough to keep the source materials in gaseous phase and avoid condensation. Further, the temperature is preferably sufficiently high to provide the activation energy for the surface reaction.
  • the deposition temperature is preferably between about 100 and about 300° C. It is particularly preferred to grow titanium oxide films from titanium methoxide at temperatures of about 100-300° C., more preferably at about 250° C.
  • the titanium source temperature is preferably about 120 to 170° C., more preferably about 140° C. In preferred embodiments, the reaction temperature is somewhat higher than the titanium source temperature, typically about 20 to 160° C. higher. In the examples described below, maximum relative growth rates were obtained at 250 and 300° C. using titanium methoxide. The best values for the uniformity were also achieved at these temperatures. When the deposition temperature was increased from 160° C. to 250° C. the growth rate increases from 0.1 to 0.55 ⁇ /cycle (see FIG. 2 ). From a temperature of 250° C. to 300° C. the growth rate stayed at a relatively constant level of about 0.55 to 0.6 ⁇ /cycle. At 160° C. the non-uniformity was about 10%. This reaction temperature is already relatively close to the evaporation temperature of the Ti precursor. At a higher temperature the uniformity reaches lower values, i.e. about 1.5-0.6%.
  • the substrate can be of various types. Examples include, without limitation, silicon, silica, coated silicon, germanium, silicon-germanium alloys, copper metal, noble and platinum metals group including silver, gold, platinum, palladium, rhodium, iridium and ruthenium, nitrides, such as transition metal nitrides, e.g. tantalum nitride TaN, carbides, such as transition metal carbides, e.g. tungsten carbide WC, and nitride carbides, e.g. tungsten nitride carbide WN x C y .
  • the preceding thin film layer deposited on the substrate, if any, will form the substrate surface for the next thin film.
  • a second metal source material can be introduced to the ALD process. Additional metal source materials can also be used, depending on the number of metals desired in the thin film. For example, in some embodiments, a third, fourth, fifth etc. . . . metal compound is used. In some preferred embodiments, each additional metal source material is provided in a separate cycle, with each cycle comprising feeding a vapor phase pulse of a metal source material, removing excess metal source material, providing a vapor phase pulse of an oxygen source material and removing excess oxygen source material. The same oxygen source material may be provided after each metal reactant, or different oxidants may be used to oxidize the different metals. The number of cycles for each metal precursor may be approximately equivalent or may be different, depending on the composition of the film that is desired.
  • a pulse of the second metal source reactant is the next reactant provided after the titanium source material in the same deposition cycle.
  • An oxidant is then provided to convert the two metals to oxides. Additional metal reactants may also be provided prior to provision of the oxygen containing source material. In other embodiments, an oxidant is provided after each metal source reactant, as discussed above.
  • the second (or additional) metal compound is provided in each ALD cycle. That is, a pulse of the second metal compound is provided for each pulse of the titanium reactant.
  • the second metal reactant is provided intermittently in the deposition process.
  • a nanolaminate structure is deposited by repeating a first cycle comprising provision of the titanium precursor and and a first oxidant to deposit a thin film of titanium oxide, followed by repeating a second cycle comprising provision of the second metal precursor to deposit a thin film of the second metal oxide.
  • the nanolaminate can start and end with either metal, and the thickness of each layer can be determined by the skilled artisan based on the particular circumstances.
  • Additional metal precursors can be metal compounds comprising a single metal or complex metal compounds comprising two or more metals.
  • the metal compounds are preferably selected from the group of volatile or gaseous compounds of transition metals and main group metals, i.e., elements of groups 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13 and/or 14 (according to the system recommended by IUPAC) in the periodic table of elements.
  • the suitability of each metal compound for use in the ALD processes disclosed herein has to be considered.
  • the properties of the compounds can be found, e.g., in N. N. Greenwood and A. Earnshaw, Chemistry of the Elements, 1 st edition, Pergamon Press, 1986.
  • the suitability of any particular compound can readily be determined by a skilled artisan.
  • preferred second metal source materials are halides, preferably fluorides, chlorides, bromides or iodides, or metal organic compounds, preferably alkoxy, alkylamino, cyclopentadienyl, dithiocarbamate or betadiketonate compounds of the desired metal(s).
  • metal organic compounds preferably alkoxy, alkylamino, cyclopentadienyl, dithiocarbamate or betadiketonate compounds of the desired metal(s).
  • double metal precursors i.e. molecules containing two metals in a discrete ratio, may be used.
  • cyclopentadienyl barium and/or cyclopentadienyl strontium compounds are used.
  • barium, strontium, lanthanum and zirconium are used as sources of a second and/or third and/or fourth etc. . . . metal in ternary and other multicomponent oxides.
  • the second metal source material and any additional metal reactants can be oxidized using the same or another oxygen source material as for the titanium precursor.
  • a multicomponent oxide thin film comprises barium, strontium and titanium.
  • the multicomponent oxide film may be BST.
  • the multicomponent oxide is preferably deposited by alternating three deposition cycles, as described above.
  • a vapour phase reactant pulse comprising a titanium compound, preferably a titanium alkoxide compound and more preferably a titanium methoxide compound, is fed into the reaction chamber.
  • An oxygen source material preferably ozone, is then provided to the reaction chamber where it reacts with the chemisorbed titanium compound.
  • a barium compound preferably a cyclopentadienyl compound
  • a strontium compound preferably a cyclopentadienyl strontium compound
  • the three cycles may be provided in any order and the deposition may begin and end with any of the cycles.
  • one ratio of the cycles may be varied to provide the desired composition, as can be determined by the skilled artisan.
  • a stoichiometric oxide ABO 3 can be obtained simply by pulsing the two metal precursors and corresponding oxygen sources alternately and the growth rate of the ternary oxide can be predicted by summing the growth rates of the constituent oxides.
  • both assumptions often fail due to the different reactivities of the precursors.
  • the effect of surface chemistry usually causes changes in relative growth rate, which can be determined by comparing the observed film thickness with the theoretical thickness calculated from the growth rates of binary oxides.
  • novel thin film oxide deposition processes will find extensive application as semiconductors, insulators and ferroelectrics. In addition, other applications will be apparent to the skilled artisan.
  • TiO 2 films were deposited at 200-300° C. by ALD using alternate pulses of Ti(OEt) 4 as the titanium precursor and water as the oxidant.
  • the growth rate increased from 0.30 to 0.39 ⁇ /cycle with increasing temperature.
  • the film uniformity (Uf % 1 ⁇ ) varied between 0.98 and 4.43, with the best uniformity being obtained at a deposition temperature of 250° C.
  • TiO 2 films were deposited by ALD at deposition temperatures of 200-300° C., using alternating pulses of Ti(O i Pr) 4 as the titanium precursor and water as the oxidant. Growth rates were about 0.22-0.42 ⁇ /cycle, with the lowest value being obtained at 250° C. and the highest at 300° C. Film uniformity (Uf % 1 ⁇ ) at these temperatures was 4.84 and 6.84 respectively. For an unknown reason the film deposited at 200° C. was very non-uniform, having a uniformity of 23.53%.
  • TiO 2 films were deposited by ALD at deposition temperatures of 200, 250 and 300° C., using alternating pulses of Ti(OBu) 4 as the titanium precursor and water as the oxidant. The growth rates at these temperatures were 0.26, 0.24 and 0.36 ⁇ /cycle respectively. The most uniform films were obtained at 250° C. (Uf % 1 ⁇ 0.81), while the Uf % were 3.33 and 1.73 at 200° C. and at 300° C. respectively.
  • films were also deposited by ALD using Ti(OMe) 4 as the titanium source material.
  • the growth rates in this case, increased from 0.44 to 0.55 ⁇ /cycle with increasing temperature (200-300° C.).
  • the uniformity Uf % 1 ⁇ decreased from 2.26 to 0.80.
  • TiO 2 films were deposited by ALD at 150-300° C., using alternating pulses of Ti(OEt) 4 and O 3 .
  • the growth rate increased from 0.12 to 0.46 ⁇ /cycle with increasing temperature.
  • the film uniformity (Uf % 1 ⁇ ) decreased from 5.21 to 1.33, with the highest uniformity being obtained at 250° C.
  • TiO 2 films were deposited by ALD at 100-300° C., using alternating pulses of Ti(O i Pr) 4 and O 3 .
  • the growth rate was 0.08-0.58 ⁇ /cycle, the lowest value being obtained at 100° C. and the highest value at 300° C.
  • the film uniformity (Uf % 1 ⁇ ) varied between 1.51 and 14.43, with the highest uniformity being obtained at 200° C. and the lowest at 100° C.
  • TiO 2 films were deposited by ALD at 150-300° C., using alternating pulses of Ti(OBu) 4 and O 3 .
  • the growth rate at these temperatures was 0.26-0.99 ⁇ /cycle.
  • the best growth rate was obtained at 150° C.
  • the lowest growth rate was therefore 0.26 ⁇ /cycle, obtained at 200° C., and the highest growth rate was 0.39 ⁇ /cycle, obtained at 300° C.
  • the Uf % 1 ⁇ decreased with increasing temperature (200-300° C.) from 3.80 to 1.16, the value obtained at 150° C. being 10.30.

Abstract

Atomic layer deposition (ALD) type processes for producing titanium containing oxide thin films comprise feeding into a reaction space vapour phase pulses of titanium alkoxide as a titanium source material and at least one oxygen source material, such as ozone, capable of forming an oxide with the titanium source material. In preferred embodiments the titanium alkoxide is titanium methoxide.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority as a continuation in part of U.S. application Ser. No. 09/787,062, filed Jun. 28, 2001. The priority application is incorporated by reference herein in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention relates generally to processes for depositing titanium-containing oxide films. Certain embodiments relate to processes for manufacturing titanium-containing oxide thin films by atomic layer deposition using volatile titanium compounds as source materials.
  • 2. Description of the Related Art
  • Atomic layer deposition (“ALD”) refers to vapour deposition-type methods in which a material, typically a thin film, is deposited on a substrate from vapour phase reactants. It is based on sequential self-saturating surface reactions. ALD is described in detail in U.S. Pat. Nos. 4,058,430 and 5,711,811, incorporated herein by reference. ALD reactors benefit from the use of inert carrier and purging gases, which makes the system fast.
  • According to the principles of ALD, the reactants (also referred to as “source chemicals” or “precursors”) are separated from each other, typically by inert gas, to prevent gas-phase reactions and to enable the above-mentioned self-saturating surface reactions. Surplus chemicals and reaction by-products are removed from the reaction chamber by purging with an inert gas and/or evacuating the chamber before the next reactive chemical pulse is introduced. Undesired gaseous molecules can be effectively expelled from the reaction chamber by keeping the gas flow speeds high with the help of an inert purging gas. The purging gas pushes the extra molecules towards the vacuum pump used for maintaining a suitable pressure in the reaction chamber. ALD provides controlled film growth as well as outstanding conformality.
  • Titanium containing oxides are technologically very important and they have a variety of industrially useful properties. They function well, for example, as semiconductors, insulators and ferroelectrics. TiO2 has a high permittivity of around 70. BaTiO3 and SrTiO3 have permittivities of several hundreds. Titanium also has several technologically important ternary compounds, such as BiTiO3 and PbTiO3. Pure TiO2 is usually oxygen deficient and thus semiconducting. Semiconducting TiO2 has been used, for example, in solar cells and self-cleaning coatings.
  • Alkaline earth metals (such as Ba and Sr) easily form stable non-volatile halides. Therefore, halide-containing precursors of these metals are not generally useful in depositing oxides such as SrTiO3 and BaTiO3 by ALD. In addition, alkaline earth metals easily form hydroxides. As a result, ALD using water as an oxygen source can be problematic, requiring long purge times and/or high temperatures. However, long purge times effectively impair the productivity of these processes. Further, it can be difficult to find a deposition temperature that will not cause decomposition of the precursors and will keep the thin film atoms intact, but will still keep the precursors in gaseous phase and provide the activation energy for the surface reactions.
  • SUMMARY OF THE INVENTION
  • In one aspect atomic layer deposition processes for producing titanium-containing oxide thin films are provided. The processes preferably comprise alternately contacting a substrate in a reaction space with vapor phase pulses of a titanium alkoxide reactant, such as a titanium methoxide compound and at least one oxygen source material capable of forming an oxide with the titanium. In some embodiments, the titanium methoxide is Ti(OMe)4. Preferably, the oxygen source material is ozone. However, in some embodiments the oxygen source material is selected from the group consisting of water, oxygen, hydrogen peroxide, aqueous solutions of hydrogen peroxide, ozone, oxides of nitrogen, halide-oxygen compounds, peracids (—O—O—H), alcohols, alkoxides, oxygen-containing radicals and mixtures thereof.
  • In some embodiments, ternary and other multicomponent oxide films are deposited by providing a second metal source material, preferably comprising at least one transition metal or main group metal, followed by provision of an oxygen source material.
  • In some embodiments, the deposition temperature is preferably between about 100° C. and about 300° C.
  • In another aspect, multicomponent oxide thin films comprising titanium are deposited by atomic layer deposition type processes. The processes preferably comprise contacting a substrate with alternate and sequential vapour phase pulses of a metal precursor and an oxygen source material, where the metal precursor is preferably a titanium alkoxide compound and the oxygen source material is preferably ozone. The titanium alkoxide compound may be, for example, a titanium methoxide compound. In some embodiments the multicomponent oxide film comprises titanium, barium and strontium.
  • In a further aspect, methods are provided for depositing a multicomponent oxide film comprising barium and strontium by repeating a first, second and third growth cycle. The first growth cycle preferably comprises contacting a substrate in a reaction chamber with a titanium methoxide compound, removing excess titanium methoxide, contacting the substrate with ozone and removing excess ozone from the reaction chamber. In the second and third deposition cycles, the substrate is alternately contacted with a barium or strontium compound, respectively, and an oxygen source material, such as ozone. The cycles may be repeated in equivalent numbers. In other embodiments, the ratio of cycles is varied to achieve the desired film composition, as will be apparent to the skilled artisan.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 a-g are graphical presentations of the range, uniformity and growth rate of titanium oxide films deposited at temperatures of 100-300° C. using titanium alkoxides as the titanium source material and water (FIGS. 1 a-1 c) or ozone (FIGS. 1 d-1 g) as the oxidant. Deposition was carried out at 200° C. in FIG. 1 a, at 250° C. in FIG. 1 b, at 300° C. in FIG. 1 c, at 150° C. in FIG. 1 d, at 200° C. in FIG. 1 e, at 250° C. in FIG. 1 f and at 300° C. in FIG. 1 g.
  • FIG. 2 is a graphical presentation of the growth rate as a function of the growth temperature (deposition temperature). The titanium source chemical was Ti(OMe)4 and the oxidant was ozone. A 200 mm wafer was measured at 49 points.
  • FIG. 3 is a graphical presentation of the film nonuniformity as a function of growth temperature. The titanium source was Ti(OMe)4 and the oxidant wa ozone. A 200 mm wafer was measured at 49 points.
  • DETAILED DESCRIPTION
  • In context of the present invention, “an ALD type process” generally refers to a process for depositing thin films on a substrate molecular layer by molecular layer. This controlled deposition is made possible by self-saturating chemical reactions on the substrate surface. Gaseous reactants are conducted alternately and sequentially into a reaction chamber and contacted with a substrate located in the chamber to provide a surface reaction. Typically, a pulse of a first reactant is provided to the reaction chamber where it chemisorbs to the substrate surface in a self-limiting manner. Excess first reactant is then removed and a pulse of a second reactant is provided to the reaction chamber. The second reactant reacts with the adsorbed first reactant, also in a self-limiting manner. Excess second reactant and reaction by-products, if any, are removed from the reaction chamber. Additional reactants may be supplied in each ALD cycle, depending on the composition of the thin film being deposited.
  • pressure and the temperature of the reaction chamber are adjusted to a range where physisorption (i.e. condensation of gases) and thermal decomposition of the precursors are avoided. Consequently, only up to one monolayer (i.e. an atomic layer or a molecular layer) of material is deposited at a time during each pulsing cycle. The actual
  • rate of the thin film, which is typically presented as Å/pulsing cycle, depends, for example, on the number of available reactive surface sites on the surface and bulkiness of the reactant molecules.
  • Gas phase reactions between precursors and any undesired reactions with by-products are preferably inhibited or prevented. Reactant pulses are separated from each other and the reaction chamber is purged with the aid of an inactive gas (e.g. nitrogen or
  • and/or evacuated between reactant pulses to remove surplus gaseous reactants and reaction by-products from the chamber. The principles of ALD type processes have been presented by the inventor of the ALD technology, Dr T. Suntola, e.g. in the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B. V. 1994, the disclosure of which is incorporated herein by reference.
  • An extensive description of ALD precursors and ALD-grown materials has been presented by Prof. M. Ritala and Prof. M. Leskelä in a recent review article, Handbook of Thin Film Materials, Vol. 1: Deposition and Processing of Thin Films, Chapter 2 “Atomic Layer Deposition”, pp. 103-159, Academic Press 2002, incorporated by reference herein.
  • In context of the present application “a reaction space” designates generally a reaction chamber, or a defined volume therein, in which the conditions can be adjusted so that deposition of a thin film is possible.
  • In context of the present application, “an ALD type reactor” is a reactor where the reaction space is in fluid communication with an inactive gas source and at least one, preferably at least two precursor sources such that the precursors can be pulsed into the reaction space. The reaction space is also preferably in fluid communication with a vacuum generator (e.g. a vacuum pump), and the temperature and pressure of the reaction space and the flow rates of gases can be adjusted to a range that makes it possible to grow thin films by ALD type processes.
  • As is well known in the art, there are a number of variations of the basic ALD method, including PEALD (plasma enhanced ALD) in which plasma is used for activating reactants. Conventional ALD or thermal ALD refers to an ALD method where
  • is not used but where the substrate temperature is high enough for overcoming the
  • barrier (activation energy) during collisions between the chemisorbed species on the surface and reactant molecules in the gas phase so that up to a molecular layer of thin film grows on the substrate surface during each ALD pulsing sequence or cycle. For the purpose
  • present invention, the term “ALD” covers both PEALD and thermal ALD.
  • “Metal source material” and “metal precursor” are used interchangeably to designate a volatile or gaseous metal compound that can be used in an ALD process. Preferred metal source materials and metal precursors can be used as a starting compound for the corresponding metal oxide.
  • The term “multicomponent oxide” covers oxide materials comprising at least two different metal cations.
  • According to preferred embodiments, titanium oxide containing thin films are deposited by ALD using titanium alkoxide precursors, more preferably the specific alkoxide precursor Ti(OMe)4.
  • In a preferred ALD type process, a gas phase pulse of an evaporated titanium alkoxide compound, preferably an evaporated titanium methoxide compound, is introduced into the reaction space of an ALD reactor, where it is contacted with a suitable substrate. No more than a monolayer of the titanium alkoxide compound adsorbs to the substrate surface in a self-limiting manner. Excess titanium alkoxide compound is removed from the reaction space by purging and/or evacuating the chamber.
  • Subsequently, a gas phase pulse of an oxygen source material is introduced into the reaction space, where it reacts with the adsorbed titanium precursor in a self-limiting manner. The oxygen source material is preferably selected from the group consisting of water, oxygen, hydrogen peroxide, aqueous solution of hydrogen peroxide,
  • oxides of nitrogen, halide-oxygen compounds, peracids (—O—O—H), alcohols, alkoxides, oxygen-containing radicals and mixtures thereof. In preferred embodiments, ozone is used as the oxygen source material, since it does not form hydroxides with the alkaline earth materials.
  • By alternating the provision of the titanium precursor and the oxygen
  • material, a titanium oxide thin film can be deposited. A growth rate of about 0:10 to 0.20 Å/cycle is typically achieved in ALD processes. However, when TiO2 was grown from titanium methoxide and ozone a growth rate of 0.55 Å/c was achieved at 250° C.
  • Optionally, an inactive gas can be used as a carrier gas during deposition. Inactive gas may also be used to purge the reaction chamber of excess reactant and reaction by-products, if any, between reactant pulses.
  • The deposition can be carried out at normal pressure, but it is preferred to operate the process at reduced pressure. Thus, the pressure in the reactor is typically 0.01-20 mbar, preferably 0.1-5 mbar.
  • The reaction temperature can be varied depending on the evaporation temperature and the decomposition temperature of the precursor. In some embodiments the range is from about 100 to 400° C., in particular about 180 to 380° C. The substrate temperature is preferably low enough to keep the bonds between thin film atoms intact and to prevent thermal decomposition of the gaseous reactants. On the other hand, the substrate temperature is preferably high enough to keep the source materials in gaseous phase and avoid condensation. Further, the temperature is preferably sufficiently high to provide the activation energy for the surface reaction. In preferred embodiments the deposition temperature is preferably between about 100 and about 300° C. It is particularly preferred to grow titanium oxide films from titanium methoxide at temperatures of about 100-300° C., more preferably at about 250° C.
  • The titanium source temperature is preferably about 120 to 170° C., more preferably about 140° C. In preferred embodiments, the reaction temperature is somewhat higher than the titanium source temperature, typically about 20 to 160° C. higher. In the examples described below, maximum relative growth rates were obtained at 250 and 300° C. using titanium methoxide. The best values for the uniformity were also achieved at these temperatures. When the deposition temperature was increased from 160° C. to 250° C. the growth rate increases from 0.1 to 0.55 Å/cycle (see FIG. 2). From a temperature of 250° C. to 300° C. the growth rate stayed at a relatively constant level of about 0.55 to 0.6 Å/cycle. At 160° C. the non-uniformity was about 10%. This reaction temperature is already relatively close to the evaporation temperature of the Ti precursor. At a higher temperature the uniformity reaches lower values, i.e. about 1.5-0.6%.
  • For further details on the operation of a typical ALD process, reference is made to the documents cited above.
  • The substrate can be of various types. Examples include, without limitation, silicon, silica, coated silicon, germanium, silicon-germanium alloys, copper metal, noble and platinum metals group including silver, gold, platinum, palladium, rhodium, iridium and ruthenium, nitrides, such as transition metal nitrides, e.g. tantalum nitride TaN, carbides, such as transition metal carbides, e.g. tungsten carbide WC, and nitride carbides, e.g. tungsten nitride carbide WNxCy. The preceding thin film layer deposited on the substrate, if any, will form the substrate surface for the next thin film.
  • In order to produce multicomponent oxide films, a second metal source material can be introduced to the ALD process. Additional metal source materials can also be used, depending on the number of metals desired in the thin film. For example, in some embodiments, a third, fourth, fifth etc. . . . metal compound is used. In some preferred embodiments, each additional metal source material is provided in a separate cycle, with each cycle comprising feeding a vapor phase pulse of a metal source material, removing excess metal source material, providing a vapor phase pulse of an oxygen source material and removing excess oxygen source material. The same oxygen source material may be provided after each metal reactant, or different oxidants may be used to oxidize the different metals. The number of cycles for each metal precursor may be approximately equivalent or may be different, depending on the composition of the film that is desired.
  • In other embodiments, a pulse of the second metal source reactant is the next reactant provided after the titanium source material in the same deposition cycle. An oxidant is then provided to convert the two metals to oxides. Additional metal reactants may also be provided prior to provision of the oxygen containing source material. In other embodiments, an oxidant is provided after each metal source reactant, as discussed above.
  • In addition, in some embodiments, the second (or additional) metal compound is provided in each ALD cycle. That is, a pulse of the second metal compound is provided for each pulse of the titanium reactant. However, in other embodiments the second metal reactant is provided intermittently in the deposition process. In still other embodiments, a nanolaminate structure is deposited by repeating a first cycle comprising provision of the titanium precursor and and a first oxidant to deposit a thin film of titanium oxide, followed by repeating a second cycle comprising provision of the second metal precursor to deposit a thin film of the second metal oxide. The nanolaminate can start and end with either metal, and the thickness of each layer can be determined by the skilled artisan based on the particular circumstances.
  • Additional metal precursors can be metal compounds comprising a single metal or complex metal compounds comprising two or more metals. The metal compounds are preferably selected from the group of volatile or gaseous compounds of transition metals and main group metals, i.e., elements of groups 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13 and/or 14 (according to the system recommended by IUPAC) in the periodic table of elements.
  • Since the properties of the metal compounds vary, the suitability of each metal compound for use in the ALD processes disclosed herein has to be considered. The properties of the compounds can be found, e.g., in N. N. Greenwood and A. Earnshaw, Chemistry of the Elements, 1st edition, Pergamon Press, 1986. The suitability of any particular compound can readily be determined by a skilled artisan.
  • In some embodiments, preferred second metal source materials are halides, preferably fluorides, chlorides, bromides or iodides, or metal organic compounds, preferably alkoxy, alkylamino, cyclopentadienyl, dithiocarbamate or betadiketonate compounds of the desired metal(s). Also double metal precursors, i.e. molecules containing two metals in a discrete ratio, may be used. In particular embodiments, cyclopentadienyl barium and/or cyclopentadienyl strontium compounds are used.
  • In some embodiments, barium, strontium, lanthanum and zirconium are used as sources of a second and/or third and/or fourth etc. . . . metal in ternary and other multicomponent oxides. As mentioned above, the second metal source material (and any additional metal reactants) can be oxidized using the same or another oxygen source material as for the titanium precursor.
  • In a particular embodiment a multicomponent oxide thin film comprises barium, strontium and titanium. The multicomponent oxide film may be BST. The multicomponent oxide is preferably deposited by alternating three deposition cycles, as described above. Thus, in one deposition cycle a vapour phase reactant pulse comprising a titanium compound, preferably a titanium alkoxide compound and more preferably a titanium methoxide compound, is fed into the reaction chamber. Excess titanium compound and reaction by products, if any, are removed from the reaction chamber, preferably with the aid of an inert gas. An oxygen source material, preferably ozone, is then provided to the reaction chamber where it reacts with the chemisorbed titanium compound. In the second cycle a barium compound, preferably a cyclopentadienyl compound, is provided in the reactant pulse. In the third cycle a strontium compound, preferably a cyclopentadienyl strontium compound, is provided in the first reactant pulse. The three cycles may be provided in any order and the deposition may begin and end with any of the cycles. In addition, one ratio of the cycles may be varied to provide the desired composition, as can be determined by the skilled artisan.
  • In theory, a stoichiometric oxide ABO3 can be obtained simply by pulsing the two metal precursors and corresponding oxygen sources alternately and the growth rate of the ternary oxide can be predicted by summing the growth rates of the constituent oxides. In practice, however, both assumptions often fail due to the different reactivities of the precursors. The effect of surface chemistry usually causes changes in relative growth rate, which can be determined by comparing the observed film thickness with the theoretical thickness calculated from the growth rates of binary oxides.
  • The novel thin film oxide deposition processes will find extensive application as semiconductors, insulators and ferroelectrics. In addition, other applications will be apparent to the skilled artisan.
  • The following non-limiting example illustrates one embodiment of the invention.
  • EXAMPLE 1
  • Four different titanium alkoxides were tested as metal precursors in ALD reactions to deposit TiO2 using H2O and O3 as oxygen sources. Films were deposited at temperatures of 100-300° C. (see FIGS. 1 a-g).
  • Reactions Using H2O as the Oxygen Source Material:
  • a) TiO2 films were deposited at 200-300° C. by ALD using alternate pulses of Ti(OEt)4 as the titanium precursor and water as the oxidant. The growth rate increased from 0.30 to 0.39 Å/cycle with increasing temperature. The film uniformity (Uf % 1σ) varied between 0.98 and 4.43, with the best uniformity being obtained at a deposition temperature of 250° C.
  • b) TiO2 films were deposited by ALD at deposition temperatures of 200-300° C., using alternating pulses of Ti(OiPr)4 as the titanium precursor and water as the oxidant. Growth rates were about 0.22-0.42 Å/cycle, with the lowest value being obtained at 250° C. and the highest at 300° C. Film uniformity (Uf % 1σ) at these temperatures was 4.84 and 6.84 respectively. For an unknown reason the film deposited at 200° C. was very non-uniform, having a uniformity of 23.53%.
  • c) TiO2 films were deposited by ALD at deposition temperatures of 200, 250 and 300° C., using alternating pulses of Ti(OBu)4 as the titanium precursor and water as the oxidant. The growth rates at these temperatures were 0.26, 0.24 and 0.36 Å/cycle respectively. The most uniform films were obtained at 250° C. (Uf % 1σ 0.81), while the Uf % were 3.33 and 1.73 at 200° C. and at 300° C. respectively.
  • d) For comparison, films were also deposited by ALD using Ti(OMe)4 as the titanium source material. The growth rates, in this case, increased from 0.44 to 0.55 Å/cycle with increasing temperature (200-300° C.). At the same time the uniformity Uf % 1σ decreased from 2.26 to 0.80.
  • Reactions Using O3 as the Oxygen Source Material:
  • a) TiO2 films were deposited by ALD at 150-300° C., using alternating pulses of Ti(OEt)4 and O3. The growth rate increased from 0.12 to 0.46 Å/cycle with increasing temperature. At the same time, the film uniformity (Uf % 1σ) decreased from 5.21 to 1.33, with the highest uniformity being obtained at 250° C.
  • b) TiO2 films were deposited by ALD at 100-300° C., using alternating pulses of Ti(OiPr)4 and O3. The growth rate was 0.08-0.58 Å/cycle, the lowest value being obtained at 100° C. and the highest value at 300° C. The film uniformity (Uf % 1σ) varied between 1.51 and 14.43, with the highest uniformity being obtained at 200° C. and the lowest at 100° C.
  • c) TiO2 films were deposited by ALD at 150-300° C., using alternating pulses of Ti(OBu)4 and O3. The growth rate at these temperatures was 0.26-0.99 Å/cycle. The best growth rate was obtained at 150° C. The lowest growth rate was therefore 0.26 Å/cycle, obtained at 200° C., and the highest growth rate was 0.39 Å/cycle, obtained at 300° C. The Uf % 1σ decreased with increasing temperature (200-300° C.) from 3.80 to 1.16, the value obtained at 150° C. being 10.30.
  • d) For comparison, the growth rate when using Ti(OMe)4 increased with increasing temperature (160-300° C.) from 0.11 to 0.61 Å/cycle. At the same time the Uf % 1σ decreased from 10.43 to 0.65.
  • It will be appreciated by those skilled in the art that various omissions, additions and modifications may be made to the processes described above without departing from the scope of the invention, and all such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims (21)

1. An atomic layer deposition process for producing titanium containing oxide thin films comprising alternately contacting a substrate in a reaction space with vapor phase pulses of a titanium alkoxide and at least one oxygen source material.
2. The process according to claim 1, wherein the oxygen source material is selected from the group of water, oxygen, hydrogen peroxide, aqueous solution of hydrogen peroxide, ozone, oxides of nitrogen, halide-oxygen compounds, peracids (—O—O—H), alcohols, alkoxides, oxygen-containing radicals and mixtures thereof.
3. The process according to claim 2, wherein the oxygen source material is ozone.
4. The process according to claim 1, wherein the titanium alkoxide source temperature is about 140° C.
5. The process according to claim 1, wherein the deposition temperature is in from about 100° C. to about 300° C.
6. The process according to claim 5, wherein the deposition temperature is about 250° C.
7. The process according to claim 1, additionally comprising contacting the substrate with alternating pulses of a second metal precursor.
8. The process according to claim 7, wherein the titanium containing oxide thin film is a multicomponent film.
9. The process according to claim 7, wherein the second metal precursor is a metal compound comprising a single metal or a complex metal compound comprising two or more metals.
10. The process according to claim 9, wherein the metal compound or the complex metal compound comprises titanium, lanthanum or zirconium.
11. A atomic layer deposition type process for depositing a multicomponent oxide thin film comprising titanium, the process comprising contacting a substrate with alternate and sequential vapor phase pulses of a metal precursor and an oxygen source material, wherein the metal precursor is a titanium alkoxide compound and the oxygen source material is ozone.
12. The process of claim 11, additionally comprising contacting the substrate with a vapor phase pulse of a second metal precursor.
13. The process of claim 11, wherein the titanium alkoxide compound is a titanium methoxide compound.
14. The process of claim 11, wherein the multicomponent oxide comprises barium and strontium.
15. A method for growing a thin film comprising barium, strontium and titanium on a substrate in a reaction chamber by atomic layer deposition, wherein a first growth cycle comprises:
feeding a first reactant pulse into the reaction chamber, wherein the first reactant is a titanium methoxide compound;
removing the first reactant from the reaction chamber with the aid of an inert gas;
feeding an oxygen source material into the reaction space, wherein the second reactant is ozone; and
removing excess second reactant from the reaction chamber with the aid of an inert gas.
16. The method of claim 15, additionally comprising a second growth cycle, the second growth cycle comprising:
feeding a second reactant pulse into the reaction chamber, wherein the third reactant pulse is a barium compound; and
removing excess second reactant from the reaction chamber with the aid of an inert gas;
feeding an oxygen source material into the reaction chamber; and
removing excess oxygen source material from the reaction chamber with the aid of an inert gas.
17. The method of claim 16, wherein the oxygen source material is ozone.
18. The method of claim 16, wherein the barium compound is a cyclopentadienyl compound.
19. The method of claim 16, additionally comprising a third growth cycle, the third growth cycle comprising:
feeding a third reactant pulse into the reaction chamber, wherein the third reactant pulse is a strontium compound; and
removing excess third reactant from the reaction chamber with the aid of an inert gas;
feeding an oxygen source material into the reaction chamber; and
removing excess oxygen source material from the reaction chamber with the aid of an inert gas.
20. The method of claim 18, wherein the oxygen source material is ozone.
21. The method of claim 18, wherein the strontium compound is a cyclopentadienyl compound.
US11/317,656 1998-09-11 2005-12-22 Oxide films containing titanium Abandoned US20060219157A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/317,656 US20060219157A1 (en) 2001-06-28 2005-12-22 Oxide films containing titanium
US11/864,677 US8685165B2 (en) 1998-09-11 2007-09-28 Metal oxide films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/787,062 US7108747B1 (en) 1998-09-11 1999-09-13 Method for growing oxide thin films containing barium and strontium
US11/317,656 US20060219157A1 (en) 2001-06-28 2005-12-22 Oxide films containing titanium

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/787,062 Continuation-In-Part US7108747B1 (en) 1998-09-11 1999-09-13 Method for growing oxide thin films containing barium and strontium

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/864,677 Continuation US8685165B2 (en) 1998-09-11 2007-09-28 Metal oxide films

Publications (1)

Publication Number Publication Date
US20060219157A1 true US20060219157A1 (en) 2006-10-05

Family

ID=37068814

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/317,656 Abandoned US20060219157A1 (en) 1998-09-11 2005-12-22 Oxide films containing titanium
US11/864,677 Expired - Lifetime US8685165B2 (en) 1998-09-11 2007-09-28 Metal oxide films

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/864,677 Expired - Lifetime US8685165B2 (en) 1998-09-11 2007-09-28 Metal oxide films

Country Status (1)

Country Link
US (2) US20060219157A1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080054244A1 (en) * 2006-08-08 2008-03-06 Samsung Electronics Co., Ltd. Phase change memory device and method of forming the same
US20080102205A1 (en) * 2006-10-27 2008-05-01 Barry Sean T ALD of metal-containing films using cyclopentadienyl compounds
US20080194088A1 (en) * 2007-02-14 2008-08-14 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
US20090087623A1 (en) * 2007-09-28 2009-04-02 Brazier Mark R Methods for the deposition of ternary oxide gate dielectrics and structures formed thereby
EP2166562A2 (en) 2008-09-22 2010-03-24 Imec Method for forming a capacitor having a strontium/barium titanium oxide dielectric layer by means of ALD and memory device comprising such a capacitor
US20100109130A1 (en) * 2007-02-13 2010-05-06 University Of Aveiro Method of forming an oxide thin film
US20110014797A1 (en) * 2007-09-04 2011-01-20 Tokyo Electron Limited METHOD FOR Sr-Ti-O-BASED FILM FORMATION AND STORAGE MEDIUM
US20110052810A1 (en) * 2008-02-19 2011-03-03 Tokyo Electron Limited Film forming method and storage medium
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20130309417A1 (en) * 2012-05-16 2013-11-21 Asm Ip Holding B.V. Methods for forming multi-component thin films
US8962875B2 (en) 2010-11-30 2015-02-24 Air Products And Chemicals, Inc. Metal-enolate precursors for depositing metal-containing films
US9905414B2 (en) 2000-09-28 2018-02-27 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117979B (en) * 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
KR100687760B1 (en) * 2005-10-19 2007-02-27 한국전자통신연구원 Insulator experiencing abruptly metal-insulator transition and method of manufacturing the same, device using the insulator
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (en) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. Method of forming induced self-assembly layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (en) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 Selective PEALD of oxides on dielectrics
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140832A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on metal surfaces
TW202204658A (en) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Simultaneous selective deposition of two different materials on two different surfaces
TW202140833A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US20220298635A1 (en) * 2021-03-16 2022-09-22 Wayne State University Thermal ald of metal thin films

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4927670A (en) * 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5225561A (en) * 1990-07-06 1993-07-06 Advanced Technology Materials, Inc. Source reagent compounds for MOCVD of refractory films containing group IIA elements
US5496582A (en) * 1993-08-30 1996-03-05 Nippondenso Co., Ltd. Process for producing electroluminescent device
US5617290A (en) * 1994-09-30 1997-04-01 Texas Instruments Incorporated Barium strontium titanate (BST) thin films using boron
US6087257A (en) * 1996-11-12 2000-07-11 Samsung Electronics Co., Ltd. Methods of fabricating a selectively deposited tungsten nitride layer and metal wiring using a tungsten nitride layer
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6583057B1 (en) * 1998-12-14 2003-06-24 Motorola, Inc. Method of forming a semiconductor device having a layer deposited by varying flow of reactants
US6800567B2 (en) * 2001-08-27 2004-10-05 Hynix Semiconductor Inc. Method for forming polyatomic layers

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3851701T2 (en) 1988-06-03 1995-03-30 Ibm Process for the production of artificial high-temperature superconductors with a multilayer structure.
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI108375B (en) 1998-09-11 2002-01-15 Asm Microchemistry Oy Still for producing insulating oxide thin films
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6908639B2 (en) 2001-04-02 2005-06-21 Micron Technology, Inc. Mixed composition interface layer and method of forming
JP2002314072A (en) 2001-04-19 2002-10-25 Nec Corp Semiconductor device with high dielectric thin film and manufacturing method therefor, and film-forming method for dielectric film
US7220312B2 (en) 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
KR100540474B1 (en) 2002-12-30 2006-01-11 주식회사 하이닉스반도체 Capacitor with oxygen barrier and method of fabricating the same
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4927670A (en) * 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5225561A (en) * 1990-07-06 1993-07-06 Advanced Technology Materials, Inc. Source reagent compounds for MOCVD of refractory films containing group IIA elements
US5496582A (en) * 1993-08-30 1996-03-05 Nippondenso Co., Ltd. Process for producing electroluminescent device
US5617290A (en) * 1994-09-30 1997-04-01 Texas Instruments Incorporated Barium strontium titanate (BST) thin films using boron
US6087257A (en) * 1996-11-12 2000-07-11 Samsung Electronics Co., Ltd. Methods of fabricating a selectively deposited tungsten nitride layer and metal wiring using a tungsten nitride layer
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6583057B1 (en) * 1998-12-14 2003-06-24 Motorola, Inc. Method of forming a semiconductor device having a layer deposited by varying flow of reactants
US6800567B2 (en) * 2001-08-27 2004-10-05 Hynix Semiconductor Inc. Method for forming polyatomic layers

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905414B2 (en) 2000-09-28 2018-02-27 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US20080054244A1 (en) * 2006-08-08 2008-03-06 Samsung Electronics Co., Ltd. Phase change memory device and method of forming the same
US20080102205A1 (en) * 2006-10-27 2008-05-01 Barry Sean T ALD of metal-containing films using cyclopentadienyl compounds
US11155919B2 (en) 2006-10-27 2021-10-26 Asm Ip Holding B.V. ALD of metal-containing films using cyclopentadienyl compounds
US10294563B2 (en) 2006-10-27 2019-05-21 Asm Ip Holding B.V. ALD of hafnium-containing films using cyclopentadienyl compounds
US9850575B1 (en) 2006-10-27 2017-12-26 Asm Ip Holding B.V. ALD of metal-containing films using cyclopentadienly compounds
US9677175B2 (en) 2006-10-27 2017-06-13 ASM IP Holding, B.V. ALD of metal-containing films using cyclopentadienyl compounds
US9670582B2 (en) 2006-10-27 2017-06-06 Asm Ip Holding B.V. ALD of metal-containing films using cyclopentadienyl compounds
US9273391B2 (en) 2006-10-27 2016-03-01 Asm Ip Holding B.V. ALD of zirconium-containing films using cyclopentadienyl compounds
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US20100109130A1 (en) * 2007-02-13 2010-05-06 University Of Aveiro Method of forming an oxide thin film
TWI410519B (en) * 2007-02-14 2013-10-01 Micron Technology Inc Vapor deposition methods for forming a metal-containing layer on a substrate
US7892964B2 (en) * 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
US20110021001A1 (en) * 2007-02-14 2011-01-27 Micron Technology, Inc. Vapor Deposition Methods for Forming a Metal-Containing Layer on a Substrate
KR101179774B1 (en) 2007-02-14 2012-09-04 마이크론 테크놀로지, 인크. Vapor deposition methods for forming a metal-containing layer on a substrate
US8557697B2 (en) 2007-02-14 2013-10-15 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
US20080194088A1 (en) * 2007-02-14 2008-08-14 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
US8679913B2 (en) * 2007-09-04 2014-03-25 Tokyo Electron Limited Method for Sr—Ti—O-based film formation
US20110014797A1 (en) * 2007-09-04 2011-01-20 Tokyo Electron Limited METHOD FOR Sr-Ti-O-BASED FILM FORMATION AND STORAGE MEDIUM
US20090087623A1 (en) * 2007-09-28 2009-04-02 Brazier Mark R Methods for the deposition of ternary oxide gate dielectrics and structures formed thereby
US20110052810A1 (en) * 2008-02-19 2011-03-03 Tokyo Electron Limited Film forming method and storage medium
CN102820222A (en) * 2008-02-19 2012-12-12 东京毅力科创株式会社 Film forming method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20100072531A1 (en) * 2008-09-22 2010-03-25 Imec Method for Forming a Memory Cell Comprising a Capacitor Having a Strontium Titaniumoxide Based Dielectric Layer and Devices Obtained Thereof
EP2166562A2 (en) 2008-09-22 2010-03-24 Imec Method for forming a capacitor having a strontium/barium titanium oxide dielectric layer by means of ALD and memory device comprising such a capacitor
US8962875B2 (en) 2010-11-30 2015-02-24 Air Products And Chemicals, Inc. Metal-enolate precursors for depositing metal-containing films
US20130309417A1 (en) * 2012-05-16 2013-11-21 Asm Ip Holding B.V. Methods for forming multi-component thin films
US9023427B2 (en) * 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films

Also Published As

Publication number Publication date
US20080072819A1 (en) 2008-03-27
US8685165B2 (en) 2014-04-01

Similar Documents

Publication Publication Date Title
US20060219157A1 (en) Oxide films containing titanium
US7618681B2 (en) Process for producing bismuth-containing oxide films
JP3687651B2 (en) Thin film formation method
US9169557B2 (en) Process for producing oxide films
US9466574B2 (en) Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
KR101379015B1 (en) METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
US7544389B2 (en) Precursor for film formation and method for forming ruthenium-containing film
US9117773B2 (en) High concentration water pulses for atomic layer deposition
TWI296015B (en) Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
TWI518202B (en) Processes for passivating dielectric films
KR100819318B1 (en) Manufacturing method of semiconductor apparatus
US8592294B2 (en) High temperature atomic layer deposition of dielectric oxides
US20050238808A1 (en) Methods for producing ruthenium film and ruthenium oxide film
EP1840241B1 (en) Atomic deposition layer methods
US9023427B2 (en) Methods for forming multi-component thin films
KR20090092728A (en) Doping with ALD technology
US20060088660A1 (en) Methods of depositing lead containing oxides films
KR20200099994A (en) Atomic layer deposition of oxides and nitrides
KR20120056827A (en) High dielectric constant films deposited at high temperature by atomic layer deposition
JP4718795B2 (en) Processing method in vapor phase growth apparatus
KR100621765B1 (en) Method for forming thin film in semiconductor device and apparatus thereof
WO2006045885A1 (en) Method of depositing lead containing oxides films
US20060024441A1 (en) Method of forming metal oxide and semimetal oxide

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM INTERNATIONAL N.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAHTU, ANTTI;MATERO, RAIJA;LESKELA, MARKKU;AND OTHERS;REEL/FRAME:017743/0985;SIGNING DATES FROM 20060328 TO 20060524

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION