US20060225648A1 - Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas - Google Patents

Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas Download PDF

Info

Publication number
US20060225648A1
US20060225648A1 US11/422,212 US42221206A US2006225648A1 US 20060225648 A1 US20060225648 A1 US 20060225648A1 US 42221206 A US42221206 A US 42221206A US 2006225648 A1 US2006225648 A1 US 2006225648A1
Authority
US
United States
Prior art keywords
substrate processing
gas
chamber
pump
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/422,212
Inventor
Muhammad Rasheed
Steven Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/422,212 priority Critical patent/US20060225648A1/en
Publication of US20060225648A1 publication Critical patent/US20060225648A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Definitions

  • a film such as a silicon oxide film
  • Silicon oxide is widely used as an insulating layer in the manufacture of semiconductor devices.
  • a silicon oxide film can be deposited by a thermal chemical-vapor deposition (“CVD”) process or by a plasma-enhanced chemical-vapor deposition (“PECVD”) process.
  • CVD thermal chemical-vapor deposition
  • PECVD plasma-enhanced chemical-vapor deposition
  • a conventional thermal CVD process reactive gases are supplied to a surface of the substrate, where heat-induced chemical reactions take place to produce a desired film.
  • a controlled plasma is formed to decompose and/or energize reactive species to produce the desired film.
  • Unwanted interactions are typically prevented by providing spaces between adjacent elements that are filled with an electrically insulative material to isolate the elements both physically and electrically. Such spaces are sometimes referred to herein as “gaps” or “trenches,” and the processes for filling such spaces are commonly referred to in the art as “gapfill” processes.
  • the ability of a given process to produce a film that completely fills such gaps is thus often referred to as the “gapfill ability” of the process, with the film described as a “gapfill layer” or “gapfill film.”
  • the widths of these gaps decrease, resulting in an increase in their aspect ratio, which is defined by the ratio of the gap's height to its depth.
  • IMD intermetal dielectric
  • PMD premetal dielectric
  • STI shallow-trench-isolation
  • HDP-CVD high-density plasma CVD
  • Such systems form a plasma that has a density greater than about 10 11 ions/cm 3 , which is about two orders of magnitude greater than the plasma density provided by a standard capacitively coupled plasma CVD system.
  • Examples of HDP-CVD systems include inductively coupled plasma (“ICP”) systems and electron-cyclotron-resonance (“ECR”) systems, among others.
  • ICP inductively coupled plasma
  • ECR electron-cyclotron-resonance
  • One factor that allows films deposited by HDP-CVD techniques to have improved gapfill characteristics is the occurrence of sputtering simultaneous with deposition of material.
  • Sputtering is a mechanical process by which material is ejected by impact, and is promoted by the high ionic density of the plasma in HDP-CVD processes.
  • the sputtering component of HDP deposition thus slows deposition on certain features, such as the corners of raised surfaces, thereby contributing to the increased gapfill ability.
  • a variety of known techniques have often used to promote the sputtering effect, and have proved successful for gaps with relatively modest aspect ratios and widths.
  • one technique to promote sputtering is to introduce argon or a similar heavy inert gas to further promote the sputtering effect.
  • Another technique is to create an electric field that biases the plasma towards the substrate, such as by using an electrode within a substrate support pedestal to generate the electric field. It was thus initially thought that the simultaneous deposition and sputtering characteristics of an HDP-CVD process could be used to fill the gaps that were created in almost any application. Semiconductor manufacturers discovered, however, that there is a practical limit to the aspect ratio of gaps that HDP-CVD films are able to fill.
  • one HDP-CVD process commonly used to deposit a silicon oxide gapfill film forms a plasma from a process gas that includes silane SiH 4 , molecular oxygen O 2 , and argon Ar. It has been reported that when such a process is used to fill certain narrow-width, high-aspect-ratio gaps, the sputtering caused by the argon in the process gas may hamper gapfill efforts. Specifically, it has been reported that material sputtered by argon in the process redeposits on the upper portions of the sidewalls of the gaps being filled at a rate faster than at the lower portions. This, in turn, may result in the formation of a void in the gap if the upper areas of regrowth join before the gap is completely filled.
  • Embodiments of the invention make use high flows of low-mass fluent gases in an HDP-CVD process for gapfill deposition of a silicon oxide film.
  • An enhanced turbomolecular pump that provides a large compression ratio for such low-mass fluent gases permits pressures to be maintained at relatively low levels in a substrate processing chamber, thereby improving the gapfill characteristics.
  • a method for depositing a silicon oxide film over a substrate disposed in a substrate processing chamber.
  • the substrate has a trench formed between adjacent raised surfaces.
  • a process gas that comprises a silicon source, an oxygen source, and a fluent gas is flowed into the substrate processing chamber.
  • the fluent gas has an average molecular mass less than 10 atomic mass units and is flowed into the substrate processing chamber with a flow rate that exceeds 400 sccm.
  • a plasma having an ion density of at least 10 11 ions/cm 3 is formed from the process gas to deposit the silicon oxide film over the substrate and within the trench.
  • the process gas is pumped from the substrate processing chamber with a pump hat provides a compression ratio for the fluent gas that exceeds 10 5 .
  • the fluent gas comprises H 2 , in which case the pump may provide a compression ratio for H 2 between 10 5 and 10 6 in some instances.
  • the fluent gas comprises He, in which case the pump may provide a compression ratio for He between 10 6 and 10 7 in some instances.
  • the fluent gas comprises a plurality of molecules having different chemical structures, at least some of the molecules being selected from the group consisting of H 2 and He.
  • the flow rate for the fluent gas may also exceed 800 sccm or may exceed 1200 sccm.
  • the silicon source may comprise SiH 4 and/or the oxygen source may comprise O 2 .
  • the process gas may further comprise a dopant source to dope the silicon oxide film.
  • a method for depositing a silicon oxide film over a substrate disposed in a substrate processing chamber.
  • the substrate has a trench formed between adjacent raised surfaces.
  • a process gas that comprises a silicon source, an oxygen source, and a fluent gas is flowed into the substrate processing chamber.
  • the fluent gas has an average molecular mass less than 10 atomic mass units and is flowed into the substrate processing chamber with a flow rate that exceeds 400 sccm.
  • a plasma having an ion density of at least 10 11 ions/cm 3 is formed from the process gas to deposit the silicon oxide film over the substrate and within the trench.
  • a chamber pressure is maintained within the substrate processing chamber less than 15 mtorr.
  • different fluent gases may be used with different flow rates, and certain specific silicon and oxygen sources may be used, as described above.
  • the chamber pressure may be maintained less than 10 mtorr or less than 5 mtorr.
  • Methods of the invention may be implemented using a substrate processing system that comprises a housing defining a substrate processing chamber.
  • a substrate holder is configured to hold a substrate within the substrate processing chamber during substrate processing.
  • a gas-delivery system is configured to introduce gases into the substrate processing chamber.
  • a high-density plasma generating system is operatively coupled to the substrate processing chamber to generate a plasma having at least 10 11 ions/cm 3 from gases in the substrate processing chamber.
  • a pressure-control system maintains a selected pressure within the substrate processing chamber.
  • the pressure-control system includes a pump in fluid communication with on outlet of the substrate processing chamber and provides a compression ratio greater than 10 5 for a gas of molecules having an average molecular mass less than 10 atomic mass units.
  • the pump may be a turbomolecular pump.
  • the pump provides a compression ratio for H 2 between 10 5 and 10 6 .
  • the pump provides a compression ratio for He between 10 6 and 10 7 .
  • the pump may also provide certain pumping speeds for the gas of molecules having an average molecular mass less than 10 atomic mass units. For instance, in one embodiment, that pumping speed exceeds 2800 L/s. In another embodiment the pumping speed is between 2800 and 3500 L/s for a gas selected from the group consisting of H 2 and He.
  • Embodiments of the invention also provide a method for upgrading a semiconductor processing facility to accommodate a high-density-plasma deposition process that uses a flow of a gas having an average molecular mass less than 10 amu at a rate that exceeds 400 sccm.
  • the semiconductor processing facility includes a high-density-plasma substrate processing system that has a substrate processing chamber in fluid communication with a turbomolecular pump, a rough pump, and a foreline.
  • the turbomolecular pump controls a pressure inside the substrate processing chamber.
  • the rough pump provides a pressure intermediate between a desired operational pressure and atmospheric pressure.
  • the foreline provides fluid communication between the turbomolecular pump and the rough pump.
  • a pressure inside the substrate processing chamber during the high-density-plasma deposition process is determined.
  • the foreline is reconfigured to reduce a pressure at an outlet of the turbomolecular pump to the foreline.
  • the pressure inside the substrate processing chamber during the high-density-plasma process is consequently reduced.
  • the foreline may be reconfigured by increasing a diameter of at least a portion of the foreline, by reducing a number of bends comprised by the foreline, and the like.
  • the method further comprises upgrading a flow capacity of the rough pump.
  • FIGS. 1A-1C are simplified cross-sectional views of a silicon oxide film at different stages of deposition within a narrow-width, high-aspect-ratio gap according to a prior-art silicon oxide deposition process;
  • FIG. 2 is a flow diagram illustrating an embodiment for depositing a gapfill layer with a high flow of a low-mass fluent gas
  • FIG. 3 is a simplified cross-sectional view of a trench in a shallow-trench isolation structure, which embodiments of the invention may be used to fill;
  • FIG. 4 is a simplified cross-sectional view of a partially completed integrated circuit having trenches to be filled with a dielectric material in both a densely packed area and an open area;
  • FIG. 5 is a graph illustrating the effect of chamber pressure on relative ion density in HDP-CVD processes
  • FIG. 6A is a simplified cross-sectional view of an exemplary substrate processing system according to an embodiment of the invention.
  • FIG. 6B is a simplified cross-sectional view of a gas ring that may be used in conjunction with the exemplary CVD processing chamber of FIG. 7A ;
  • FIG. 6C is a perspective view of the substrate processing system of FIG. 6A , illustrating the integration of a small-molecule-enhanced turbo pump;
  • FIG. 7 is a schematic illustration of a structure that may be used for a small-molecule-enhanced turbo pump in embodiments of the invention.
  • FIG. 8 is a graph illustrating the effect on chamber pressure of flow rates for different fluent gases with a prior-art configuration for a turbo molecular pump
  • FIGS. 9A and 9B are graphs showing a comparison of chamber pressure versus flow rate for small-molecule-enhanced and prior-art turbo pumps
  • FIG. 10 is an illustration of a foreline layout for an exemplary semiconductor-processing facility
  • FIG. 11 is a graph illustrating the effect of the outlet pressure of a foreline in a semiconductor processing facility on the chamber pressure of a substrate processing chamber.
  • FIG. 12 is a graph illustrating the effect of a change in foreline diameter on chamber pressure.
  • Embodiments of the invention are directed to methods and systems that permit improved gapfill characteristics during the deposition of silica glass films.
  • the effect of redeposition on gapfill that is addressed by embodiments of the invention is illustrated with FIGS. 1A-1C , which are simplified cross-sectional views of a silicon oxide film at different stages of deposition.
  • the sequence of these drawings demonstrates how the gapfill limits of conventional HDP-CVD processing may be reached for certain small-width gaps having relatively large aspect ratios. For purposes of illustration, the gapfill problem illustrated in this sequence of drawings has been exaggerated.
  • FIG. 1A shows the initial stages of film deposition over a substrate having a gap 110 defined by two adjacent features 112 and 114 formed over the substrate.
  • the conventional HDP-CVD silicon oxide deposition process results in direct silicon oxide deposition on the horizontal surface 116 at the bottom of the gap 110 , and on horizontal surfaces 118 above the features 112 and 114 .
  • the process also results in indirect deposition, referred to herein as “redeposition,” of silicon oxide material on the sidewalls 120 as a result of recombination of material sputtered from the silicon oxide film as it grows.
  • redeposition indirect deposition
  • the continued growth of the silicon oxide film results in formations 122 on the upper section of the sidewall 120 .
  • FIG. 1C The final result of this process is shown in FIG. 1C , in which a void has been formed within the deposited material because the formations 122 have grown together.
  • gapfill capability for aggressive gaps may be improved through the use of relatively high flows of fluent gases that have a low average molecular mass.
  • Such a technique may be used in the fabrication of a variety of integrated circuits, particularly in the fabrication of integrated circuits having minimum features sizes of 90 nm or less, and may be used with minimum feature sizes as small as 65 nm, 45 nm, or 30 nm.
  • References herein to a “molecular mass” are intended to include the atomic mass of single-atom molecules, such as may exist for inert elements like helium, etc.
  • a “low” average molecular mass corresponds in some embodiments to an average molecular mass less than about 10 atomic mass units (“amu”), and in some instances may be as low as about 4 amu or about 2 amu.
  • a “high” flow rate corresponds to a flow rate that exceeds 400 sccm, and which may in some embodiments be 800 sccm or more, be 1200 sccm or more, or even as high as about 2500 sccm or more in certain specific applications.
  • FIG. 2 illustrates deposition of an undoped silica glass (“USG”)
  • FIG. 3 is a simplified cross-sectional view of a substrate having a trench etched therein as part of an STI structure.
  • the techniques of the invention may be applied to IMD and PMD applications, among others.
  • the technique begins by loading a substrate into a substrate processing chamber.
  • the substrate has one or more gaps formed between adjacent raised features, such as trench 304 shown in FIG. 3 .
  • the raised features may be, for example, dielectric hardmasks, adjacent metal lines, transistor gates, or other features.
  • FIG. 2 illustrates deposition of an undoped silica glass (“USG”)
  • FIG. 3 is a simplified cross-sectional view of a substrate having a trench etched therein as part of an STI structure.
  • the techniques of the invention may be applied to IMD and PMD applications, among others.
  • the technique begins by loading a substrate into a substrate processing chamber.
  • the substrate has one or more gaps formed between adjacent
  • the raised features represent areas of a silicon substrate 320 between trenches etched in the substrate 320 .
  • the STI structure shown in FIG. 3 also includes silicon nitride portions 316 formed above the raised features and a silicon oxide interface or pad oxide 308 formed between the silicon nitride portions 316 and the silicon substrate 320 .
  • an oxide or nitride liner layer 312 such as an in situ steam generation (“ISSG”) oxide or other thermal oxide layer, or an LPCVD or PECVD silicon nitride layer.
  • ISSG in situ steam generation
  • the trench 304 has an aspect ratio of between 4:1 and 6:1, and the formation of a highly conformal film such as oxide or nitride layer 312 may increase the aspect ratio even further.
  • a high-density plasma is formed at block 208 of FIG. 2 from a process gas to provide simultaneous deposition and sputtering components, with which the silicon oxide is deposited as a gapfill layer.
  • the process gas includes a fluent gas having a low average molecular mass provided at a high flow rate.
  • the low average molecular mass may be provided with a flow of a single low-mass molecule, such as with a flow of H 2 or He, or may be provided in some embodiments with a mixture of gases in relative proportions that ensure the average molecular mass of the mixture is low.
  • suitable mixtures include H 2 /He mixtures, as well as H 2 /Ar and He/Ar (or H 2 /Ne and He/Ne) mixtures in cases where the Ar (or Ne) flow is substantially less than the H 2 or He flow, and may include mixtures of more than two gases as in cases where a H 2 /He/Ar or H 2 /He/Ne flow is used.
  • Mixtures may be provided with separate flows of the individual gases or may be provided by premixing the gases before flowing the fluent gas to the process chamber.
  • Inclusion of small amounts of relatively heavy gases in the fluent, even while maintaining the average molecular mass low, may improve deposition uniformity and may permit a significant cost saving because of the relatively high cost of H 2 and He sources compared with sources of other inert gases. These benefits may be realized even when the flow of H 2 or He is significantly greater than the flow of a heavier gas such as Ar.
  • the process gas also includes a silicon source, such as monosilane SiH 4 and an oxygen source such as molecular oxygen O 2 .
  • Dopants may be added to the film by including a precursor gas with the desired dopant, such as by including a flow of SiF 4 to fluorinate the film, including a flow of PH 3 to phosphorate the film, including a flow of B 2 H 6 to boronate the film, including a flow of N 2 to nitrogenate the film, and the like. Even when such dopants are included in the deposited film, it is still considered herein to be a “silicon oxide film.” After deposition of the gapfill layer is complete, the substrate is transferred out of the deposition chamber at block 212 in preparation for subsequent processing.
  • FIG. 4 provides an illustration of an integrated circuit 400 that has areas 404 of densely packed active devices where transistors are formed, and areas 408 where the active devices are relatively isolated. These isolated areas 408 are sometimes referred to in the art as “open areas,” and may have devices that are separated by distances more than an order of magnitude or more than the spacing between devices in the densely packed active are 404 . Sidewall deposition has been found to occur at a significantly higher rate on gaps formed in the densely packed portion of the integrated circuit 400 than on gaps formed in the open areas 408 . The use of a high flow of a low-mass fluent gas is thus especially suitable for deposition in densely packed areas.
  • a relatively high flow rate of a low-mass fluent gas acts to reduce redeposition on the sidewalls, thereby enabling narrow-width, high-aspect-ratio gaps to be filled in more of a bottom-up manner.
  • some HDP gapfill applications operate the chamber with a throttle valve that controls flow to an exhaust foreline in a fully open position. When the system is used with the throttle valve in a fully open position, the pressure within a given chamber is controlled by the pumping capacity of a vacuum pump(s) and the rate at which gases are introduced into the chamber.
  • FIG. 5 shows the results of measurements of relative levels of ionic and neutral species in a plasma as a function of chamber pressure.
  • the ordinate plots the normalized density of ionic species to neutral species in the plasma, with the different symbols corresponding to a different number of process parameters.
  • the legend identifies the flow rates of gases provided to a chamber in sccm, as well as rf power levels applied to the top and sides of the chamber, with an indication of whether measurements were taken near the edge or near the center of a process wafer.
  • the notation “O2 102/He 100, 4.8/3.5 kW (edge”) indicates a process with an O 2 flow of 102 sccm, a He flow of 100 sccm, a top rf power of 4.8 kW, a side rf power of 3.5 kW, for measurements taken near the wafer edge.
  • FIG. 5 show consistently that the ratio of ionic to neutral species in the plasma deceases exponentially with chamber pressure. This ratio is, however, relevant for bottom-up gapfill because it is the ionic species that react more readily in the formation of the silicon oxide film as it is deposited. The ions in the plasma thus contribute, in a relative sense, more to bottom-up deposition within the gap than they do to growth of the film above the raised features adjacent to the gap.
  • the effect of the exponential decrease is very marked in FIG. 5 and demonstrates that a very significant improvement in the density of ionic species to neutral species is achieved when the chamber pressure is less than about 10 mtorr.
  • the inventors were thus prompted to consider how the chamber pressure could be reduced despite the very high flow rates of the low-mass fluent gas. Attempts to vary the configuration of existing HDP deposition systems had proved incapable of reducing the pressure, including attempts to vary the opening level of the throttle valve, to vary the operating power, to vary the flow rates, and the like, consistent with constraints dictated by process characteristics.
  • the inventors eventually hypothesized that perhaps the performance characteristics of the vacuum pump varied depending on the mass of individual molecules or ions, so that less-massive molecules were being pumped out of the processing chamber less efficiently than more-massive molecules. Accordingly, the inventors requested the special manufacture of pumps having specified performance characteristics specifically for low-mass molecules, which they substituted for pumps in existing HDP deposition systems.
  • ULTIMATM system manufactured by APPLIED MATERIALS, INC., of Santa Clara, Calif., and described in commonly assigned U.S. Pat. No. 6,170,428, filed Jul. 15, 1996, entitled “Symmetric Tunable Inductively-Coupled HDP-CVD Reactor,” having Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong and Ashok Sinha listed as co-inventors, the entire disclosure of which is incorporated herein by reference.
  • FIGS. 6A-6C provide a general overview of the system, with certain aspects of the small-molecule-enhanced turbomolecular pump integrated to the system described in connection with FIGS. 7-9 .
  • FIG. 6A schematically illustrates the structure of such an HDP-CVD system 610 , which includes a chamber 613 , a vacuum system 670 , a source plasma system 680 A, a bias plasma system 680 B, a gas delivery system 633 , and a remote plasma cleaning system 650 .
  • the upper portion of chamber 613 includes a dome 614 , which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 614 defines an upper boundary of a plasma processing region 616 . Plasma processing region 616 is bounded on the bottom by the upper surface of a substrate 617 and a substrate support member 618 .
  • a heater plate 623 and a cold plate 624 surmount, and are thermally coupled to, dome 614 .
  • Heater plate 623 and cold plate 624 allow control of the dome temperature to within about ⁇ 10° C. over a range of about 100° C. to 200° C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
  • the lower portion of chamber 613 includes a body member 622 , which joins the chamber to the vacuum system.
  • a base portion 621 of substrate support member 618 is mounted on, and forms a continuous inner surface with, body member 622 .
  • Substrates are transferred into and out of chamber 613 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 613 .
  • Lift pins (not shown) are raised and then lowered under the control of a motor (also not shown) to move the substrate from the robot blade at an upper loading position 657 to a lower processing position 656 in which the substrate is placed on a substrate receiving portion 619 of substrate support member 618 .
  • Substrate receiving portion 619 includes an electrostatic chuck 620 that secures the substrate to substrate support member 618 during substrate processing.
  • substrate support member 618 is made from an aluminum oxide or aluminum ceramic material.
  • Vacuum system 670 includes throttle body 625 , which houses twin-blade throttle valve 626 and is attached to gate valve 627 and small-molecule-enhanced turbomolecular pump 628 .
  • the turbomolecular pump 628 has the modified performance characteristics making it suitable for efficient exhaustion of low-mass molecular species.
  • throttle body 625 offers minimum obstruction to gas flow, and allows symmetric pumping.
  • Gate valve 627 can isolate pump 628 from throttle body 625 , and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 626 is fully open.
  • the arrangement of the throttle valve, gate valve, and small-molecule-enhanced turbomolecular pump allow accurate and stable control of chamber pressures from between about 2 millitorr to about 2 torr.
  • the source plasma system 680 A includes a top coil 629 and side coil 630 , mounted on dome 614 .
  • a symmetrical ground shield (not shown) reduces electrical coupling between the coils.
  • Top coil 629 is powered by top source RF (SRF) generator 631 A
  • side coil 630 is powered by side SRF generator 631 B, allowing independent power levels and frequencies of operation for each coil.
  • SRF source RF
  • This dual coil system allows control of the radial ion density in chamber 613 , thereby improving plasma uniformity.
  • Side coil 630 and top coil 629 are typically inductively driven, which does not require a complimentary electrode.
  • the top source RF generator 631 A provides up to 2,500 watts of RF power at nominally 2 MHz and the side source RF generator 631 B provides up to 5,000 watts of RF power at nominally 2 MHz.
  • the operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
  • a bias plasma system 680 B includes a bias RF (“BRF”) generator 631 C and a bias matching network 632 C.
  • the bias plasma system 680 B capacitively couples substrate portion 617 to body member 622 , which act as complimentary electrodes.
  • the bias plasma system 680 B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 680 A to the surface of the substrate.
  • bias RF generator provides up to 5,000 watts of RF power at 13.56 MHz.
  • RF generators 631 A and 631 B include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art.
  • RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator.
  • the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
  • Matching networks 632 A and 632 B match the output impedance of generators 631 A and 631 B with their respective coils 629 and 630 .
  • the RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes.
  • the RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit.
  • One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition.
  • the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer.
  • a gas delivery system 633 provides gases from several sources, 634 A- 634 E chamber for processing the substrate via gas delivery lines 638 (only some of which are shown).
  • gas delivery lines 638 only some of which are shown.
  • the actual sources used for sources 634 A- 634 E and the actual connection of delivery lines 638 to chamber 613 varies depending on the deposition and cleaning processes executed within chamber 613 .
  • Gases are introduced into chamber 613 through a gas ring 637 and/or a top nozzle 645 .
  • FIG. 6B is a simplified, partial cross-sectional view of chamber 613 showing additional details of gas ring 637 .
  • first and second gas sources, 634 A and 634 B, and first and second gas flow controllers, 635 A′ and 635 B′ provide gas to ring plenum 636 in gas ring 637 via gas delivery lines 638 (only some of which are shown).
  • Gas ring 637 has a plurality of source gas nozzles 639 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber.
  • gas ring 637 has 12 source gas nozzles made from an aluminum oxide ceramic.
  • Gas ring 637 also has a plurality of oxidizer gas nozzles 640 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 639 , and in one embodiment receive gas from body plenum 641 . In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 613 . In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 613 by providing apertures (not shown) between body plenum 641 and gas ring plenum 636 .
  • third, fourth, and fifth gas sources, 634 C, 634 D, and 634 D′, and third and fourth gas flow controllers, 635 C and 635 D′ provide gas to body plenum via gas delivery lines 638 .
  • Additional valves, such as 643 B (other valves not shown) may shut off gas from the flow controllers to the chamber.
  • source 634 A comprises a silane SiH 4 source
  • source 634 B comprises a molecular oxygen O 2 source
  • source 634 C comprises a silane SiH 4 source
  • source 634 D comprises a helium He source
  • source 634 D′ comprises a molecular hydrogen H 2 source.
  • valve 643 B to isolate chamber 613 from delivery line 638 A and to vent delivery line 638 A to vacuum foreline 644 , for example.
  • valve 643 B may be incorporated on other gas delivery lines.
  • Such three-way valves may be placed as close to chamber 613 as practical, to minimize the volume of the unvented gas delivery line (between the three-way valve and the chamber).
  • two-way (on-off) valves may be placed between a mass flow controller (“MFC”) and the chamber or between a gas source and an MFC.
  • MFC mass flow controller
  • chamber 613 also has top nozzle 645 and top vent 646 .
  • Top nozzle 645 and top vent 646 allow independent control of top and side flows of the gases, which improves film uniformity and allows fine adjustment of the film's deposition and doping parameters.
  • Top vent 646 is an annular opening around top nozzle 645 .
  • first gas source 634 A supplies source gas nozzles 639 and top nozzle 645 .
  • Source nozzle MFC 635 A′ controls the amount of gas delivered to source gas nozzles 639 and top nozzle MFC 635 A controls the amount of gas delivered to top gas nozzle 645 .
  • two MFCs 635 B and 635 B′ may be used to control the flow of oxygen to both top vent 646 and oxidizer gas nozzles 640 from a single source of oxygen, such as source 634 B.
  • the gases supplied to top nozzle 645 and top vent 646 may be kept separate prior to flowing the gases into chamber 613 , or the gases may be mixed in top plenum 648 before they flow into chamber 613 .
  • Separate sources of the same gas may be used to supply various portions of the chamber.
  • a remote microwave-generated plasma cleaning system 650 is provided to periodically clean deposition residues from chamber components.
  • the cleaning system includes a remote microwave generator 651 that creates a plasma from a cleaning gas source 634 E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 653 .
  • the reactive species resulting from this plasma are conveyed to chamber 613 through cleaning gas feed port 654 via applicator tube 655 .
  • the materials used to contain the cleaning plasma e.g., cavity 653 and applicator tube 655 ) must be resistant to attack by the plasma.
  • the distance between reactor cavity 653 and feed port 654 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 653 .
  • Generating the cleaning plasma in a remote cavity allows the use of an efficient microwave generator and does not subject chamber components to the temperature, radiation, or bombardment of the glow discharge that may be present in a plasma formed in situ. Consequently, relatively sensitive components, such as electrostatic chuck 620 , do not need to be covered with a dummy wafer or otherwise protected, as may be required with an in situ plasma cleaning process.
  • FIG. 6C A perspective view of the substrate processing chamber 610 with the integrated small-molecule-enhanced turbomolecular pump 628 is shown in FIG. 6C .
  • Input flows to the turbomolecular pump are controlled by gate valve 627 and output flows are provided to exhaust 690 , which may be connected with a foreline system as described further below.
  • FIG. 7 A structure for the small-molecule-enhanced turbomolecular pump 628 is illustrated for an embodiment with FIG. 7 .
  • This drawing provides a schematic view of how gas incoming to the pump along flows 732 is mechanically driven to exhaust 690 , with a corresponding increase in pressure from P in at the inlet to P out at the outlet.
  • a typical inlet pressure P in corresponds to the chamber pressure and may less than about 10 mtorr, although in some embodiments may be as low as 5 mtorr or as low as 2 mtorr.
  • the outlet pressure P out is generally intermediate between the inlet pressure P in and atmospheric pressure P out at and may be about 150 mtorr.
  • the performance of the turbomolecular pump 628 for specific species may be characterized by the relative partial pressures for those species in terms of a “compression ratio,” defined as P out /P in .
  • the turbomolecular pump 628 has a frame 716 that includes a flange 704 for connection with the gate valve 627 .
  • a plurality of stator blades 708 extend radially inwards from the frame and are fixed in position relative to the frame.
  • An interior rotor 720 includes a plurality of rotor blades 712 that extend radially outwards from the rotor such that the rotor blades 712 are interleaved with the fixed stator blades 708 .
  • turbomolecular pump 628 is typically cooled with a water cooling system and includes electrical connections to provide power for rotating the rotor 720 .
  • Gas that is introduced into the turbomolecular pump along flows 732 is forced downwards mechanically as the rotor 720 rotates.
  • the atoms, molecules, and ions that may be comprised by the gas are successively forced into successive stages through the mechanical interaction of the rotating rotor blades 712 and fixed stator blades 708 , thereby also successively increasing the pressure of the gas.
  • One or more drag stages 724 may also be included to further compress the gas mechanically before it is exhausted through exhaust 690 along flow 734 .
  • the rotor and stator blades may approximately be characterized by a width b that accounts for shaping factors; a decrease in the ratio of the distance between blades S to the width b generally results in improved small-molecule compression, with S/b being equal to about 1.05 in one embodiment.
  • the turbomolecular pump 628 has a single drag stage.
  • the compression efficiency for the smallest molecule present in the process gas is not the only factor that dictates the desired pump characteristics. For example, it is still necessary to pump other molecules at reasonable efficiencies consistent with the flow rates of the gases that provide those other molecules. Thus, in some embodiments it may be appropriate to sacrifice hydrogen-pumping efficiency in favor of improved large-molecule pumping efficiency, particularly if dopants may be provided through flows of heavy dopant molecules.
  • the inventors have found the following pump characteristics suitable for processes that deposit gapfill silicon oxide with a silane SiH 4 flow of 15-100 sccm, an oxygen O 2 flow of 25-500 sccm, and an H 2 flow of 500-2500 sccm: TABLE I Turbomolecular Pump Performance Characteristics Range Value Flange Size (inches) 200-mm wafer 8-12 10 300-mm wafer 12-16 14 Pumping Speed (L/s) H 2 2800-3500 3150 He 2800-3500 3150 N 3000-3600 3200 Compression Ratio H 2 (1-10) ⁇ 10 5 2 ⁇ 10 5 He (1-10) ⁇ 10 6 2 ⁇ 10 6 N (5-20) ⁇ 10 8 1 ⁇ 10 9 Rated Speed (rpm) 200-mm wafer 2400-3000 2700 300-mm wafer 2000-2600 2400 In this table, the values set forth for N are used for convenience to illustrate pumping rates and compression ratios suitable for heavier species in the plasma.
  • the chamber pressure may be maintained at less than 10 mtorr for both 200-mm-wafer and 300-mm-wafer processes. This may be contrasted with pressures that exceed 25 mtorr using the same process in a system that uses a conventional turbomolecular pump.
  • FIG. 8 provides a plot of chamber pressure as a function of fluent flow for H 2 , He, and Ar using a prior-art turbomolecular pump. It is evident that the effect of flow rate on pressure is greater for smaller molecules, and especially for H 2 since there is even a dramatic increase in pressure when H 2 is used even in regions up to about 500 sccm where the difference in pressures when using He versus Ar is still modest. Thus, while a reduction in pressure is desirable for processes that use high flow rates of He, the benefit of being able to reduce the pressure with the enhanced turbomolecular pump is especially acute for processes that use high flow rates of H 2 .
  • FIGS. 9A and 9B compare the pressures provided as a function of flow rate between systems that use the prior-art and enhanced turbomolecular pumps for H 2 and He respectively.
  • the effect of using the enhanced turbomolecular pump is seen particularly in the results for H 2 , in which the pressure may be reduced by more than a factor of four at flow rates above 500 sccm.
  • the effect is also significant for He flows when the flow rates are large, producing approximately a factor-of-two reduction in chamber pressure when the flow rate is about 1200 sccm.
  • a small-molecule-enhanced turbomolecular pump as described herein enables gapfill deposition using high flows of fluent gases at chamber pressures that are below 15 mtorr, below 10 mtorr, or below 5 mtorr in different embodiments.
  • the layout of the foreline is another factor that the inventors have identified as enabling a reduction in chamber pressure for such high-flow processes.
  • the exhaust 690 of the turbomolecular pump 628 is connected with a rough pump that further compresses the exhausted gas to atmospheric pressure so that scrubbing processes may be used on any output toxic gases.
  • a “rough pump” is a pump used to bring pressure in a system to a pressure intermediate between a desired operational pressure and atmospheric pressure.
  • the connection between the turbomolecular pump 628 and the rough pump is made by the foreline, which may be routed according to physical layouts of a facility that holds the substrate processing chamber.
  • One such facility may, in some instances, have multiple forelines connecting different substrate processing systems with a single rough pump.
  • the inventors have recognized that because the foreline provides part of a fluid communication between the rough pump and the substrate processing chamber, certain specific characteristics of the foreline may affect the pressure. Accordingly, modifications in the foreline may be used in embodiments of the invention to achieve even further reductions in chamber pressure.
  • FIG. 10 shows an example of a foreline 1004 that connects the exhaust 690 of a turbomolecular pump 628 to an inlet 1024 of a rough pump 1016 .
  • the foreline 1004 may comprise a plurality of sections, such as sections 1008 , 1012 , and 1020 that have different tubing sizes.
  • the initial and final sections 1008 and 1020 in the example may have tubing sizes of about 2 inches, while the intermediate section has a tubing size of about 4 inches.
  • An increase in the tubing size of all or a portion of the foreline 1004 may thus result in a decrease in chamber pressure.
  • a decrease in chamber pressure may be achieved by replacing the 2-inch section 1020 of tubing with 4-inch tubing.
  • both the 2-inch sections 1008 and 1020 of tubing may be replaced with 4-inch tubing, and the intermediate 4-inch tubing section 1012 replaced with 6-inch tubing.
  • FIG. 11 provides a set of curves relating the outlet pressure P out of the small-molecule-enhanced turbomolecular pump to the inlet pressure P in for a number of different fluent flows, namely 1000 sccm H 2 (top curve), 500 sccm H 2 (second curve), 900 sccm H 2 +125 sccm Ar (third curve), and 750 sccm H 2 +125 sccm Ar (bottom curve).
  • achieving a reduction in outlet pressure by reconfiguring the foreline 1004 may provide a further reduction in chamber pressure. While dependent on which curve is relevant and on what the flow rate is, the inventors have found that a reduction in outlet pressure of about 50 mtorr achieved by reconfiguring the foreline may generally translate into about a 2-mtorr reduction in chamber pressure.
  • FIG. 12 provides results of experiments in which the two-inch foreline connected with a substrate processing system was substituted with a four-inch foreline.
  • the resulting chamber pressure is plotted for different flow rates of H 2 for both the two-inch and four-inch configurations, with the top curve corresponding to the two-inch configuration and the bottom curve corresponding to the four-inch configuration. It is apparent from these results that the increase in tubing size provides a further reduction in chamber pressure. This reduction may be provided with both a prior-art turbomolecular pump or with the small-molecule enhanced turbomolecular pump.
  • FIG. 10 shows a foreline layout 1004 in which the first section 1008 has three 900 bends, the second section 1012 has two 90° bends, and the third section has two 90° bends. If the facility that houses the substrate processing system may accommodate a foreline layout that reduces the number of bends, the chamber pressure may be reduced further.
  • the following table sets forth an example of a foreline specification that may be provided to customers to ensure that the exhaust pressure of the turbomolecular pump is maintained within a range consistent with the desired chamber pressure.
  • the specification is provided for stainless steel tubing with a rough pump that has a pumping speed of about 500 m 3 /h at 50 Hz, and is suitable for an H 2 fluent gas used in gapfill deposition on a 200-mm wafer.
  • a maximum allowable tubing length is set forth in Column C. For each 90° bend in the tubing layout, the number in Column B should be subtracted from the standard allowable length set forth in Column C.
  • Still a further way in which the foreline arrangement may be modified to reduce the outlet pressure of the turbomolecular pump is to increase the pumping speed of the rough pump, such as by replacing the rough pump with another pump having a higher rated speed.
  • a typical pump used in HDP-processing systems has a pumping speed of about 500 m 3 /h, but in certain embodiments that use high flows of small molecules, a rough having a pumping speed of at least 1000 m 3 /h or of at least 1500 m 3 /h may be used to achieve reduced chamber pressure.

Abstract

High flows of low-mass fluent gases are used in an HDP-CVD process for gapfill deposition of a silicon oxide film. An enhanced turbomolecular pump that provides a large compression ratio for such low-mass fluent gases permits pressures to be maintained at relatively low levels in a substrate processing chamber, thereby improving the gapfill characteristics.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 10/884,628, entitled “USE OF ENHANCED TURBOMOLECULAR PUMP FOR GAPFILL DEPOSITION USING HIGH FLOWS OF LOW-MASS FLUENT GAS,” filed Jul. 1, 2004 by Muhammad M. Rasheed, the entire disclosure of which is incorporated herein by reference for all purposes.
  • BACKGROUND OF THE INVENTION
  • One of the primary steps in the fabrication of modern semiconductor devices is the formation of a film, such as a silicon oxide film, on a semiconductor substrate. Silicon oxide is widely used as an insulating layer in the manufacture of semiconductor devices. As is well known, a silicon oxide film can be deposited by a thermal chemical-vapor deposition (“CVD”) process or by a plasma-enhanced chemical-vapor deposition (“PECVD”) process. In a conventional thermal CVD process, reactive gases are supplied to a surface of the substrate, where heat-induced chemical reactions take place to produce a desired film. In a conventional plasma-deposition process, a controlled plasma is formed to decompose and/or energize reactive species to produce the desired film.
  • Semiconductor device geometries have decreased significantly in size since such devices were first introduced several decades ago, and continue to be reduced in size. This continuing reduction in the scale of device geometry has resulted in a dramatic increase in the density of circuit elements and interconnections formed in integrated circuits fabricated on a semiconductor substrate. One persistent challenge faced by semiconductor manufacturers in the design and fabrication of such densely packed integrated circuits is the desire to prevent spurious interactions between circuit elements, a goal that has required ongoing innovation as geometry scales continue to decrease.
  • Unwanted interactions are typically prevented by providing spaces between adjacent elements that are filled with an electrically insulative material to isolate the elements both physically and electrically. Such spaces are sometimes referred to herein as “gaps” or “trenches,” and the processes for filling such spaces are commonly referred to in the art as “gapfill” processes. The ability of a given process to produce a film that completely fills such gaps is thus often referred to as the “gapfill ability” of the process, with the film described as a “gapfill layer” or “gapfill film.” As circuit densities increase with smaller feature sizes, the widths of these gaps decrease, resulting in an increase in their aspect ratio, which is defined by the ratio of the gap's height to its depth. High-aspect-ratio gaps are difficult to fill completely using conventional CVD techniques, which tend to have relatively poor gapfill abilities. One family of electrically insulating films that is commonly used to fill gaps in intermetal dielectric (“IMD”) applications, premetal dielectric (“PMD”) applications, and shallow-trench-isolation (“STI”) applications, among others, is silicon oxide (sometimes also referred to as “silica glass” or “silicate glass”).
  • Some integrated circuit manufacturers have turned to the use of high-density plasma CVD (“HDP-CVD”) systems in depositing silicon oxide gapfill layers. Such systems form a plasma that has a density greater than about 1011 ions/cm3, which is about two orders of magnitude greater than the plasma density provided by a standard capacitively coupled plasma CVD system. Examples of HDP-CVD systems include inductively coupled plasma (“ICP”) systems and electron-cyclotron-resonance (“ECR”) systems, among others. One factor that allows films deposited by HDP-CVD techniques to have improved gapfill characteristics is the occurrence of sputtering simultaneous with deposition of material. Sputtering is a mechanical process by which material is ejected by impact, and is promoted by the high ionic density of the plasma in HDP-CVD processes. The sputtering component of HDP deposition thus slows deposition on certain features, such as the corners of raised surfaces, thereby contributing to the increased gapfill ability.
  • A variety of known techniques have often used to promote the sputtering effect, and have proved successful for gaps with relatively modest aspect ratios and widths. For example, one technique to promote sputtering is to introduce argon or a similar heavy inert gas to further promote the sputtering effect. Another technique is to create an electric field that biases the plasma towards the substrate, such as by using an electrode within a substrate support pedestal to generate the electric field. It was thus initially thought that the simultaneous deposition and sputtering characteristics of an HDP-CVD process could be used to fill the gaps that were created in almost any application. Semiconductor manufacturers discovered, however, that there is a practical limit to the aspect ratio of gaps that HDP-CVD films are able to fill. For example, one HDP-CVD process commonly used to deposit a silicon oxide gapfill film forms a plasma from a process gas that includes silane SiH4, molecular oxygen O2, and argon Ar. It has been reported that when such a process is used to fill certain narrow-width, high-aspect-ratio gaps, the sputtering caused by the argon in the process gas may hamper gapfill efforts. Specifically, it has been reported that material sputtered by argon in the process redeposits on the upper portions of the sidewalls of the gaps being filled at a rate faster than at the lower portions. This, in turn, may result in the formation of a void in the gap if the upper areas of regrowth join before the gap is completely filled.
  • BRIEF SUMMARY OF THE INVENTION
  • Embodiments of the invention make use high flows of low-mass fluent gases in an HDP-CVD process for gapfill deposition of a silicon oxide film. An enhanced turbomolecular pump that provides a large compression ratio for such low-mass fluent gases permits pressures to be maintained at relatively low levels in a substrate processing chamber, thereby improving the gapfill characteristics.
  • Thus, in one set of embodiments, a method is provided for depositing a silicon oxide film over a substrate disposed in a substrate processing chamber. The substrate has a trench formed between adjacent raised surfaces. A process gas that comprises a silicon source, an oxygen source, and a fluent gas is flowed into the substrate processing chamber. The fluent gas has an average molecular mass less than 10 atomic mass units and is flowed into the substrate processing chamber with a flow rate that exceeds 400 sccm. A plasma having an ion density of at least 1011 ions/cm3 is formed from the process gas to deposit the silicon oxide film over the substrate and within the trench. The process gas is pumped from the substrate processing chamber with a pump hat provides a compression ratio for the fluent gas that exceeds 105.
  • In some such embodiments, the fluent gas comprises H2, in which case the pump may provide a compression ratio for H2 between 105 and 106 in some instances. In other embodiments, the fluent gas comprises He, in which case the pump may provide a compression ratio for He between 106 and 107 in some instances. In still other embodiments, the fluent gas comprises a plurality of molecules having different chemical structures, at least some of the molecules being selected from the group consisting of H2 and He. In different embodiments, the flow rate for the fluent gas may also exceed 800 sccm or may exceed 1200 sccm. The silicon source may comprise SiH4 and/or the oxygen source may comprise O2. In addition, in some instances, the process gas may further comprise a dopant source to dope the silicon oxide film.
  • In another set of embodiments, a method is also provided for depositing a silicon oxide film over a substrate disposed in a substrate processing chamber. The substrate has a trench formed between adjacent raised surfaces. A process gas that comprises a silicon source, an oxygen source, and a fluent gas is flowed into the substrate processing chamber. The fluent gas has an average molecular mass less than 10 atomic mass units and is flowed into the substrate processing chamber with a flow rate that exceeds 400 sccm. A plasma having an ion density of at least 1011 ions/cm3 is formed from the process gas to deposit the silicon oxide film over the substrate and within the trench. A chamber pressure is maintained within the substrate processing chamber less than 15 mtorr. In certain specific embodiments, different fluent gases may be used with different flow rates, and certain specific silicon and oxygen sources may be used, as described above. In some embodiments, the chamber pressure may be maintained less than 10 mtorr or less than 5 mtorr.
  • Methods of the invention may be implemented using a substrate processing system that comprises a housing defining a substrate processing chamber. A substrate holder is configured to hold a substrate within the substrate processing chamber during substrate processing. A gas-delivery system is configured to introduce gases into the substrate processing chamber. A high-density plasma generating system is operatively coupled to the substrate processing chamber to generate a plasma having at least 1011 ions/cm3 from gases in the substrate processing chamber. A pressure-control system maintains a selected pressure within the substrate processing chamber. The pressure-control system includes a pump in fluid communication with on outlet of the substrate processing chamber and provides a compression ratio greater than 105 for a gas of molecules having an average molecular mass less than 10 atomic mass units.
  • The pump may be a turbomolecular pump. In a specific embodiment, the pump provides a compression ratio for H2 between 105 and 106. In another specific embodiment, the pump provides a compression ratio for He between 106 and 107. The pump may also provide certain pumping speeds for the gas of molecules having an average molecular mass less than 10 atomic mass units. For instance, in one embodiment, that pumping speed exceeds 2800 L/s. In another embodiment the pumping speed is between 2800 and 3500 L/s for a gas selected from the group consisting of H2 and He.
  • Embodiments of the invention also provide a method for upgrading a semiconductor processing facility to accommodate a high-density-plasma deposition process that uses a flow of a gas having an average molecular mass less than 10 amu at a rate that exceeds 400 sccm. The semiconductor processing facility includes a high-density-plasma substrate processing system that has a substrate processing chamber in fluid communication with a turbomolecular pump, a rough pump, and a foreline. The turbomolecular pump controls a pressure inside the substrate processing chamber. The rough pump provides a pressure intermediate between a desired operational pressure and atmospheric pressure. The foreline provides fluid communication between the turbomolecular pump and the rough pump. A pressure inside the substrate processing chamber during the high-density-plasma deposition process is determined. The foreline is reconfigured to reduce a pressure at an outlet of the turbomolecular pump to the foreline. The pressure inside the substrate processing chamber during the high-density-plasma process is consequently reduced.
  • The foreline may be reconfigured by increasing a diameter of at least a portion of the foreline, by reducing a number of bends comprised by the foreline, and the like. In one embodiment, the method further comprises upgrading a flow capacity of the rough pump.
  • A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1C are simplified cross-sectional views of a silicon oxide film at different stages of deposition within a narrow-width, high-aspect-ratio gap according to a prior-art silicon oxide deposition process;
  • FIG. 2 is a flow diagram illustrating an embodiment for depositing a gapfill layer with a high flow of a low-mass fluent gas;
  • FIG. 3 is a simplified cross-sectional view of a trench in a shallow-trench isolation structure, which embodiments of the invention may be used to fill;
  • FIG. 4 is a simplified cross-sectional view of a partially completed integrated circuit having trenches to be filled with a dielectric material in both a densely packed area and an open area;
  • FIG. 5 is a graph illustrating the effect of chamber pressure on relative ion density in HDP-CVD processes;
  • FIG. 6A is a simplified cross-sectional view of an exemplary substrate processing system according to an embodiment of the invention;
  • FIG. 6B is a simplified cross-sectional view of a gas ring that may be used in conjunction with the exemplary CVD processing chamber of FIG. 7A;
  • FIG. 6C is a perspective view of the substrate processing system of FIG. 6A, illustrating the integration of a small-molecule-enhanced turbo pump;
  • FIG. 7 is a schematic illustration of a structure that may be used for a small-molecule-enhanced turbo pump in embodiments of the invention;
  • FIG. 8 is a graph illustrating the effect on chamber pressure of flow rates for different fluent gases with a prior-art configuration for a turbo molecular pump;
  • FIGS. 9A and 9B are graphs showing a comparison of chamber pressure versus flow rate for small-molecule-enhanced and prior-art turbo pumps;
  • FIG. 10 is an illustration of a foreline layout for an exemplary semiconductor-processing facility;
  • FIG. 11 is a graph illustrating the effect of the outlet pressure of a foreline in a semiconductor processing facility on the chamber pressure of a substrate processing chamber; and
  • FIG. 12 is a graph illustrating the effect of a change in foreline diameter on chamber pressure.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments of the invention are directed to methods and systems that permit improved gapfill characteristics during the deposition of silica glass films. The effect of redeposition on gapfill that is addressed by embodiments of the invention is illustrated with FIGS. 1A-1C, which are simplified cross-sectional views of a silicon oxide film at different stages of deposition. The sequence of these drawings demonstrates how the gapfill limits of conventional HDP-CVD processing may be reached for certain small-width gaps having relatively large aspect ratios. For purposes of illustration, the gapfill problem illustrated in this sequence of drawings has been exaggerated.
  • FIG. 1A shows the initial stages of film deposition over a substrate having a gap 110 defined by two adjacent features 112 and 114 formed over the substrate. The conventional HDP-CVD silicon oxide deposition process results in direct silicon oxide deposition on the horizontal surface 116 at the bottom of the gap 110, and on horizontal surfaces 118 above the features 112 and 114. The process also results in indirect deposition, referred to herein as “redeposition,” of silicon oxide material on the sidewalls 120 as a result of recombination of material sputtered from the silicon oxide film as it grows. In certain small-width high-aspect-ratio applications, the continued growth of the silicon oxide film results in formations 122 on the upper section of the sidewall 120. These formations grow towards each other at a rate of growth exceeding the rate at which the film grows laterally on lower portions 124 of the sidewalls, as illustrating for an intermediate time in FIG. 1B. The final result of this process is shown in FIG. 1C, in which a void has been formed within the deposited material because the formations 122 have grown together.
  • It has recently been discovered that the gapfill capability for aggressive gaps may be improved through the use of relatively high flows of fluent gases that have a low average molecular mass. Such a technique may be used in the fabrication of a variety of integrated circuits, particularly in the fabrication of integrated circuits having minimum features sizes of 90 nm or less, and may be used with minimum feature sizes as small as 65 nm, 45 nm, or 30 nm. References herein to a “molecular mass” are intended to include the atomic mass of single-atom molecules, such as may exist for inert elements like helium, etc. A “low” average molecular mass corresponds in some embodiments to an average molecular mass less than about 10 atomic mass units (“amu”), and in some instances may be as low as about 4 amu or about 2 amu. A “high” flow rate corresponds to a flow rate that exceeds 400 sccm, and which may in some embodiments be 800 sccm or more, be 1200 sccm or more, or even as high as about 2500 sccm or more in certain specific applications.
  • The technique is outlined generally with the flow diagram of FIG. 2, which illustrates deposition of an undoped silica glass (“USG”), and FIG. 3, which is a simplified cross-sectional view of a substrate having a trench etched therein as part of an STI structure. It should be understood that in other embodiments, the techniques of the invention may be applied to IMD and PMD applications, among others. As indicated at block 204, the technique begins by loading a substrate into a substrate processing chamber. The substrate has one or more gaps formed between adjacent raised features, such as trench 304 shown in FIG. 3. The raised features may be, for example, dielectric hardmasks, adjacent metal lines, transistor gates, or other features. In the specific example of FIG. 3, the raised features represent areas of a silicon substrate 320 between trenches etched in the substrate 320. The STI structure shown in FIG. 3 also includes silicon nitride portions 316 formed above the raised features and a silicon oxide interface or pad oxide 308 formed between the silicon nitride portions 316 and the silicon substrate 320. Also shown in FIG. 3 is an oxide or nitride liner layer 312, such as an in situ steam generation (“ISSG”) oxide or other thermal oxide layer, or an LPCVD or PECVD silicon nitride layer. In some applications, the trench 304 has an aspect ratio of between 4:1 and 6:1, and the formation of a highly conformal film such as oxide or nitride layer 312 may increase the aspect ratio even further.
  • Once the substrate is properly positioned, a high-density plasma is formed at block 208 of FIG. 2 from a process gas to provide simultaneous deposition and sputtering components, with which the silicon oxide is deposited as a gapfill layer. The process gas includes a fluent gas having a low average molecular mass provided at a high flow rate. The low average molecular mass may be provided with a flow of a single low-mass molecule, such as with a flow of H2 or He, or may be provided in some embodiments with a mixture of gases in relative proportions that ensure the average molecular mass of the mixture is low. Examples of suitable mixtures include H2/He mixtures, as well as H2/Ar and He/Ar (or H2/Ne and He/Ne) mixtures in cases where the Ar (or Ne) flow is substantially less than the H2 or He flow, and may include mixtures of more than two gases as in cases where a H2/He/Ar or H2/He/Ne flow is used. Mixtures may be provided with separate flows of the individual gases or may be provided by premixing the gases before flowing the fluent gas to the process chamber. Inclusion of small amounts of relatively heavy gases in the fluent, even while maintaining the average molecular mass low, may improve deposition uniformity and may permit a significant cost saving because of the relatively high cost of H2 and He sources compared with sources of other inert gases. These benefits may be realized even when the flow of H2 or He is significantly greater than the flow of a heavier gas such as Ar.
  • The process gas also includes a silicon source, such as monosilane SiH4 and an oxygen source such as molecular oxygen O2. Dopants may be added to the film by including a precursor gas with the desired dopant, such as by including a flow of SiF4 to fluorinate the film, including a flow of PH3 to phosphorate the film, including a flow of B2H6 to boronate the film, including a flow of N2 to nitrogenate the film, and the like. Even when such dopants are included in the deposited film, it is still considered herein to be a “silicon oxide film.” After deposition of the gapfill layer is complete, the substrate is transferred out of the deposition chamber at block 212 in preparation for subsequent processing.
  • The specific gapfill characteristics for production of a given integrated circuit may differ. For example, FIG. 4 provides an illustration of an integrated circuit 400 that has areas 404 of densely packed active devices where transistors are formed, and areas 408 where the active devices are relatively isolated. These isolated areas 408 are sometimes referred to in the art as “open areas,” and may have devices that are separated by distances more than an order of magnitude or more than the spacing between devices in the densely packed active are 404. Sidewall deposition has been found to occur at a significantly higher rate on gaps formed in the densely packed portion of the integrated circuit 400 than on gaps formed in the open areas 408. The use of a high flow of a low-mass fluent gas is thus especially suitable for deposition in densely packed areas.
  • A number of specific parameters using such techniques have been described in copending, commonly assigned U.S. patent application Ser. No. 09/854,406, entitled “HYDROGEN ASSISTED UNDOPED SILICON OXIDE DEPOSITION PROCESS FOR HDP-CVD,” filed May 11, 2001 and U.S. patent application Ser. No. 10/350,445 entitled “HYDROGEN ASSISTED HDP-CVD DEPOSITION PROCESS FOR AGGRESSIVE GAP-FILL TECHNOLOGY,” filed Jan. 23, 2003 by Bikram Kapoor et al., the entire disclosures of both of which are incorporated herein by reference for all purposes. A relatively high flow rate of a low-mass fluent gas acts to reduce redeposition on the sidewalls, thereby enabling narrow-width, high-aspect-ratio gaps to be filled in more of a bottom-up manner. As is known to those of skill in the art, some HDP gapfill applications operate the chamber with a throttle valve that controls flow to an exhaust foreline in a fully open position. When the system is used with the throttle valve in a fully open position, the pressure within a given chamber is controlled by the pumping capacity of a vacuum pump(s) and the rate at which gases are introduced into the chamber. It has generally been desirable during HDP-CVD processes for chamber pressure levels to be maintained at a low level because dissociated species of the process gas then have a longer mean free path and reduced angular distribution, thus enabling them to reach and take place in chemical reactions at the bottom of trenches. This has thus tended to improve the bottom-up gapfill characteristics of gapfill processes.
  • In experiments using a high flow of low-mass fluent gases, it was found that deposition was improved despite an increase in the chamber pressure above what is normally considered desirable. For example, in some experiments using high flows of H2, the chamber pressure would approach 50 mtorr even with the throttle valve fully open. The inventors hypothesized that still better deposition might be achieved if the pressure within the process chamber could be reduced while still providing a high flow rate of a low-mass gas. When the pressure of the chamber is relatively high, the flow of gases within the chamber is dominated by the flow profile generated by the gas input sources; conversely, when the pressure is lower, the flow profile is more diffusive, allowing application of bias and such factors to improve the control over where the ions react to form the silicon oxide layer. Another reason contributing to this expectation is illustrated with the graph in FIG. 5, which shows the results of measurements of relative levels of ionic and neutral species in a plasma as a function of chamber pressure. In particular, the ordinate plots the normalized density of ionic species to neutral species in the plasma, with the different symbols corresponding to a different number of process parameters. The legend identifies the flow rates of gases provided to a chamber in sccm, as well as rf power levels applied to the top and sides of the chamber, with an indication of whether measurements were taken near the edge or near the center of a process wafer. For example, the notation “O2 102/He 100, 4.8/3.5 kW (edge”) indicates a process with an O2 flow of 102 sccm, a He flow of 100 sccm, a top rf power of 4.8 kW, a side rf power of 3.5 kW, for measurements taken near the wafer edge.
  • The results of FIG. 5 show consistently that the ratio of ionic to neutral species in the plasma deceases exponentially with chamber pressure. This ratio is, however, relevant for bottom-up gapfill because it is the ionic species that react more readily in the formation of the silicon oxide film as it is deposited. The ions in the plasma thus contribute, in a relative sense, more to bottom-up deposition within the gap than they do to growth of the film above the raised features adjacent to the gap. The effect of the exponential decrease is very marked in FIG. 5 and demonstrates that a very significant improvement in the density of ionic species to neutral species is achieved when the chamber pressure is less than about 10 mtorr.
  • The inventors were thus prompted to consider how the chamber pressure could be reduced despite the very high flow rates of the low-mass fluent gas. Attempts to vary the configuration of existing HDP deposition systems had proved incapable of reducing the pressure, including attempts to vary the opening level of the throttle valve, to vary the operating power, to vary the flow rates, and the like, consistent with constraints dictated by process characteristics. The inventors eventually hypothesized that perhaps the performance characteristics of the vacuum pump varied depending on the mass of individual molecules or ions, so that less-massive molecules were being pumped out of the processing chamber less efficiently than more-massive molecules. Accordingly, the inventors requested the special manufacture of pumps having specified performance characteristics specifically for low-mass molecules, which they substituted for pumps in existing HDP deposition systems. It was not immediately apparent when requesting the modified performance characteristics what effect they would have on the overall deposition because the efficiency of exhausting the higher-mass silane and oxygen species from the chamber could also be affected. The effect of including pumps having the specified performance characteristics was, however, discovered to successfully lower the chamber pressure and to further enhance the gapfill characteristics of the process.
  • One example of an HDP substrate processing system with which such a modified pump may be used is the ULTIMA™ system, manufactured by APPLIED MATERIALS, INC., of Santa Clara, Calif., and described in commonly assigned U.S. Pat. No. 6,170,428, filed Jul. 15, 1996, entitled “Symmetric Tunable Inductively-Coupled HDP-CVD Reactor,” having Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong and Ashok Sinha listed as co-inventors, the entire disclosure of which is incorporated herein by reference. A specific description of a modified HDP substrate processing system provided in accordance with an embodiment of the invention is described below. FIGS. 6A-6C provide a general overview of the system, with certain aspects of the small-molecule-enhanced turbomolecular pump integrated to the system described in connection with FIGS. 7-9. FIG. 6A schematically illustrates the structure of such an HDP-CVD system 610, which includes a chamber 613, a vacuum system 670, a source plasma system 680A, a bias plasma system 680B, a gas delivery system 633, and a remote plasma cleaning system 650.
  • The upper portion of chamber 613 includes a dome 614, which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 614 defines an upper boundary of a plasma processing region 616. Plasma processing region 616 is bounded on the bottom by the upper surface of a substrate 617 and a substrate support member 618.
  • A heater plate 623 and a cold plate 624 surmount, and are thermally coupled to, dome 614. Heater plate 623 and cold plate 624 allow control of the dome temperature to within about ±10° C. over a range of about 100° C. to 200° C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
  • The lower portion of chamber 613 includes a body member 622, which joins the chamber to the vacuum system. A base portion 621 of substrate support member 618 is mounted on, and forms a continuous inner surface with, body member 622. Substrates are transferred into and out of chamber 613 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 613. Lift pins (not shown) are raised and then lowered under the control of a motor (also not shown) to move the substrate from the robot blade at an upper loading position 657 to a lower processing position 656 in which the substrate is placed on a substrate receiving portion 619 of substrate support member 618. Substrate receiving portion 619 includes an electrostatic chuck 620 that secures the substrate to substrate support member 618 during substrate processing. In a preferred embodiment, substrate support member 618 is made from an aluminum oxide or aluminum ceramic material.
  • Vacuum system 670 includes throttle body 625, which houses twin-blade throttle valve 626 and is attached to gate valve 627 and small-molecule-enhanced turbomolecular pump 628. As described in detail below, the turbomolecular pump 628 has the modified performance characteristics making it suitable for efficient exhaustion of low-mass molecular species. It should be noted that throttle body 625 offers minimum obstruction to gas flow, and allows symmetric pumping. Gate valve 627 can isolate pump 628 from throttle body 625, and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 626 is fully open. The arrangement of the throttle valve, gate valve, and small-molecule-enhanced turbomolecular pump allow accurate and stable control of chamber pressures from between about 2 millitorr to about 2 torr.
  • The source plasma system 680A includes a top coil 629 and side coil 630, mounted on dome 614. A symmetrical ground shield (not shown) reduces electrical coupling between the coils. Top coil 629 is powered by top source RF (SRF) generator 631A, whereas side coil 630 is powered by side SRF generator 631B, allowing independent power levels and frequencies of operation for each coil. This dual coil system allows control of the radial ion density in chamber 613, thereby improving plasma uniformity. Side coil 630 and top coil 629 are typically inductively driven, which does not require a complimentary electrode. In a specific embodiment, the top source RF generator 631A provides up to 2,500 watts of RF power at nominally 2 MHz and the side source RF generator 631B provides up to 5,000 watts of RF power at nominally 2 MHz. The operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
  • A bias plasma system 680B includes a bias RF (“BRF”) generator 631C and a bias matching network 632C. The bias plasma system 680B capacitively couples substrate portion 617 to body member 622, which act as complimentary electrodes. The bias plasma system 680B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 680A to the surface of the substrate. In a specific embodiment, bias RF generator provides up to 5,000 watts of RF power at 13.56 MHz.
  • RF generators 631A and 631B include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art. RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator. Because the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
  • Matching networks 632A and 632B match the output impedance of generators 631A and 631B with their respective coils 629 and 630. The RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes. The RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit. One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network, is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition.
  • Other measures may also help stabilize a plasma. For example, the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer.
  • A gas delivery system 633 provides gases from several sources, 634A-634E chamber for processing the substrate via gas delivery lines 638 (only some of which are shown). As would be understood by a person of skill in the art, the actual sources used for sources 634A-634E and the actual connection of delivery lines 638 to chamber 613 varies depending on the deposition and cleaning processes executed within chamber 613. Gases are introduced into chamber 613 through a gas ring 637 and/or a top nozzle 645. FIG. 6B is a simplified, partial cross-sectional view of chamber 613 showing additional details of gas ring 637.
  • In one embodiment, first and second gas sources, 634A and 634B, and first and second gas flow controllers, 635A′ and 635B′, provide gas to ring plenum 636 in gas ring 637 via gas delivery lines 638 (only some of which are shown). Gas ring 637 has a plurality of source gas nozzles 639 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber. In a preferred embodiment, gas ring 637 has 12 source gas nozzles made from an aluminum oxide ceramic.
  • Gas ring 637 also has a plurality of oxidizer gas nozzles 640 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 639, and in one embodiment receive gas from body plenum 641. In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 613. In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 613 by providing apertures (not shown) between body plenum 641 and gas ring plenum 636. In one embodiment, third, fourth, and fifth gas sources, 634C, 634D, and 634D′, and third and fourth gas flow controllers, 635C and 635D′, provide gas to body plenum via gas delivery lines 638. Additional valves, such as 643B (other valves not shown), may shut off gas from the flow controllers to the chamber. In implementing certain embodiments of the invention, source 634A comprises a silane SiH4 source, source 634B comprises a molecular oxygen O2 source, source 634C comprises a silane SiH4 source, source 634D comprises a helium He source, and source 634D′ comprises a molecular hydrogen H2 source.
  • In embodiments where flammable, toxic, or corrosive gases are used, it may be desirable to eliminate gas remaining in the gas delivery lines after a deposition. This may be accomplished using a 3-way valve, such as valve 643B, to isolate chamber 613 from delivery line 638A and to vent delivery line 638A to vacuum foreline 644, for example. As shown in FIG. 6A, other similar valves, such as 643A and 643C, may be incorporated on other gas delivery lines. Such three-way valves may be placed as close to chamber 613 as practical, to minimize the volume of the unvented gas delivery line (between the three-way valve and the chamber). Additionally, two-way (on-off) valves (not shown) may be placed between a mass flow controller (“MFC”) and the chamber or between a gas source and an MFC.
  • Referring again to FIG. 6A, chamber 613 also has top nozzle 645 and top vent 646. Top nozzle 645 and top vent 646 allow independent control of top and side flows of the gases, which improves film uniformity and allows fine adjustment of the film's deposition and doping parameters. Top vent 646 is an annular opening around top nozzle 645. In one embodiment, first gas source 634A supplies source gas nozzles 639 and top nozzle 645. Source nozzle MFC 635A′ controls the amount of gas delivered to source gas nozzles 639 and top nozzle MFC 635A controls the amount of gas delivered to top gas nozzle 645. Similarly, two MFCs 635B and 635B′ may be used to control the flow of oxygen to both top vent 646 and oxidizer gas nozzles 640 from a single source of oxygen, such as source 634B. The gases supplied to top nozzle 645 and top vent 646 may be kept separate prior to flowing the gases into chamber 613, or the gases may be mixed in top plenum 648 before they flow into chamber 613. Separate sources of the same gas may be used to supply various portions of the chamber.
  • A remote microwave-generated plasma cleaning system 650 is provided to periodically clean deposition residues from chamber components. The cleaning system includes a remote microwave generator 651 that creates a plasma from a cleaning gas source 634E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 653. The reactive species resulting from this plasma are conveyed to chamber 613 through cleaning gas feed port 654 via applicator tube 655. The materials used to contain the cleaning plasma (e.g., cavity 653 and applicator tube 655) must be resistant to attack by the plasma. The distance between reactor cavity 653 and feed port 654 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 653. Generating the cleaning plasma in a remote cavity allows the use of an efficient microwave generator and does not subject chamber components to the temperature, radiation, or bombardment of the glow discharge that may be present in a plasma formed in situ. Consequently, relatively sensitive components, such as electrostatic chuck 620, do not need to be covered with a dummy wafer or otherwise protected, as may be required with an in situ plasma cleaning process.
  • A perspective view of the substrate processing chamber 610 with the integrated small-molecule-enhanced turbomolecular pump 628 is shown in FIG. 6C. Input flows to the turbomolecular pump are controlled by gate valve 627 and output flows are provided to exhaust 690, which may be connected with a foreline system as described further below.
  • A structure for the small-molecule-enhanced turbomolecular pump 628 is illustrated for an embodiment with FIG. 7. This drawing provides a schematic view of how gas incoming to the pump along flows 732 is mechanically driven to exhaust 690, with a corresponding increase in pressure from Pin at the inlet to Pout at the outlet. A typical inlet pressure Pin corresponds to the chamber pressure and may less than about 10 mtorr, although in some embodiments may be as low as 5 mtorr or as low as 2 mtorr. The outlet pressure Pout is generally intermediate between the inlet pressure Pin and atmospheric pressure Pout at and may be about 150 mtorr. The performance of the turbomolecular pump 628 for specific species may be characterized by the relative partial pressures for those species in terms of a “compression ratio,” defined as Pout/Pin. The turbomolecular pump 628 has a frame 716 that includes a flange 704 for connection with the gate valve 627. A plurality of stator blades 708 extend radially inwards from the frame and are fixed in position relative to the frame. An interior rotor 720 includes a plurality of rotor blades 712 that extend radially outwards from the rotor such that the rotor blades 712 are interleaved with the fixed stator blades 708. Each combination of a stator and rotor blade is sometimes referred to herein as a “turbine stage” of the pump. The turbomolecular pump 628 is typically cooled with a water cooling system and includes electrical connections to provide power for rotating the rotor 720.
  • Gas that is introduced into the turbomolecular pump along flows 732 is forced downwards mechanically as the rotor 720 rotates. The atoms, molecules, and ions that may be comprised by the gas are successively forced into successive stages through the mechanical interaction of the rotating rotor blades 712 and fixed stator blades 708, thereby also successively increasing the pressure of the gas. One or more drag stages 724 may also be included to further compress the gas mechanically before it is exhausted through exhaust 690 along flow 734.
  • There are a number of factors that may affect the compression efficiency of the turbomolecular pump 628 for different molecule sizes, including such factors as the overlap between the stator and rotor blades, the shape of the stator and rotor blades, the relative angles of inclination of the stator and rotor blades, the separation between the stator and rotor blades, the rotational speed of the rotor, the number of turbine stages, the number of drag stages, the inlet flange size, the exhaust size, and the like. Essentially, a variation in any of such factors may affect the statistical chance of a given molecule being trapped within a successive one of the turbine stages and thereby forced downwards. Generally, an increase in the number of turbine stages is more effective at compressing lighter molecules. In some embodiments, for example, between 20 and 25 turbine stages has been found effective at compressing hydrogen molecules, with one embodiment using 23 turbine stages. Also, the rotor and stator blades may approximately be characterized by a width b that accounts for shaping factors; a decrease in the ratio of the distance between blades S to the width b generally results in improved small-molecule compression, with S/b being equal to about 1.05 in one embodiment. In one embodiment, the turbomolecular pump 628 has a single drag stage.
  • The compression efficiency for the smallest molecule present in the process gas is not the only factor that dictates the desired pump characteristics. For example, it is still necessary to pump other molecules at reasonable efficiencies consistent with the flow rates of the gases that provide those other molecules. Thus, in some embodiments it may be appropriate to sacrifice hydrogen-pumping efficiency in favor of improved large-molecule pumping efficiency, particularly if dopants may be provided through flows of heavy dopant molecules. The inventors have found the following pump characteristics suitable for processes that deposit gapfill silicon oxide with a silane SiH4 flow of 15-100 sccm, an oxygen O2 flow of 25-500 sccm, and an H2 flow of 500-2500 sccm:
    TABLE I
    Turbomolecular Pump Performance Characteristics
    Range Value
    Flange Size (inches) 200-mm wafer  8-12 10
    300-mm wafer 12-16 14
    Pumping Speed (L/s) H2 2800-3500 3150
    He 2800-3500 3150
    N 3000-3600 3200
    Compression Ratio H2 (1-10) × 105 2 × 105
    He (1-10) × 106 2 × 106
    N (5-20) × 108 1 × 109
    Rated Speed (rpm) 200-mm wafer 2400-3000 2700
    300-mm wafer 2000-2600 2400

    In this table, the values set forth for N are used for convenience to illustrate pumping rates and compression ratios suitable for heavier species in the plasma. With these pump performance characteristics for the exemplary process described above, the chamber pressure may be maintained at less than 10 mtorr for both 200-mm-wafer and 300-mm-wafer processes. This may be contrasted with pressures that exceed 25 mtorr using the same process in a system that uses a conventional turbomolecular pump.
  • A comparison of the effects of using a turbomolecular pump having these performance characteristics is illustrated with graphical results in FIGS. 8-9B. FIG. 8 provides a plot of chamber pressure as a function of fluent flow for H2, He, and Ar using a prior-art turbomolecular pump. It is evident that the effect of flow rate on pressure is greater for smaller molecules, and especially for H2 since there is even a dramatic increase in pressure when H2 is used even in regions up to about 500 sccm where the difference in pressures when using He versus Ar is still modest. Thus, while a reduction in pressure is desirable for processes that use high flow rates of He, the benefit of being able to reduce the pressure with the enhanced turbomolecular pump is especially acute for processes that use high flow rates of H2.
  • FIGS. 9A and 9B compare the pressures provided as a function of flow rate between systems that use the prior-art and enhanced turbomolecular pumps for H2 and He respectively. The effect of using the enhanced turbomolecular pump is seen particularly in the results for H2, in which the pressure may be reduced by more than a factor of four at flow rates above 500 sccm. The effect is also significant for He flows when the flow rates are large, producing approximately a factor-of-two reduction in chamber pressure when the flow rate is about 1200 sccm. Thus, the use of a small-molecule-enhanced turbomolecular pump as described herein enables gapfill deposition using high flows of fluent gases at chamber pressures that are below 15 mtorr, below 10 mtorr, or below 5 mtorr in different embodiments.
  • Another factor that the inventors have identified as enabling a reduction in chamber pressure for such high-flow processes is the layout of the foreline. Generally, the exhaust 690 of the turbomolecular pump 628 is connected with a rough pump that further compresses the exhausted gas to atmospheric pressure so that scrubbing processes may be used on any output toxic gases. It will be understood in the art that a “rough pump” is a pump used to bring pressure in a system to a pressure intermediate between a desired operational pressure and atmospheric pressure. The connection between the turbomolecular pump 628 and the rough pump is made by the foreline, which may be routed according to physical layouts of a facility that holds the substrate processing chamber. One such facility may, in some instances, have multiple forelines connecting different substrate processing systems with a single rough pump. The inventors have recognized that because the foreline provides part of a fluid communication between the rough pump and the substrate processing chamber, certain specific characteristics of the foreline may affect the pressure. Accordingly, modifications in the foreline may be used in embodiments of the invention to achieve even further reductions in chamber pressure.
  • Merely for purposes of illustration, FIG. 10 shows an example of a foreline 1004 that connects the exhaust 690 of a turbomolecular pump 628 to an inlet 1024 of a rough pump 1016. The foreline 1004 may comprise a plurality of sections, such as sections 1008, 1012, and 1020 that have different tubing sizes. For example, the initial and final sections 1008 and 1020 in the example may have tubing sizes of about 2 inches, while the intermediate section has a tubing size of about 4 inches. An increase in the tubing size of all or a portion of the foreline 1004 may thus result in a decrease in chamber pressure. For example, in one instance, a decrease in chamber pressure may be achieved by replacing the 2-inch section 1020 of tubing with 4-inch tubing. In another instance, both the 2- inch sections 1008 and 1020 of tubing may be replaced with 4-inch tubing, and the intermediate 4-inch tubing section 1012 replaced with 6-inch tubing. By making such modifications, the outlet pressure Pout of the turbomolecular pump may be reduced, thereby providing a reduction in the inlet pressure Pin that corresponds to the chamber pressure.
  • The level of improvement that may be achieved with such replacements is illustrated for several examples in FIG. 11, which provides a set of curves relating the outlet pressure Pout of the small-molecule-enhanced turbomolecular pump to the inlet pressure Pin for a number of different fluent flows, namely 1000 sccm H2 (top curve), 500 sccm H2 (second curve), 900 sccm H2+125 sccm Ar (third curve), and 750 sccm H2+125 sccm Ar (bottom curve). In all instances, it is apparent that achieving a reduction in outlet pressure by reconfiguring the foreline 1004 may provide a further reduction in chamber pressure. While dependent on which curve is relevant and on what the flow rate is, the inventors have found that a reduction in outlet pressure of about 50 mtorr achieved by reconfiguring the foreline may generally translate into about a 2-mtorr reduction in chamber pressure.
  • This effect is illustrated more directly with FIG. 12, which provides results of experiments in which the two-inch foreline connected with a substrate processing system was substituted with a four-inch foreline. The resulting chamber pressure is plotted for different flow rates of H2 for both the two-inch and four-inch configurations, with the top curve corresponding to the two-inch configuration and the bottom curve corresponding to the four-inch configuration. It is apparent from these results that the increase in tubing size provides a further reduction in chamber pressure. This reduction may be provided with both a prior-art turbomolecular pump or with the small-molecule enhanced turbomolecular pump.
  • In addition to the absolute size of the tubing that connects the turbomolecular pump 628 with the rough pump 1016, the inventors have found that the exhaust pressure may also be affected by the number of bends in the tubing. For example, FIG. 10 shows a foreline layout 1004 in which the first section 1008 has three 900 bends, the second section 1012 has two 90° bends, and the third section has two 90° bends. If the facility that houses the substrate processing system may accommodate a foreline layout that reduces the number of bends, the chamber pressure may be reduced further.
  • The following table sets forth an example of a foreline specification that may be provided to customers to ensure that the exhaust pressure of the turbomolecular pump is maintained within a range consistent with the desired chamber pressure. The specification is provided for stainless steel tubing with a rough pump that has a pumping speed of about 500 m3/h at 50 Hz, and is suitable for an H2 fluent gas used in gapfill deposition on a 200-mm wafer. Depending on the size of the tubing, as identified in Column A, a maximum allowable tubing length is set forth in Column C. For each 90° bend in the tubing layout, the number in Column B should be subtracted from the standard allowable length set forth in Column C.
    TABLE 2
    Foreline Specification for 200-mm H2 Gapfill Process
    Column B Column C
    Column A Equivalent Length Maximum Allowable
    Tubing Diameter for each 90° Bend Tubing Length
    2″ (5.1 cm) 2.5′ (76.2 cm) 20.0′ (6.1 m)
    3″ (7.6 cm) 3.0′ (91.4 cm) 40.0′ (12.2 m)
    4″ (10.1 cm) 3.5′ (106.7 cm) 60.0′ (18.3 m)
    5″ (12.7 cm) 4.0′ (121.9 cm) 60.0′ (18.3 m)
    6″ (15.2 cm) 4.5′ (137.2 cm) 60.0′ (18.3 m)
  • Still a further way in which the foreline arrangement may be modified to reduce the outlet pressure of the turbomolecular pump is to increase the pumping speed of the rough pump, such as by replacing the rough pump with another pump having a higher rated speed. A typical pump used in HDP-processing systems has a pumping speed of about 500 m3/h, but in certain embodiments that use high flows of small molecules, a rough having a pumping speed of at least 1000 m3/h or of at least 1500 m3/h may be used to achieve reduced chamber pressure.
  • Those of ordinary skill in the art will realize that processing parameters can vary for different processing chambers and different processing conditions, and that different precursors can be used without departing from the spirit of the invention. Other variations will also be apparent to persons of skill in the art. These equivalents and alternatives are intended to be included within the scope of the present invention. Therefore, the scope of this invention should not be limited to the embodiments described, but should instead be defined by the following claims.

Claims (6)

1. A substrate processing system comprising:
a housing defining a substrate processing chamber;
a substrate holder configured to hold a substrate within the substrate processing chamber during substrate processing;
a gas-delivery system configured to introduce gases into the substrate processing chamber;
a high-density plasma generating system operatively coupled to the substrate processing chamber to generate a plasma having at least 1011 ions/cm3 from gases in the substrate processing chamber; and
a pressure-control system for maintaining a selected pressure within the substrate processing chamber, the pressure-control system including a pump in fluid communication with an outlet of the substrate processing chamber and providing a compression ratio greater than 105 for a gas of molecules having an average molecular mass less than 10 atomic mass units.
2. The substrate processing system recited in claim 1 wherein the pump is a turbomolecular pump.
3. The substrate processing system recited in claim 1 wherein the pump provides a compression ratio for H2 between 105 and 106.
4. The substrate processing system recited in claim 1 wherein the pump provides a compression ratio for He between 106 and 107.
5. The substrate processing system recited in claim 1 wherein the pump provides a pumping speed that exceeds 2800 L/s for the gas of molecules having an average molecular mass less than 10 atomic mass units.
6. The substrate processing system recited in claim 5 wherein the pumping speed is between 2800 and 3500 L/s for a gas selected from the group consisting of H2 and He.
US11/422,212 2004-07-01 2006-06-05 Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas Abandoned US20060225648A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/422,212 US20060225648A1 (en) 2004-07-01 2006-06-05 Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/884,628 US7183227B1 (en) 2004-07-01 2004-07-01 Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US11/422,212 US20060225648A1 (en) 2004-07-01 2006-06-05 Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/884,628 Division US7183227B1 (en) 2004-07-01 2004-07-01 Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas

Publications (1)

Publication Number Publication Date
US20060225648A1 true US20060225648A1 (en) 2006-10-12

Family

ID=37081942

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/884,628 Expired - Fee Related US7183227B1 (en) 2004-07-01 2004-07-01 Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US11/422,212 Abandoned US20060225648A1 (en) 2004-07-01 2006-06-05 Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/884,628 Expired - Fee Related US7183227B1 (en) 2004-07-01 2004-07-01 Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas

Country Status (1)

Country Link
US (2) US7183227B1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
EP2058843A2 (en) * 2007-11-08 2009-05-13 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20130115110A1 (en) * 2011-11-04 2013-05-09 Tokyo Electron Limited Processing chamber integrated pressure control
US20130302999A1 (en) * 2012-05-10 2013-11-14 Tae Kyung Won Siox process chemistry development using microwave plasma cvd
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20160027618A1 (en) * 2014-07-24 2016-01-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20180182652A1 (en) * 2016-12-22 2018-06-28 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and substrate processing system
US20210296102A1 (en) * 2017-12-05 2021-09-23 Tokyo Electron Limited Exhaust device, processing apparatus, and exhausting method
US11610759B2 (en) * 2016-01-22 2023-03-21 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100589046B1 (en) * 2004-09-23 2006-06-12 삼성전자주식회사 Method for forming a thin film
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film

Citations (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4572841A (en) * 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4737379A (en) * 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
US4835005A (en) * 1983-08-16 1989-05-30 Canon Kabushiki Kaishi Process for forming deposition film
US4890575A (en) * 1986-07-14 1990-01-02 Mitsubishi Denki Kabushiki Kaisha Thin film forming device
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5236562A (en) * 1990-03-20 1993-08-17 Ebara Corporation Method for discharging hydrogen from a vacuum vessel using a roughing vacuum pump and a turbo-molecular pump
US5275977A (en) * 1990-03-19 1994-01-04 Hitachi, Ltd. Insulating film forming method for semiconductor device interconnection
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5317900A (en) * 1992-10-02 1994-06-07 The Lyle E. & Barbara L. Bergquist Trust Ultrasensitive helium leak detector for large systems
US5319247A (en) * 1990-10-30 1994-06-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an interlayer insulating film of high crack resistance
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5507881A (en) * 1991-09-30 1996-04-16 Fuji Electric Co., Ltd. Thin-film solar cell and method of manufacturing same
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5621241A (en) * 1994-08-17 1997-04-15 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5629043A (en) * 1994-12-15 1997-05-13 Mitsubishi Denki Kabushiki Kaisha Silicon nitride film formation method
US5645645A (en) * 1995-04-07 1997-07-08 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5776557A (en) * 1987-03-18 1998-07-07 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US5786039A (en) * 1995-05-15 1998-07-28 France Telecom Process for electrical insulation in microelectronics, applicable in narrow cavities, by deposition of oxide in the viscous state and corresponding device
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5874350A (en) * 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5915190A (en) * 1995-12-27 1999-06-22 Lam Research Corporation Methods for filling trenches in a semiconductor wafer
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5944049A (en) * 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
US6013191A (en) * 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6040022A (en) * 1987-08-14 2000-03-21 Applied Materials, Inc. PECVD of compounds of silicon from silane and nitrogen
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6096646A (en) * 1997-04-10 2000-08-01 Lg Semicon Co., Ltd. Method for forming metal line of semiconductor device
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6174808B1 (en) * 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6194037B1 (en) * 1995-12-28 2001-02-27 Kokusai Electric Co., Ltd. Method of plasma processing a substrate placed on a substrate table
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6223540B1 (en) * 1999-06-25 2001-05-01 Applied Materials, Inc. Gas processing techniques
US6224950B1 (en) * 1993-12-27 2001-05-01 Kabushiki Kaisha Toshiba Method for formation of thin film
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6230650B1 (en) * 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6232196B1 (en) * 1998-03-06 2001-05-15 Asm America, Inc. Method of depositing silicon with high step coverage
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6346302B2 (en) * 1997-11-20 2002-02-12 Nec Corporation High density plasma enhanced chemical vapor deposition method
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US20020076317A1 (en) * 2000-12-18 2002-06-20 Applied Materials, Inc. Turbo-molecular pump having enhanced pumping capacity
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6537929B1 (en) * 1998-02-11 2003-03-25 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6607983B1 (en) * 1999-11-05 2003-08-19 Samsung Electronics Co., Ltd. Method of processing a defect source at a wafer edge region in a semiconductor manufacturing
US6673722B1 (en) * 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US20040033639A1 (en) * 2001-05-07 2004-02-19 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6713390B2 (en) * 1999-11-01 2004-03-30 Applied Materials Inc. Barrier layer deposition using HDP-CVD
US20040115898A1 (en) * 2002-12-13 2004-06-17 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US20040146661A1 (en) * 2003-01-23 2004-07-29 Applied Materials, Inc. Hydrogen assisted hdp-cvd deposition process for aggressive gap-fill technology
US20050070100A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
EP0539804B1 (en) 1991-10-15 1998-03-04 Canon Kabushiki Kaisha A substrate for a liquid jet recording head, a manufacturing method for such a substrate, a liquid jet recording head, and a liquid jet recording apparatus
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
DE69424759T2 (en) 1993-12-28 2001-02-08 Applied Materials Inc Vapor deposition process in a single chamber for thin film transistors
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
JPH08225947A (en) 1994-12-16 1996-09-03 Canon Inc Plasma treatment method and device therefor
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
TW388096B (en) 1996-06-10 2000-04-21 Texas Instruments Inc Integrated circuit insulator and method
FR2756663B1 (en) 1996-12-04 1999-02-26 Berenguer Marc PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
JPH10242142A (en) 1997-02-21 1998-09-11 Nippon Asm Kk Semiconductor element and manufacture thereof
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6465044B1 (en) 1999-07-09 2002-10-15 Silicon Valley Group, Thermal Systems Llp Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4737379A (en) * 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
US4835005A (en) * 1983-08-16 1989-05-30 Canon Kabushiki Kaishi Process for forming deposition film
US5910342A (en) * 1983-08-16 1999-06-08 Canon Kabushiki Kaisha Process for forming deposition film
US4572841A (en) * 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US6230650B1 (en) * 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) * 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4890575A (en) * 1986-07-14 1990-01-02 Mitsubishi Denki Kabushiki Kaisha Thin film forming device
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6167834B1 (en) * 1986-12-19 2001-01-02 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5776557A (en) * 1987-03-18 1998-07-07 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US5874350A (en) * 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
US6040022A (en) * 1987-08-14 2000-03-21 Applied Materials, Inc. PECVD of compounds of silicon from silane and nitrogen
US5275977A (en) * 1990-03-19 1994-01-04 Hitachi, Ltd. Insulating film forming method for semiconductor device interconnection
US5236562A (en) * 1990-03-20 1993-08-17 Ebara Corporation Method for discharging hydrogen from a vacuum vessel using a roughing vacuum pump and a turbo-molecular pump
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5319247A (en) * 1990-10-30 1994-06-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an interlayer insulating film of high crack resistance
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5507881A (en) * 1991-09-30 1996-04-16 Fuji Electric Co., Ltd. Thin-film solar cell and method of manufacturing same
US5317900A (en) * 1992-10-02 1994-06-07 The Lyle E. & Barbara L. Bergquist Trust Ultrasensitive helium leak detector for large systems
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US6224950B1 (en) * 1993-12-27 2001-05-01 Kabushiki Kaisha Toshiba Method for formation of thin film
US5621241A (en) * 1994-08-17 1997-04-15 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5629043A (en) * 1994-12-15 1997-05-13 Mitsubishi Denki Kabushiki Kaisha Silicon nitride film formation method
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5645645A (en) * 1995-04-07 1997-07-08 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US5786039A (en) * 1995-05-15 1998-07-28 France Telecom Process for electrical insulation in microelectronics, applicable in narrow cavities, by deposition of oxide in the viscous state and corresponding device
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5915190A (en) * 1995-12-27 1999-06-22 Lam Research Corporation Methods for filling trenches in a semiconductor wafer
US6194037B1 (en) * 1995-12-28 2001-02-27 Kokusai Electric Co., Ltd. Method of plasma processing a substrate placed on a substrate table
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6416823B2 (en) * 1996-05-13 2002-07-09 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US20030056900A1 (en) * 1996-05-13 2003-03-27 Applied Materials, Incorporated A Delaware Corporation Deposition chamber and method for depositing low dielectric constant films
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6589610B2 (en) * 1996-05-13 2003-07-08 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6182602B1 (en) * 1996-07-15 2001-02-06 Applied Materials, Inc. Inductively coupled HDP-CVD reactor
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US6096646A (en) * 1997-04-10 2000-08-01 Lg Semicon Co., Ltd. Method for forming metal line of semiconductor device
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6217658B1 (en) * 1997-06-03 2001-04-17 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US5944049A (en) * 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6013191A (en) * 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6346302B2 (en) * 1997-11-20 2002-02-12 Nec Corporation High density plasma enhanced chemical vapor deposition method
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6537929B1 (en) * 1998-02-11 2003-03-25 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6232196B1 (en) * 1998-03-06 2001-05-15 Asm America, Inc. Method of depositing silicon with high step coverage
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6223540B1 (en) * 1999-06-25 2001-05-01 Applied Materials, Inc. Gas processing techniques
US6174808B1 (en) * 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6713390B2 (en) * 1999-11-01 2004-03-30 Applied Materials Inc. Barrier layer deposition using HDP-CVD
US6607983B1 (en) * 1999-11-05 2003-08-19 Samsung Electronics Co., Ltd. Method of processing a defect source at a wafer edge region in a semiconductor manufacturing
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US20020076317A1 (en) * 2000-12-18 2002-06-20 Applied Materials, Inc. Turbo-molecular pump having enhanced pumping capacity
US20040033639A1 (en) * 2001-05-07 2004-02-19 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20030159656A1 (en) * 2001-05-11 2003-08-28 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
US20040115898A1 (en) * 2002-12-13 2004-06-17 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US20040146661A1 (en) * 2003-01-23 2004-07-29 Applied Materials, Inc. Hydrogen assisted hdp-cvd deposition process for aggressive gap-fill technology
US20050070100A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
EP2058843A2 (en) * 2007-11-08 2009-05-13 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
EP2058843A3 (en) * 2007-11-08 2012-04-18 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9151286B2 (en) * 2011-11-04 2015-10-06 Tokyo Electron Limited Processing chamber integrated pressure control
US20130115110A1 (en) * 2011-11-04 2013-05-09 Tokyo Electron Limited Processing chamber integrated pressure control
US20130302999A1 (en) * 2012-05-10 2013-11-14 Tae Kyung Won Siox process chemistry development using microwave plasma cvd
US8906813B2 (en) * 2012-05-10 2014-12-09 Applied Materials, Inc. SiOx process chemistry development using microwave plasma CVD
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160027618A1 (en) * 2014-07-24 2016-01-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US11610759B2 (en) * 2016-01-22 2023-03-21 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US20180182652A1 (en) * 2016-12-22 2018-06-28 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and substrate processing system
US20210296102A1 (en) * 2017-12-05 2021-09-23 Tokyo Electron Limited Exhaust device, processing apparatus, and exhausting method
US11315770B2 (en) * 2017-12-05 2022-04-26 Tokyo Electron Limited Exhaust device for processing apparatus provided with multiple blades

Also Published As

Publication number Publication date
US7183227B1 (en) 2007-02-27

Similar Documents

Publication Publication Date Title
US20060225648A1 (en) Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US8414747B2 (en) High-throughput HDP-CVD processes for advanced gapfill applications
US7244658B2 (en) Low stress STI films and methods
US7097886B2 (en) Deposition process for high aspect ratio trenches
US7571698B2 (en) Low-frequency bias power in HDP-CVD processes
US7087536B2 (en) Silicon oxide gapfill deposition using liquid precursors
US6808748B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7329586B2 (en) Gapfill using deposition-etch sequence
US7867921B2 (en) Reduction of etch-rate drift in HDP processes
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
KR20150103227A (en) Silicon nitride gapfill implementing high density plasma
US7189639B2 (en) Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US7390757B2 (en) Methods for improving low k FSG film gap-fill characteristics
US7229931B2 (en) Oxygen plasma treatment for enhanced HDP-CVD gapfill
US8497211B2 (en) Integrated process modulation for PSG gapfill
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION