US20060251827A1 - Tandem uv chamber for curing dielectric materials - Google Patents

Tandem uv chamber for curing dielectric materials Download PDF

Info

Publication number
US20060251827A1
US20060251827A1 US11/124,908 US12490805A US2006251827A1 US 20060251827 A1 US20060251827 A1 US 20060251827A1 US 12490805 A US12490805 A US 12490805A US 2006251827 A1 US2006251827 A1 US 2006251827A1
Authority
US
United States
Prior art keywords
bulbs
chamber
curing chamber
curing
lid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/124,908
Inventor
Thomas Nowak
Juan Rocha-Alvarez
Andrzej Kaszuba
Scott Hendrickson
Dustin Ho
Sanjeev Baluja
Tom Cho
Josephine Chang
Hichem M'Saad
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/124,908 priority Critical patent/US20060251827A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHO, TOM, BALUJA, SANJEEV, CHANG, JOSEPHINE, KASZUBA, ANDRZEJ, M'SAAD, HICHEM, ROCHA-ALVAREZ, JUAN CARLOS, HENDRICKSON, SCOTT A., Ho, Dustin W., NOWAK, THOMAS
Priority to US11/230,975 priority patent/US20060249175A1/en
Priority to CN2006800147993A priority patent/CN101171367B/en
Priority to CN2009102610862A priority patent/CN101736316B/en
Priority to PCT/US2006/014671 priority patent/WO2006121585A1/en
Priority to KR1020077024761A priority patent/KR101018965B1/en
Priority to KR1020107003394A priority patent/KR101168821B1/en
Priority to US11/424,368 priority patent/US7663121B2/en
Publication of US20060251827A1 publication Critical patent/US20060251827A1/en
Priority to US11/686,881 priority patent/US7777198B2/en
Priority to US12/393,851 priority patent/US20090162259A1/en
Priority to US12/841,935 priority patent/US8203126B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • B05D3/067Curing or cross-linking the coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • B05D3/0209Multistage baking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0493Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases using vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Definitions

  • Embodiments of the invention generally relate to an ultraviolet (UV) cure chamber. More particularly, embodiments of the invention relate to a tandem UV chamber for performing cure processes of dielectric films on substrates and clean processes of surfaces within the tandem chamber.
  • UV ultraviolet
  • Silicon oxide (SiO), silicon carbide (SiC) and carbon doped silicon oxide (SiOC) find extremely widespread use in the fabrication of semiconductor devices.
  • One approach for forming silicon containing films on a semiconductor substrate is through the process of chemical vapor deposition (CVD) within a chamber.
  • Organosilicon supplying materials are often utilized during CVD of the silicon containing films.
  • carbon containing films can be formed on the chamber walls as well as on the substrate.
  • Water is often a by-product of the CVD reaction of oganosilicon compounds and can be physically absorbed into the films as moisture.
  • Moisture in the air inside the substrate fab provides another source of moisture in un-cured films.
  • the ability of the film to resist water uptake while in queue for subsequent manufacturing processes is important in defining a stable film.
  • the moisture is not part of stable films, and can later cause failure of dielectric material during device operation.
  • UV curing chamber which can be used to effectively cure films deposited on substrates.
  • Embodiments of the invention generally relate to an ultraviolet (UV) cure chamber for curing a dielectric material disposed on a substrate.
  • a tandem process chamber provides two separate and adjacent process regions defined by a body covered with a lid having bulb isolating windows aligned respectively above each process region.
  • the bulb isolating windows are implemented with either one window per side of the tandem process chamber to isolate one or many bulbs from the substrate in one large common volume, or with each bulb of an array of bulbs enclosed in its own UV transparent envelope which is then in direct contact with the substrate treating environment.
  • One or more UV bulbs per process region are covered by housings coupled to the lid and emit UV light that is directed through the windows onto substrates located within the process regions.
  • the UV bulbs can be an array of light emitting diodes or bulbs utilizing any of the state of the art UV illumination sources including but not limited to microwave arcs, radio frequency filament (capacitively coupled plasma) and inductively coupled plasma (ICP) lamps. Additionally, the UV light can be pulsed during a cure process.
  • Various concepts for enhancing uniformity of substrate illumination include use of lamp arrays which can also be used to vary wavelength distribution of incident light, relative motion of the substrate and lamp head including rotation and periodic translation (sweeping), and real-time modification of lamp reflector shape and/or position.
  • Residues formed during the curing process are organic/organosilicon and are removed using an oxygen radical and ozone based clean.
  • Production of the necessary oxygen radicals can be done remotely with the oxygen radicals transported to the curing chamber, generated in-situ or accomplished by running these two schemes simultaneously. Since the oxygen radicals generated remotely recombine very rapidly back into molecular oxygen (O 2 ), the key to remote oxygen based clean is to generate ozone remotely and to transfer this ozone into the curing chamber where the ozone is then allowed to dissociate into oxygen radicals and oxygen molecules when it comes into contact with heated surfaces inside the curing chamber. Consequently, the ozone is essentially a vehicle for transporting oxygen radicals into the curing chamber.
  • ozone that does not dissociate in the cure chamber can also attack certain organic residues thereby enhancing the oxygen radical clean.
  • Methods of generating the ozone remotely can be accomplished using any existing ozone generation technology including, but not limited to dielectric barrier/corona discharge (e.g., Applied Materials Ozonator) or UV-activated reactors.
  • the UV bulbs used for curing the dielectric material and/or additional UV bulb(s) that can be remotely located are used to generate the ozone.
  • FIG. 1 is a plan view of a semiconductor processing system in which embodiments of the invention may be incorporated.
  • FIG. 2 is a view of a tandem process chamber of the semiconductor processing system that is configured for UV curing.
  • FIG. 3 is a partial section view of the tandem process chamber that has a lid assembly with two UV bulbs disposed respectively above two process regions.
  • FIG. 4 is a partial section view of a lid assembly with a UV bulb having a long axis oriented vertically above a process region.
  • FIG. 5 is a partial view of a bottom surface of a lid assembly that utilizes an array of UV lamps.
  • FIG. 6 is a schematic of a process chamber with a first array of UV lamps selected for curing and a second array of UV lamps selected for activating a cleaning gas.
  • FIG. 7 is an isomeric view of a lid assembly for disposal on a tandem process chamber with exemplary arrays of UV lamps arranged to provide UV light to two process regions of the chamber.
  • FIG. 1 shows a plan view of a semiconductor processing system 100 in which embodiments of the invention may be incorporated.
  • the system 100 illustrates one embodiment of a ProducerTM processing system, commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • the processing system 100 is a self-contained system having the necessary processing utilities supported on a mainframe structure 101 .
  • the processing system 100 generally includes a front end staging area 102 where substrate cassettes 109 are supported and substrates are loaded into and unloaded from a loadlock chamber 112 , a transfer chamber 111 housing a substrate handler 113 , a series of tandem process chambers 106 mounted on the transfer chamber 111 and a back end 138 which houses the support utilities needed for operation of the system 100 , such as a gas panel 103 , and a power distribution panel 105 .
  • Each of the tandem process chambers 106 includes two processing regions for processing the substrates (see, FIG. 3 ).
  • the two processing regions share a common supply of gases, common pressure control and common process gas exhaust/pumping system. Modular design of the system enables rapid conversion from any one configuration to any other.
  • the arrangement and combination of chambers may be altered for purposes of performing specific process steps.
  • Any of the tandem process chambers 106 can include a lid according to aspects of the invention as described below that includes one or more ultraviolet (UV) lamps for use in a cure process of a low K material on the substrate and/or in a chamber clean process.
  • all three of the tandem process chambers 106 have UV lamps and are configured as UV curing chambers to run in parallel for maximum throughput.
  • the system 100 can be adapted with one or more of the tandem process chambers having supporting chamber hardware as is known to accommodate various other known processes such as chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, and the like.
  • the system 100 can be configured with one of the tandem process chambers 106 as a CVD chamber for depositing materials, such as a low dielectric constant (K) film, on the substrates.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch etch
  • the system 100 can be configured with one of the tandem process chambers 106 as a CVD chamber for depositing materials, such as a low dielectric constant (K) film, on the substrates.
  • K low dielectric constant
  • FIG. 2 illustrates one of the tandem process chambers 106 of the semiconductor processing system 100 that is configured for UV curing.
  • the tandem process chamber 106 includes a body 200 and a lid 202 that can be hinged to the body 200 . Coupled to the lid 200 are two housings 204 that are each coupled to inlets 206 along with outlets 208 for passing cooling air through an interior of the housings 204 .
  • the cooling air can be at room temperature or approximately twenty-two degrees Celsius.
  • a central pressurized air source 210 provides a sufficient flow rate of air to the inlets 206 to insure proper operation of any UV lamp bulbs and/or power sources 214 for the bulbs associated with the tandem process chamber 106 .
  • the outlets 208 receive exhaust air from the housings 204 , which is collected by a common exhaust system 212 that can include a scrubber to remove ozone potentially generated by the UV bulbs depending on bulb selection. Ozone management issues can be avoided by cooling the lamps with oxygen-free cooling gas (e.g., nitrogen, argon or helium).
  • oxygen-free cooling gas e.g., nitrogen, argon or helium
  • FIG. 3 shows a partial section view of the tandem process chamber 106 with the lid 202 , the housings 204 and the power sources 214 .
  • Each of the housings 204 cover a respective one of two UV lamp bulbs 302 disposed respectively above two process regions 300 defined within the body 200 .
  • Each of the process regions 300 includes a heating pedestal 306 for supporting a substrate 308 within the process regions 300 .
  • the pedestals 306 can be made from ceramic or metal such as aluminum.
  • the pedestals 306 couple to stems 310 that extend through a bottom of the body 200 and are operated by drive systems 312 to move the pedestals 306 in the processing regions 300 toward and away from the UV lamp bulbs 302 .
  • the drive systems 312 can also rotate and/or translate the pedestals 306 during curing to further enhance uniformity of substrate illumination. Adjustable positioning of the pedestals 306 enables control of volatile cure by-product and purge and clean gas flow patterns and residence times in addition to potential fine tuning of incident UV irradiance levels on the substrate 308 depending on the nature of the light delivery system design considerations such as focal length.
  • embodiments of the invention contemplate any UV source such as mercury microwave arc lamps, pulsed xenon flash lamps or high-efficiency UV light emitting diode arrays.
  • the UV lamp bulbs 302 are sealed plasma bulbs filled with one or more gases such as xenon (Xe) or mercury (Hg) for excitation by the power sources 214 .
  • the power sources 214 are microwave generators that can include one or more magnetrons (not shown) and one or more transformers (not shown) to energize filaments of the magnetrons.
  • each of the housings 204 includes an aperture 215 adjacent the power sources 214 to receive up to about 6000 W of microwave power from the power sources 214 to subsequently generate up to about 100 W of UV light from each of the bulbs 302 .
  • the UV lamp bulbs 302 can include an electrode or filament therein such that the power sources 214 represent circuitry and/or current supplies, such as direct current (DC) or pulsed DC, to the electrode.
  • the power sources 214 for some embodiments can include radio frequency (RF) energy sources that are capable of excitation of the gases within the UV lamp bulbs 302 .
  • RF radio frequency
  • the configuration of the RF excitation in the bulb can be capacitive or inductive.
  • An inductively coupled plasma (ICP) bulb can be used to efficiently increase bulb brilliancy by generation of denser plasma than with the capacitively coupled discharge.
  • the ICP lamp eliminates degradation in UV output due to electrode degradation resulting in a longer-life bulb for enhanced system productivity.
  • Benefits of the power sources 214 being RF energy sources include an increase in efficiency.
  • the bulbs 302 emit light across a broad band of wavelengths from 170 nm to 400 nm.
  • the gases selected for use within the bulbs 302 can determine the wavelengths emitted. Since shorter wavelengths tend to generate ozone when oxygen is present, UV light emitted by the bulbs 302 can be tuned to predominantly generate broadband UV light above 200 nm to avoid ozone generation during cure processes.
  • UV light emitted from the UV lamp bulbs 302 enters the processing regions 300 by passing through windows 314 disposed in apertures in the lid 202 .
  • the windows 314 preferably are made of an OH free synthetic quartz glass and have sufficient thickness to maintain vacuum without cracking. Further, the windows 314 are preferably fused silica that transmits UV light down to approximately 150 nm. Since the lid 202 seals to the body 200 and the windows 314 are sealed to the lid 202 , the processing regions 300 provide volumes capable of maintaining pressures from approximately 1 Torr to approximately 650 Torr. Processing or cleaning gases enter the process regions 300 via a respective one of two inlet passages 316 . The processing or cleaning gases then exit the process regions 300 via a common outlet port 318 . Additionally, the cooling air supplied to the interior of the housings 204 circulates past the bulbs 302 , but is isolated from the process regions 300 by the windows 314 .
  • each of the housings 204 include an interior parabolic surface defined by a cast quartz lining 304 coated with a dichroic film.
  • the quartz linings 304 reflect UV light emitted from the UV lamp bulbs 302 and are shaped to suit both the cure processes as well as the chamber clean processes based on the pattern of UV light directed by the quartz linings 304 into the process regions 300 .
  • the quartz linings 304 adjust to better suit each process or task by moving and changing the shape of the interior parabolic surface.
  • the quartz linings 304 preferably transmit infrared light and reflect ultraviolet light emitted by the bulbs 302 due to the dichroic film.
  • the dichroic film usually constitutes a periodic multilayer film composed of diverse dielectric materials having alternating high and low refractive index. Since the coating is non-metallic, microwave radiation from the power sources 214 that is downwardly incident on the backside of the cast quartz linings 304 does not significantly interact with, or get absorbed by, the modulated layers and is readily transmitted for ionizing the gas in the bulbs 302 .
  • rotating or otherwise periodically moving the quartz linings 304 during curing and/or cleaning enhances the uniformity of illumination in the substrate plane.
  • the entire housings 204 rotate or translate periodically over the substrates 308 while the quartz linings 304 are stationary with respect to the bulbs 302 .
  • rotation or periodic translation of the substrates 308 via the pedestals 306 provides the relative motion between the substrates 308 and the bulbs 302 to enhance illumination and curing uniformity.
  • the pedestals 306 are heated to between 350° C. and 500° C. at 1-10 Torr, preferably 400° C.
  • the pressure within the processing regions 300 is preferably not lower than approximately 0.5 Torr in order to enhance heat transfer to the substrate from the pedestals 306 .
  • Substrate throughput increases by performing the cure processes at low pressure in order to accelerate porogen removal as evidenced by the fact that the rate of shrinkage of the deposited films increases as pressure decreases. Further, the stability of the resulting dielectric constant upon exposure to moisture in the ambient atmosphere of the fab improves when the cure process occurs at a lower pressure.
  • a cure process at 75 Torr created a film with a dielectric constant, ⁇ , of 2.6 while a cure process at 3.5 Torr created a film with a ⁇ of 2.41.
  • the dielectric constant of the film cured at 75 Torr increased to 2.73 while the ⁇ of the film cured at 3.5 Torr increased approximately half as much to 2.47.
  • the lower pressure cure produced a lower dielectric constant film with approximately half the sensitivity to ambient humidity.
  • a cure process for a carbon doped silicon oxide film includes introduction of fourteen standard liters per minute (slm) of helium (He) at eight Torr for the tandem chamber 106 (7 slm per side of the twin) via each inlet passage 316 .
  • the cure processes use nitrogen (N 2 ) or argon (Ar) instead or as mixtures with He since primary concern is absence of oxygen unless other components are desired for reactive UV surface treatments.
  • the purge gas essentially performs two main functions of removing curing byproducts and promoting uniform heat transfer across the substrate. These non-reactive purge gases minimize residue build up on the surfaces within the processing regions 300 .
  • hydrogen can be added to beneficially remove some methyl groups from films on the substrates 300 and also scavenge oxygen which is released during curing and tends to remove too many methyl groups.
  • the hydrogen can getter residual oxygen remaining in the chamber after the oxygen/ozone based clean and also oxygen out-gassed from the film during the cure.
  • Either one of these sources of oxygen can potentially damage the curing film by photo-induced reactions of oxygen radicals formed by the short wavelength UV potentially used in the cure and/or by binding with methyl radicals to form volatile byproducts that can leave the final film poor in methyl, yielding poor dielectric constant stability and/or excessively high film stress.
  • Care must be exercised in the amount of hydrogen introduced into the cure process since with a UV radiation wavelength less than approximately 275 nm the hydrogen can form hydrogen radicals that can attack carbon-carbon bonds in the film and also remove methyl groups in the form of CH 4 .
  • Some cure processes according to aspects of the invention utilize a pulsed UV unit which can use pulsed xenon flash lamps as the bulbs 302 . While the substrates 308 are under vacuum within the processing regions 300 from approximately 10 milliTorr to approximately 700 Torr, the substrates 308 are exposed to pulses of UV light from the bulbs 302 .
  • the pulsed UV unit can tune an output frequency of the UV light for various applications.
  • the temperature of the pedestals 306 can be raised to between about 100° C. and about 600° C., preferably about 400° C. With the UV pressure in the processing regions 300 elevated by the introduction of the cleaning gas into the region through the inlet passages 316 , this higher pressure facilitates heat transfer and enhances the cleaning operation. Additionally, ozone generated remotely using methods such as dielectric barrier/corona discharge or UV activation can be introduced into the processing regions 300 . The ozone dissociates into O ⁇ and O 2 upon contact with the pedestals 306 that are heated. In the clean process, elemental oxygen reacts with hydrocarbons and carbon species that are present on the surfaces of the processing regions 300 to form carbon monoxide and carbon dioxide that can be pumped out or exhausted through the outlet port 318 . Heating the pedestals 306 while controlling the pedestal spacing, clean gas flow rate, and pressure enhances the reaction rate between elemental oxygen and the contaminants. The resultant volatile reactants and contaminants are pumped out of the processing regions 300 to complete the clean process.
  • a cleaning gas such as oxygen can be exposed to UV radiation at selected wavelengths to generate ozone in-situ.
  • the power sources 214 can be turned on to cause UV light emission from the bulbs 302 in the desired wavelengths, preferably about 184.9 nm and about 253.7 nm when the cleaning gas is oxygen, directly onto the surfaces to be cleaned and indirectly by focusing with the quartz linings 304 .
  • UV radiation wavelengths of 184.9 nm and 253.7 nm optimizes cleaning using oxygen as the cleaning gas because oxygen absorbs the 184.9 nm wavelength and generates ozone and elemental oxygen, and the 253.7 nm wavelength is absorbed by the ozone, which devolves into both oxygen gas as well as elemental oxygen.
  • a clean process includes introduction of 5 slm of ozone and oxygen (13 wt % ozone in oxygen) into the tandem chamber, split evenly within each processing region 300 to generate sufficient oxygen radicals to clean deposits from surfaces within the processing regions 300 .
  • the O 3 molecules can also attack various organic residues. The remaining O 2 molecules do not remove the hydrocarbon deposits on the surfaces within the processing regions 300 .
  • a sufficient cleaning can occur with a twenty minute clean process at 8 Torr after curing six pairs of substrates.
  • FIG. 4 illustrates a partial section view of a lid assembly 402 with a UV bulb having a long axis 403 oriented vertically above a process region 400 .
  • the shape of the reflector in this embodiment is different than in any of the other embodiments. In other words, the reflector geometry must be optimized to ensure maximum intensity and uniformity of illumination of the substrate plane for each lamp shape, orientation and combination of single or multiple lamps. Only one half of a tandem process chamber 406 is shown. Other than the orientation of the bulb 403 , the tandem process chamber 406 shown in FIG. 4 is similar to the tandem process chamber 106 shown in FIGS. 2 and 3 . Accordingly, the tandem process chamber 406 can incorporate any of the aspects discussed above.
  • FIG. 5 shows a partial view of a bottom surface 500 of a lid assembly that utilizes an array of UV lamps 502 .
  • the array of UV lamps 502 can be disposed within a housing above a tandem process chamber instead of single bulbs as depicted in the embodiments shown in FIGS. 2-4 . While many individual bulbs are depicted, the array of UV lamps 502 can include as few as two bulbs powered by a single power source or separate power sources.
  • the array of UV lamps 502 in one embodiment includes a first bulb for emitting a first wavelength distribution and a second bulb for emitting a second wavelength distribution.
  • the curing process can thus be controlled by defining various sequences of illumination with the various lamps within a given curing chamber in addition to adjustments in gas flows, composition, pressure and substrate temperature.
  • the curing process can be further refined by defining sequences of treatments in each of the tandem curing chambers each of which is controlled independently with respect to parameters such as lamp spectrum, substrate temperature, ambient gas composition and pressure for the specific portion of the cure for which each is used.
  • the array of UV lamps 502 can be designed to meet specific UV spectral distribution requirements to perform the cure process and the clean process by selecting and arranging one, two or more different types of individual bulbs within the array of UV lamps 502 .
  • bulbs may be selected from low pressure Hg, medium pressure Hg and high pressure Hg.
  • UV light from bulbs with a wavelength distribution particularly suited for cleaning can be directed to the entire process region while UV light from bulbs with a wavelength distribution particularly suited for curing can be directed specifically to the substrate.
  • bulbs within the array of UV lamps 502 directed specifically at the substrate may be selectively powered independently from other bulbs within the array of UV lamps 502 such that select bulbs are turned on for either the clean process or the cure process.
  • the array of UV lamps 502 can utilize highly efficient bulbs such as UV light emitting diodes.
  • UV sources powered by microwave or pulsed sources have a conversion efficiency of five percent compared to low power bulbs, such as 10 W-100 W, that can be in the array of UV lamps 502 to provide a conversion efficiency of about twenty percent.
  • With the microwave power source ninety five percent of the total energy is converted to heat that wastes energy and necessitates extra cooling requirements while only five percent of the energy is converted to UV emission.
  • the low cooling requirement of the low power bulbs can allow the array of UV lamps 502 to be placed closer to the substrate (e.g., between one and six inches) to reduce reflected UV light and loss of energy.
  • the bottom surface 500 of the lid assembly can include a plurality of gas outlets 504 interleaved within the array of UV lamps 502 . Accordingly, curing and cleaning gases can be introduced into a process region within a chamber from above (see, FIGS. 6 and 7 ).
  • FIG. 6 schematically illustrates a process chamber 600 with a first array of UV lamps 602 selected for curing and a second array of UV lamps 604 remotely located and selected for activating a cleaning gas.
  • the first array of UV lamps 602 is divided into a first group of bulbs 601 having a first wavelength distribution and a second group of bulbs 603 having a second wavelength distribution. Both groups of bulbs 601 , 603 within the first array of UV lamps 602 focus UV light (depicted by pattern 605 ) onto a substrate 606 during a cure process.
  • the cleaning gas (depicted by arrows 608 ) is introduced through inlet 610 and subjected to UV radiation from the second array of UV lamps 604 to preferably generate ozone. Subsequently, ozone enters a process region 612 where oxygen free radicals caused by activation of the ozone clean the processing region 612 prior to being exhausted via outlet 614 .
  • FIG. 7 shows an isomeric view of a lid assembly 702 for disposal on a tandem process chamber (not shown) with exemplary arrays of individually isolated UV lamps 762 arranged to provide UV light to two process regions of the chamber.
  • the lid assembly 702 includes a housing 704 coupled to an inlet (not visible) along with a corresponding outlet 208 oppositely located on the housing 704 for passing cooling air across UV lamp bulbs 732 covered by the housing 704 .
  • the cooling air is directed into and passes through an annulus defined between each bulb 732 and a window or UV transmitting protective tube surrounding each bulb 732 individually.
  • An interior roof 706 of the housing 704 can provide a reflector for directing the UV light to a substrate and a blocker to facilitate diffusion of gases supplied into a top of the housing by gas inlet 716 .

Abstract

An ultraviolet (UV) cure chamber enables curing a dielectric material disposed on a substrate and in situ cleaning thereof. A tandem process chamber provides two separate and adjacent process regions defined by a body covered with a lid having windows aligned respectively above each process region. One or more UV bulbs per process region that are covered by housings coupled to the lid emit UV light directed through the windows onto substrates located within the process regions. The UV bulbs can be an array of light emitting diodes or bulbs utilizing a source such as microwave or radio frequency. The UV light can be pulsed during a cure process. Using oxygen radical/ozone generated remotely and/or in-situ accomplishes cleaning of the chamber. Use of lamp arrays, relative motion of the substrate and lamp head, and real-time modification of lamp reflector shape and/or position can enhance uniformity of substrate illumination.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to an ultraviolet (UV) cure chamber. More particularly, embodiments of the invention relate to a tandem UV chamber for performing cure processes of dielectric films on substrates and clean processes of surfaces within the tandem chamber.
  • 2. Description of the Related Art
  • Silicon oxide (SiO), silicon carbide (SiC) and carbon doped silicon oxide (SiOC) find extremely widespread use in the fabrication of semiconductor devices. One approach for forming silicon containing films on a semiconductor substrate is through the process of chemical vapor deposition (CVD) within a chamber. Organosilicon supplying materials are often utilized during CVD of the silicon containing films. As a result of the carbon present in such a silicon supplying material, carbon containing films can be formed on the chamber walls as well as on the substrate.
  • Water is often a by-product of the CVD reaction of oganosilicon compounds and can be physically absorbed into the films as moisture. Moisture in the air inside the substrate fab provides another source of moisture in un-cured films. The ability of the film to resist water uptake while in queue for subsequent manufacturing processes is important in defining a stable film. The moisture is not part of stable films, and can later cause failure of dielectric material during device operation.
  • Accordingly, undesirable chemical bonds and compounds such as water are preferably removed from a deposited carbon containing film. More importantly, thermally unstable organic fragments of sacrificial materials (resulting from porogens used during CVD to increase porosity) need to be removed. It has been suggested to utilize ultraviolet radiation to aid in the post treatment of CVD silicon oxide films. For example, U.S. Pat. Nos. 6,566,278 and 6,614,181, both to Applied Materials, Inc. and incorporated herein in their entirety, describe use of UV light for post treatment of CVD carbon-doped silicon oxide films.
  • Therefore, there exists a need in the art for a UV curing chamber which can be used to effectively cure films deposited on substrates. A further need exists for a UV curing chamber that can increase throughput, consume a minimum of energy and be adapted for in situ cleaning processes of surfaces within the chamber itself.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention generally relate to an ultraviolet (UV) cure chamber for curing a dielectric material disposed on a substrate. In one embodiment, a tandem process chamber provides two separate and adjacent process regions defined by a body covered with a lid having bulb isolating windows aligned respectively above each process region. The bulb isolating windows are implemented with either one window per side of the tandem process chamber to isolate one or many bulbs from the substrate in one large common volume, or with each bulb of an array of bulbs enclosed in its own UV transparent envelope which is then in direct contact with the substrate treating environment. One or more UV bulbs per process region are covered by housings coupled to the lid and emit UV light that is directed through the windows onto substrates located within the process regions.
  • The UV bulbs can be an array of light emitting diodes or bulbs utilizing any of the state of the art UV illumination sources including but not limited to microwave arcs, radio frequency filament (capacitively coupled plasma) and inductively coupled plasma (ICP) lamps. Additionally, the UV light can be pulsed during a cure process. Various concepts for enhancing uniformity of substrate illumination include use of lamp arrays which can also be used to vary wavelength distribution of incident light, relative motion of the substrate and lamp head including rotation and periodic translation (sweeping), and real-time modification of lamp reflector shape and/or position.
  • Residues formed during the curing process are organic/organosilicon and are removed using an oxygen radical and ozone based clean. Production of the necessary oxygen radicals can be done remotely with the oxygen radicals transported to the curing chamber, generated in-situ or accomplished by running these two schemes simultaneously. Since the oxygen radicals generated remotely recombine very rapidly back into molecular oxygen (O2), the key to remote oxygen based clean is to generate ozone remotely and to transfer this ozone into the curing chamber where the ozone is then allowed to dissociate into oxygen radicals and oxygen molecules when it comes into contact with heated surfaces inside the curing chamber. Consequently, the ozone is essentially a vehicle for transporting oxygen radicals into the curing chamber. In a secondary benefit of the remote ozone clean, ozone that does not dissociate in the cure chamber can also attack certain organic residues thereby enhancing the oxygen radical clean. Methods of generating the ozone remotely can be accomplished using any existing ozone generation technology including, but not limited to dielectric barrier/corona discharge (e.g., Applied Materials Ozonator) or UV-activated reactors. According to one embodiment, the UV bulbs used for curing the dielectric material and/or additional UV bulb(s) that can be remotely located are used to generate the ozone.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a plan view of a semiconductor processing system in which embodiments of the invention may be incorporated.
  • FIG. 2 is a view of a tandem process chamber of the semiconductor processing system that is configured for UV curing.
  • FIG. 3 is a partial section view of the tandem process chamber that has a lid assembly with two UV bulbs disposed respectively above two process regions.
  • FIG. 4 is a partial section view of a lid assembly with a UV bulb having a long axis oriented vertically above a process region.
  • FIG. 5 is a partial view of a bottom surface of a lid assembly that utilizes an array of UV lamps.
  • FIG. 6 is a schematic of a process chamber with a first array of UV lamps selected for curing and a second array of UV lamps selected for activating a cleaning gas.
  • FIG. 7 is an isomeric view of a lid assembly for disposal on a tandem process chamber with exemplary arrays of UV lamps arranged to provide UV light to two process regions of the chamber.
  • DETAILED DESCRIPTION
  • FIG. 1 shows a plan view of a semiconductor processing system 100 in which embodiments of the invention may be incorporated. The system 100 illustrates one embodiment of a Producer™ processing system, commercially available from Applied Materials, Inc., of Santa Clara, Calif. The processing system 100 is a self-contained system having the necessary processing utilities supported on a mainframe structure 101. The processing system 100 generally includes a front end staging area 102 where substrate cassettes 109 are supported and substrates are loaded into and unloaded from a loadlock chamber 112, a transfer chamber 111 housing a substrate handler 113, a series of tandem process chambers 106 mounted on the transfer chamber 111 and a back end 138 which houses the support utilities needed for operation of the system 100, such as a gas panel 103, and a power distribution panel 105.
  • Each of the tandem process chambers 106 includes two processing regions for processing the substrates (see, FIG. 3). The two processing regions share a common supply of gases, common pressure control and common process gas exhaust/pumping system. Modular design of the system enables rapid conversion from any one configuration to any other. The arrangement and combination of chambers may be altered for purposes of performing specific process steps. Any of the tandem process chambers 106 can include a lid according to aspects of the invention as described below that includes one or more ultraviolet (UV) lamps for use in a cure process of a low K material on the substrate and/or in a chamber clean process. In one embodiment, all three of the tandem process chambers 106 have UV lamps and are configured as UV curing chambers to run in parallel for maximum throughput.
  • In an alternative embodiment where not all of the tandem process chambers 106 are configured as UV curing chambers, the system 100 can be adapted with one or more of the tandem process chambers having supporting chamber hardware as is known to accommodate various other known processes such as chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, and the like. For example, the system 100 can be configured with one of the tandem process chambers 106 as a CVD chamber for depositing materials, such as a low dielectric constant (K) film, on the substrates. Such a configuration can maximize research and development fabrication utilization and, if desired, eliminate exposure of as-deposited films to atmosphere.
  • FIG. 2 illustrates one of the tandem process chambers 106 of the semiconductor processing system 100 that is configured for UV curing. The tandem process chamber 106 includes a body 200 and a lid 202 that can be hinged to the body 200. Coupled to the lid 200 are two housings 204 that are each coupled to inlets 206 along with outlets 208 for passing cooling air through an interior of the housings 204. The cooling air can be at room temperature or approximately twenty-two degrees Celsius. A central pressurized air source 210 provides a sufficient flow rate of air to the inlets 206 to insure proper operation of any UV lamp bulbs and/or power sources 214 for the bulbs associated with the tandem process chamber 106. The outlets 208 receive exhaust air from the housings 204, which is collected by a common exhaust system 212 that can include a scrubber to remove ozone potentially generated by the UV bulbs depending on bulb selection. Ozone management issues can be avoided by cooling the lamps with oxygen-free cooling gas (e.g., nitrogen, argon or helium).
  • FIG. 3 shows a partial section view of the tandem process chamber 106 with the lid 202, the housings 204 and the power sources 214. Each of the housings 204 cover a respective one of two UV lamp bulbs 302 disposed respectively above two process regions 300 defined within the body 200. Each of the process regions 300 includes a heating pedestal 306 for supporting a substrate 308 within the process regions 300. The pedestals 306 can be made from ceramic or metal such as aluminum. Preferably, the pedestals 306 couple to stems 310 that extend through a bottom of the body 200 and are operated by drive systems 312 to move the pedestals 306 in the processing regions 300 toward and away from the UV lamp bulbs 302. The drive systems 312 can also rotate and/or translate the pedestals 306 during curing to further enhance uniformity of substrate illumination. Adjustable positioning of the pedestals 306 enables control of volatile cure by-product and purge and clean gas flow patterns and residence times in addition to potential fine tuning of incident UV irradiance levels on the substrate 308 depending on the nature of the light delivery system design considerations such as focal length.
  • In general, embodiments of the invention contemplate any UV source such as mercury microwave arc lamps, pulsed xenon flash lamps or high-efficiency UV light emitting diode arrays. The UV lamp bulbs 302 are sealed plasma bulbs filled with one or more gases such as xenon (Xe) or mercury (Hg) for excitation by the power sources 214. Preferably, the power sources 214 are microwave generators that can include one or more magnetrons (not shown) and one or more transformers (not shown) to energize filaments of the magnetrons. In one embodiment having kilowatt microwave (MW) power sources, each of the housings 204 includes an aperture 215 adjacent the power sources 214 to receive up to about 6000 W of microwave power from the power sources 214 to subsequently generate up to about 100 W of UV light from each of the bulbs 302. In another embodiment, the UV lamp bulbs 302 can include an electrode or filament therein such that the power sources 214 represent circuitry and/or current supplies, such as direct current (DC) or pulsed DC, to the electrode.
  • The power sources 214 for some embodiments can include radio frequency (RF) energy sources that are capable of excitation of the gases within the UV lamp bulbs 302. The configuration of the RF excitation in the bulb can be capacitive or inductive. An inductively coupled plasma (ICP) bulb can be used to efficiently increase bulb brilliancy by generation of denser plasma than with the capacitively coupled discharge. In addition, the ICP lamp eliminates degradation in UV output due to electrode degradation resulting in a longer-life bulb for enhanced system productivity. Benefits of the power sources 214 being RF energy sources include an increase in efficiency.
  • Preferably, the bulbs 302 emit light across a broad band of wavelengths from 170 nm to 400 nm. The gases selected for use within the bulbs 302 can determine the wavelengths emitted. Since shorter wavelengths tend to generate ozone when oxygen is present, UV light emitted by the bulbs 302 can be tuned to predominantly generate broadband UV light above 200 nm to avoid ozone generation during cure processes.
  • UV light emitted from the UV lamp bulbs 302 enters the processing regions 300 by passing through windows 314 disposed in apertures in the lid 202. The windows 314 preferably are made of an OH free synthetic quartz glass and have sufficient thickness to maintain vacuum without cracking. Further, the windows 314 are preferably fused silica that transmits UV light down to approximately 150 nm. Since the lid 202 seals to the body 200 and the windows 314 are sealed to the lid 202, the processing regions 300 provide volumes capable of maintaining pressures from approximately 1 Torr to approximately 650 Torr. Processing or cleaning gases enter the process regions 300 via a respective one of two inlet passages 316. The processing or cleaning gases then exit the process regions 300 via a common outlet port 318. Additionally, the cooling air supplied to the interior of the housings 204 circulates past the bulbs 302, but is isolated from the process regions 300 by the windows 314.
  • In one embodiment, each of the housings 204 include an interior parabolic surface defined by a cast quartz lining 304 coated with a dichroic film. The quartz linings 304 reflect UV light emitted from the UV lamp bulbs 302 and are shaped to suit both the cure processes as well as the chamber clean processes based on the pattern of UV light directed by the quartz linings 304 into the process regions 300. For some embodiments, the quartz linings 304 adjust to better suit each process or task by moving and changing the shape of the interior parabolic surface. Additionally, the quartz linings 304 preferably transmit infrared light and reflect ultraviolet light emitted by the bulbs 302 due to the dichroic film. The dichroic film usually constitutes a periodic multilayer film composed of diverse dielectric materials having alternating high and low refractive index. Since the coating is non-metallic, microwave radiation from the power sources 214 that is downwardly incident on the backside of the cast quartz linings 304 does not significantly interact with, or get absorbed by, the modulated layers and is readily transmitted for ionizing the gas in the bulbs 302.
  • In another embodiment, rotating or otherwise periodically moving the quartz linings 304 during curing and/or cleaning enhances the uniformity of illumination in the substrate plane. In yet another embodiment, the entire housings 204 rotate or translate periodically over the substrates 308 while the quartz linings 304 are stationary with respect to the bulbs 302. In still another embodiment, rotation or periodic translation of the substrates 308 via the pedestals 306 provides the relative motion between the substrates 308 and the bulbs 302 to enhance illumination and curing uniformity.
  • For cure processes for carbon containing films, the pedestals 306 are heated to between 350° C. and 500° C. at 1-10 Torr, preferably 400° C. The pressure within the processing regions 300 is preferably not lower than approximately 0.5 Torr in order to enhance heat transfer to the substrate from the pedestals 306. Substrate throughput increases by performing the cure processes at low pressure in order to accelerate porogen removal as evidenced by the fact that the rate of shrinkage of the deposited films increases as pressure decreases. Further, the stability of the resulting dielectric constant upon exposure to moisture in the ambient atmosphere of the fab improves when the cure process occurs at a lower pressure. For example, under the same conditions a cure process at 75 Torr created a film with a dielectric constant, κ, of 2.6 while a cure process at 3.5 Torr created a film with a κ of 2.41. After completion of a standard accelerated stability test, the dielectric constant of the film cured at 75 Torr increased to 2.73 while the κ of the film cured at 3.5 Torr increased approximately half as much to 2.47. Thus, the lower pressure cure produced a lower dielectric constant film with approximately half the sensitivity to ambient humidity.
  • EXAMPLE 1
  • A cure process for a carbon doped silicon oxide film includes introduction of fourteen standard liters per minute (slm) of helium (He) at eight Torr for the tandem chamber 106 (7 slm per side of the twin) via each inlet passage 316. For some embodiments, the cure processes use nitrogen (N2) or argon (Ar) instead or as mixtures with He since primary concern is absence of oxygen unless other components are desired for reactive UV surface treatments. The purge gas essentially performs two main functions of removing curing byproducts and promoting uniform heat transfer across the substrate. These non-reactive purge gases minimize residue build up on the surfaces within the processing regions 300.
  • Additionally, hydrogen can be added to beneficially remove some methyl groups from films on the substrates 300 and also scavenge oxygen which is released during curing and tends to remove too many methyl groups. The hydrogen can getter residual oxygen remaining in the chamber after the oxygen/ozone based clean and also oxygen out-gassed from the film during the cure. Either one of these sources of oxygen can potentially damage the curing film by photo-induced reactions of oxygen radicals formed by the short wavelength UV potentially used in the cure and/or by binding with methyl radicals to form volatile byproducts that can leave the final film poor in methyl, yielding poor dielectric constant stability and/or excessively high film stress. Care must be exercised in the amount of hydrogen introduced into the cure process since with a UV radiation wavelength less than approximately 275 nm the hydrogen can form hydrogen radicals that can attack carbon-carbon bonds in the film and also remove methyl groups in the form of CH4.
  • Some cure processes according to aspects of the invention utilize a pulsed UV unit which can use pulsed xenon flash lamps as the bulbs 302. While the substrates 308 are under vacuum within the processing regions 300 from approximately 10 milliTorr to approximately 700 Torr, the substrates 308 are exposed to pulses of UV light from the bulbs 302. The pulsed UV unit can tune an output frequency of the UV light for various applications.
  • For clean processes, the temperature of the pedestals 306 can be raised to between about 100° C. and about 600° C., preferably about 400° C. With the UV pressure in the processing regions 300 elevated by the introduction of the cleaning gas into the region through the inlet passages 316, this higher pressure facilitates heat transfer and enhances the cleaning operation. Additionally, ozone generated remotely using methods such as dielectric barrier/corona discharge or UV activation can be introduced into the processing regions 300. The ozone dissociates into O and O2 upon contact with the pedestals 306 that are heated. In the clean process, elemental oxygen reacts with hydrocarbons and carbon species that are present on the surfaces of the processing regions 300 to form carbon monoxide and carbon dioxide that can be pumped out or exhausted through the outlet port 318. Heating the pedestals 306 while controlling the pedestal spacing, clean gas flow rate, and pressure enhances the reaction rate between elemental oxygen and the contaminants. The resultant volatile reactants and contaminants are pumped out of the processing regions 300 to complete the clean process.
  • A cleaning gas such as oxygen can be exposed to UV radiation at selected wavelengths to generate ozone in-situ. The power sources 214 can be turned on to cause UV light emission from the bulbs 302 in the desired wavelengths, preferably about 184.9 nm and about 253.7 nm when the cleaning gas is oxygen, directly onto the surfaces to be cleaned and indirectly by focusing with the quartz linings 304. For example, UV radiation wavelengths of 184.9 nm and 253.7 nm optimizes cleaning using oxygen as the cleaning gas because oxygen absorbs the 184.9 nm wavelength and generates ozone and elemental oxygen, and the 253.7 nm wavelength is absorbed by the ozone, which devolves into both oxygen gas as well as elemental oxygen.
  • EXAMPLE 2
  • For one embodiment, a clean process includes introduction of 5 slm of ozone and oxygen (13 wt % ozone in oxygen) into the tandem chamber, split evenly within each processing region 300 to generate sufficient oxygen radicals to clean deposits from surfaces within the processing regions 300. The O3 molecules can also attack various organic residues. The remaining O2 molecules do not remove the hydrocarbon deposits on the surfaces within the processing regions 300. A sufficient cleaning can occur with a twenty minute clean process at 8 Torr after curing six pairs of substrates.
  • FIG. 4 illustrates a partial section view of a lid assembly 402 with a UV bulb having a long axis 403 oriented vertically above a process region 400. The shape of the reflector in this embodiment is different than in any of the other embodiments. In other words, the reflector geometry must be optimized to ensure maximum intensity and uniformity of illumination of the substrate plane for each lamp shape, orientation and combination of single or multiple lamps. Only one half of a tandem process chamber 406 is shown. Other than the orientation of the bulb 403, the tandem process chamber 406 shown in FIG. 4 is similar to the tandem process chamber 106 shown in FIGS. 2 and 3. Accordingly, the tandem process chamber 406 can incorporate any of the aspects discussed above.
  • FIG. 5 shows a partial view of a bottom surface 500 of a lid assembly that utilizes an array of UV lamps 502. The array of UV lamps 502 can be disposed within a housing above a tandem process chamber instead of single bulbs as depicted in the embodiments shown in FIGS. 2-4. While many individual bulbs are depicted, the array of UV lamps 502 can include as few as two bulbs powered by a single power source or separate power sources. For example, the array of UV lamps 502 in one embodiment includes a first bulb for emitting a first wavelength distribution and a second bulb for emitting a second wavelength distribution. The curing process can thus be controlled by defining various sequences of illumination with the various lamps within a given curing chamber in addition to adjustments in gas flows, composition, pressure and substrate temperature. In addition on a multi-curing chamber system, the curing process can be further refined by defining sequences of treatments in each of the tandem curing chambers each of which is controlled independently with respect to parameters such as lamp spectrum, substrate temperature, ambient gas composition and pressure for the specific portion of the cure for which each is used.
  • The array of UV lamps 502 can be designed to meet specific UV spectral distribution requirements to perform the cure process and the clean process by selecting and arranging one, two or more different types of individual bulbs within the array of UV lamps 502. For example, bulbs may be selected from low pressure Hg, medium pressure Hg and high pressure Hg. UV light from bulbs with a wavelength distribution particularly suited for cleaning can be directed to the entire process region while UV light from bulbs with a wavelength distribution particularly suited for curing can be directed specifically to the substrate. Additionally, bulbs within the array of UV lamps 502 directed specifically at the substrate may be selectively powered independently from other bulbs within the array of UV lamps 502 such that select bulbs are turned on for either the clean process or the cure process.
  • The array of UV lamps 502 can utilize highly efficient bulbs such as UV light emitting diodes. UV sources powered by microwave or pulsed sources have a conversion efficiency of five percent compared to low power bulbs, such as 10 W-100 W, that can be in the array of UV lamps 502 to provide a conversion efficiency of about twenty percent. With the microwave power source ninety five percent of the total energy is converted to heat that wastes energy and necessitates extra cooling requirements while only five percent of the energy is converted to UV emission. The low cooling requirement of the low power bulbs can allow the array of UV lamps 502 to be placed closer to the substrate (e.g., between one and six inches) to reduce reflected UV light and loss of energy.
  • Furthermore, the bottom surface 500 of the lid assembly can include a plurality of gas outlets 504 interleaved within the array of UV lamps 502. Accordingly, curing and cleaning gases can be introduced into a process region within a chamber from above (see, FIGS. 6 and 7).
  • FIG. 6 schematically illustrates a process chamber 600 with a first array of UV lamps 602 selected for curing and a second array of UV lamps 604 remotely located and selected for activating a cleaning gas. The first array of UV lamps 602 is divided into a first group of bulbs 601 having a first wavelength distribution and a second group of bulbs 603 having a second wavelength distribution. Both groups of bulbs 601, 603 within the first array of UV lamps 602 focus UV light (depicted by pattern 605) onto a substrate 606 during a cure process. Thereafter, the cleaning gas (depicted by arrows 608) is introduced through inlet 610 and subjected to UV radiation from the second array of UV lamps 604 to preferably generate ozone. Subsequently, ozone enters a process region 612 where oxygen free radicals caused by activation of the ozone clean the processing region 612 prior to being exhausted via outlet 614.
  • FIG. 7 shows an isomeric view of a lid assembly 702 for disposal on a tandem process chamber (not shown) with exemplary arrays of individually isolated UV lamps 762 arranged to provide UV light to two process regions of the chamber. Similar to the embodiment shown in FIGS. 2 and 3, the lid assembly 702 includes a housing 704 coupled to an inlet (not visible) along with a corresponding outlet 208 oppositely located on the housing 704 for passing cooling air across UV lamp bulbs 732 covered by the housing 704. In this embodiment with the arrays of individually isolated UV lamps 762, the cooling air is directed into and passes through an annulus defined between each bulb 732 and a window or UV transmitting protective tube surrounding each bulb 732 individually. An interior roof 706 of the housing 704 can provide a reflector for directing the UV light to a substrate and a blocker to facilitate diffusion of gases supplied into a top of the housing by gas inlet 716.
  • Any of the embodiments described herein can be combined or modified to incorporate aspects of the other embodiments. While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (23)

1. An ultraviolet (UV) curing chamber for curing dielectric materials disposed on substrates, comprising:
a body defining first and second process regions that are separate and adjacent to one another;
a lid coupled to a top of the body to cover the first and second process regions, wherein the lid includes first and second quartz windows aligned respectively above the first and second process regions; and
first and second UV bulbs disposed respectively above the first and second windows.
2. The UV curing chamber of claim 1, wherein gas inlets into the first and second process regions are adapted to supply ozone to the process regions for a cleaning process.
3. The UV curing chamber of claim 1, further comprising first and second housings coupled to the lid and respectively covering the first and second UV bulbs and a central air source in fluid communication with an interior of the first housing and an interior of the second housing to cool the UV bulbs disposed therein.
4. The UV curing chamber of claim 3, further comprising a common exhaust system in fluid communication with the interior of the first housing and the interior of the second housing to collect heated air therein and remove ozone from the air.
5. The UV curing chamber of claim 3, wherein the first and second housings have respective first and second quartz linings coated with dichroic films.
6. The UV curing chamber of claim 3, wherein the first and second housings have respective first and second reflectors that are movable to adjust patterns of UV light directed by the reflectors into the process regions.
7. The UV curing chamber of claim 1, further comprising first and second heated and movable pedestals disposed respectively within the first and second process regions for supporting the substrates.
8. The UV curing chamber of claim 1, further comprising at least one power source for activation of the bulbs, each power source being at least one microwave generator.
9. The UV curing chamber of claim 1, further comprising at least one power source for activation of the bulbs, each power source being at least one radio frequency generator.
10. The UV curing chamber of claim 1, further comprising a power source configured to pulse the bulbs for curing substrates with pulses of UV light.
11. The UV curing chamber of claim 1, wherein the UV bulbs have long axes oriented vertically with respect to first and second substrates located respectively in the first and second process regions.
12. An ultraviolet (UV) curing chamber for curing a dielectric material disposed on a substrate, comprising:
a body defining a process region where the substrate is supported;
a lid coupled to a top of the body to cover and seal a top of the process region;
first and second UV bulbs disposed above the process region; and
a housing coupled to the lid and covering the first and second UV bulbs.
13. The UV curing chamber of claim 12, further comprising first and second windows individually surrounding the first and second UV bulbs, respectively, for passing a cooling gas through annular spaces defined between the bulbs and the windows.
14. The UV curing chamber of claim 12, wherein the body defines a corresponding and analogous additional process region separate from and adjacent to the process region.
15. The UV curing chamber of claim 12, wherein the first UV bulb emits UV light of a first wavelength distribution different from a second wavelength distribution emitted by the second UV bulb.
16. The UV curing chamber of claim 12, wherein the first UV bulb is capable of being turned on independently of the second UV bulb.
17. The UV curing chamber of claim 12, wherein the first and second UV bulbs are part of an array of light emitting diodes.
18. The UV curing chamber of claim 12, wherein the first and second UV bulbs are part of an array of light emitting diodes and the lid includes gas outlets interleaved among the array of light emitting diodes.
19-22. (canceled)
23. A semiconductor processing system, comprising:
a transfer chamber;
a series of tandem process chambers mounted on the transfer chamber, wherein the tandem process chambers comprise an ultraviolet (UV) curing chamber for curing dielectric materials disposed on substrates, comprising:
a body defining first and second process regions that are separate and adjacent to one another;
a lid coupled to a top of the body to cover the first and second process regions, wherein the lid includes first and second quartz windows aligned respectively above the first and second process regions; and
first and second UV bulbs disposed respectively above the first and second windows.
24. The semiconductor processing system of claim 23, wherein the first and second UV bulbs are part of an array of light emitting diodes and the lid includes gas outlets interleaved among the array of light emitting diodes.
25. The semiconductor processing system of claim 23, wherein each of the tandem process chambers comprises an ultraviolet (UV) curing chamber for curing dielectric materials disposed on substrates, comprising:
a body defining first and second process regions that are separate and adjacent to one another;
a lid coupled to a top of the body to cover the first and second process regions, wherein the lid includes first and second quartz windows aligned respectively above the first and second process regions; and
first and second UV bulbs disposed respectively above the first and second windows.
26. The semiconductor processing system of claim 23, wherein the tandem process chambers further comprise a chemical vapor deposition chamber.
US11/124,908 2005-05-09 2005-05-09 Tandem uv chamber for curing dielectric materials Abandoned US20060251827A1 (en)

Priority Applications (11)

Application Number Priority Date Filing Date Title
US11/124,908 US20060251827A1 (en) 2005-05-09 2005-05-09 Tandem uv chamber for curing dielectric materials
US11/230,975 US20060249175A1 (en) 2005-05-09 2005-09-20 High efficiency UV curing system
KR1020107003394A KR101168821B1 (en) 2005-05-09 2006-04-18 High efficiency uv cleaning of a process chamber
PCT/US2006/014671 WO2006121585A1 (en) 2005-05-09 2006-04-18 High efficiency uv cleaning of a process chamber
CN2009102610862A CN101736316B (en) 2005-05-09 2006-04-18 High efficiency UV cleaning of a process chamber
CN2006800147993A CN101171367B (en) 2005-05-09 2006-04-18 High efficiency UV cleaning of a process chamber
KR1020077024761A KR101018965B1 (en) 2005-05-09 2006-04-18 High efficiency uv cleaning of a process chamber
US11/424,368 US7663121B2 (en) 2005-05-09 2006-06-15 High efficiency UV curing system
US11/686,881 US7777198B2 (en) 2005-05-09 2007-03-15 Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US12/393,851 US20090162259A1 (en) 2005-05-09 2009-02-26 High efficiency uv curing system
US12/841,935 US8203126B2 (en) 2005-05-09 2010-07-22 Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/124,908 US20060251827A1 (en) 2005-05-09 2005-05-09 Tandem uv chamber for curing dielectric materials

Related Child Applications (4)

Application Number Title Priority Date Filing Date
US11/230,975 Continuation US20060249175A1 (en) 2005-05-09 2005-09-20 High efficiency UV curing system
US11/424,368 Continuation US7663121B2 (en) 2005-05-09 2006-06-15 High efficiency UV curing system
US11/686,881 Continuation-In-Part US7777198B2 (en) 2005-05-09 2007-03-15 Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US12/393,851 Continuation US20090162259A1 (en) 2005-05-09 2009-02-26 High efficiency uv curing system

Publications (1)

Publication Number Publication Date
US20060251827A1 true US20060251827A1 (en) 2006-11-09

Family

ID=37392958

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/124,908 Abandoned US20060251827A1 (en) 2005-05-09 2005-05-09 Tandem uv chamber for curing dielectric materials
US11/424,368 Active 2025-09-15 US7663121B2 (en) 2005-05-09 2006-06-15 High efficiency UV curing system
US12/393,851 Abandoned US20090162259A1 (en) 2005-05-09 2009-02-26 High efficiency uv curing system

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/424,368 Active 2025-09-15 US7663121B2 (en) 2005-05-09 2006-06-15 High efficiency UV curing system
US12/393,851 Abandoned US20090162259A1 (en) 2005-05-09 2009-02-26 High efficiency uv curing system

Country Status (2)

Country Link
US (3) US20060251827A1 (en)
CN (1) CN101171367B (en)

Cited By (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007001364A2 (en) * 2005-06-16 2007-01-04 North South Technologies Llc Semi-spherical curing/heating lamp head apparatus and modular positioning system
US20070228618A1 (en) * 2006-03-17 2007-10-04 Applied Materials, Inc. Apparatus and method for exposing a substrate to uv radiation using a reflector having both elliptical and parabolic reflective sections
US20070257205A1 (en) * 2006-03-17 2007-11-08 Applied Materials, Inc. Apparatus and method for treating a substrate with uv radiation using primary and secondary reflectors
US20070286963A1 (en) * 2005-05-09 2007-12-13 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of uv radiation
US20070298362A1 (en) * 2006-06-26 2007-12-27 Applied Materials, Inc. Increased tool utilization/reduction in mwbc for uv curing chamber
US20080042077A1 (en) * 2004-05-06 2008-02-21 Schmitt Francimar C Process and apparatus for post deposition treatment of low dielectric materials
US20080063809A1 (en) * 2006-09-08 2008-03-13 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080067425A1 (en) * 2006-03-17 2008-03-20 Applied Materials, Inc. Apparatus and method for exposing a substrate to uv radiation using asymmetric reflectors
US20080067416A1 (en) * 2006-05-01 2008-03-20 Applied Materials, Inc. UV assisted thermal processing
EP1995766A2 (en) 2007-05-20 2008-11-26 Applied Materials, Inc. Controlled annealing method
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090155487A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Ultraviolet uv photo processing or curing of thin films with surface treatment
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US20090227119A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090261276A1 (en) * 2008-04-22 2009-10-22 Applied Materials, Inc. Method and apparatus for excimer curing
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US7663121B2 (en) 2005-05-09 2010-02-16 Applied Materials, Inc. High efficiency UV curing system
US20100055347A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100065759A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment module using scanning ir radiation source
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US20100096564A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20110031214A1 (en) * 2009-08-06 2011-02-10 Jisoo Kim Vacuum processing chambers incorporating a moveable flow equalizer
US20110100489A1 (en) * 2009-11-04 2011-05-05 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US7951730B2 (en) 2006-06-29 2011-05-31 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20110126985A1 (en) * 2009-12-02 2011-06-02 Tokyo Electron Limited Substrate processing apparatus
US20110139074A1 (en) * 2009-12-10 2011-06-16 Tokyo Electron Limited Film deposition apparatus
US20110143297A1 (en) * 2011-01-28 2011-06-16 Poole Ventura, Inc. Thermal Diffusion Chamber
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20110214611A1 (en) * 2008-11-14 2011-09-08 Tokyo Electron Limited Film deposition apparatus
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US20130062535A1 (en) * 2010-05-31 2013-03-14 Megagen Implant Co. Ltd. Surface-processing device for a dental implant
US20130319543A1 (en) * 2012-05-29 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical Chamber Configuration
WO2014003995A1 (en) * 2012-06-25 2014-01-03 Applied Materials, Inc. Enhancement in uv curing efficiency using oxygen-doped purge for ultra low-k dielectric film
US8657961B2 (en) * 2012-04-25 2014-02-25 Applied Materials, Inc. Method for UV based silylation chamber clean
US8872138B2 (en) 2013-02-20 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at UV curing chamber
US8877659B2 (en) 2012-07-02 2014-11-04 Applied Materials, Inc. Low-k dielectric damage repair by vapor-phase chemical exposure
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US8950470B2 (en) 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US8993444B2 (en) 2012-07-13 2015-03-31 Applied Materials, Inc. Method to reduce dielectric constant of a porous low-k film
US20150197846A1 (en) * 2014-01-16 2015-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and Methods for Uniform Gas Flow in a Deposition Chamber
US9093265B2 (en) * 2013-10-15 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. High UV curing efficiency for low-k dielectrics
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US20160289838A1 (en) * 2011-04-08 2016-10-06 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10180248B2 (en) 2015-09-02 2019-01-15 ProPhotonix Limited LED lamp with sensing capabilities
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US20190351449A1 (en) * 2018-05-18 2019-11-21 Samsung Electronic Co., Ltd. Method for fabricating semiconductor chip by using multi-curing apparatus and multi-curing apparatus
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN112151364A (en) * 2020-09-27 2020-12-29 北京北方华创微电子装备有限公司 Semiconductor reaction chamber
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN112703588A (en) * 2018-09-24 2021-04-23 应用材料公司 Atomic oxygen and ozone apparatus for cleaning and surface treatment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20210324514A1 (en) * 2020-04-20 2021-10-21 Applied Materials, Inc. Multi-thermal cvd chambers with shared gas delivery and exhaust system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
JP5214153B2 (en) 2007-02-09 2013-06-19 大日本スクリーン製造株式会社 Heat treatment equipment
US7501292B2 (en) * 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
US8029637B2 (en) * 2007-11-29 2011-10-04 Hitachi Global Technologies Netherlands, B.V. System, method and apparatus for ultraviolet curing of adhesives with light beam shaping in disk drive manufacturing
US20100018548A1 (en) 2008-07-23 2010-01-28 Applied Materials, Inc. Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
JP5572623B2 (en) * 2008-06-27 2014-08-13 アプライド マテリアルズ インコーポレイテッド Method for cleaning a substrate processing chamber
CN102159330B (en) * 2008-09-16 2014-11-12 东京毅力科创株式会社 Dielectric material treatment system and method of operating
US8399869B2 (en) * 2008-12-11 2013-03-19 Osram Gesellschaft Mit Beschraenkter Haftung UV luminaire having a plurality of UV lamps, particularly for technical product processing
DE102009033319B4 (en) * 2009-07-15 2019-02-21 Carl Zeiss Microscopy Gmbh Particle beam microscopy system and method of operating the same
CN103038572B (en) 2010-07-16 2016-09-14 诺信公司 For producing lamp system and the method for ultraviolet light
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
WO2012074816A2 (en) * 2010-11-30 2012-06-07 Applied Materials, Inc. Method and apparatus for modulating wafer treatment profile in uv chamber
US9449825B2 (en) * 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
TWI494174B (en) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd Equipment for surface treatment of substrate
US9573111B1 (en) 2012-07-09 2017-02-21 Kla-Tencor Corporation High purity ozone generator for optics cleaning and recovery
US9442008B2 (en) 2013-05-06 2016-09-13 Phoseon Technology, Inc. Method and system for determining curing tube clarity
US9442007B2 (en) 2013-05-06 2016-09-13 Phoseon Technology, Inc. Method and system for monitoring ultraviolet light for a fiber cure system
US9028765B2 (en) * 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9318364B2 (en) 2014-01-13 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device metallization systems and methods
US20150211114A1 (en) * 2014-01-30 2015-07-30 Applied Materials, Inc. Bottom pump and purge and bottom ozone clean hardware to reduce fall-on particle defects
US10460949B2 (en) * 2014-10-20 2019-10-29 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10840114B1 (en) * 2016-07-26 2020-11-17 Raytheon Company Rapid thermal anneal apparatus and method
WO2018052477A2 (en) 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
KR20190043179A (en) 2016-09-16 2019-04-25 어플라이드 머티어리얼스, 인코포레이티드 UV radiation system and method for arsenic gas emission control in CMOS manufacturing less than 7NM
JP6770428B2 (en) * 2016-12-28 2020-10-14 株式会社Screenホールディングス Static eliminator and static eliminator
IL269957B (en) * 2017-04-18 2022-08-01 Breakthrough Tech Llc Sulfur production
CN107738518A (en) * 2017-10-27 2018-02-27 南京邮电大学 Lamp box with ultra-violet curing and UV ozone cleaning function
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing

Citations (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US663792A (en) * 1899-10-16 1900-12-11 Edward H Skinner Cherry-pitter.
US4411931A (en) * 1982-09-29 1983-10-25 Armstrong World Industries, Inc. Multiple step UV curing process for providing accurately controlled surface texture
US5228206A (en) * 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5422488A (en) * 1992-09-18 1995-06-06 Heraeus Holding Gmbh Reactor apparatus
US5722761A (en) * 1993-12-01 1998-03-03 Nordson Corporation Lamp assembly with filter producing variable proportions of ultraviolet and infrared radiation
US5885751A (en) * 1996-11-08 1999-03-23 Applied Materials, Inc. Method and apparatus for depositing deep UV photoresist films
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6013330A (en) * 1997-02-27 2000-01-11 Acushnet Company Process of forming a print
US6098837A (en) * 1998-03-24 2000-08-08 Japan Cash Machine Co., Ltd. Note hopper/dispenser
US6178973B1 (en) * 1998-07-28 2001-01-30 International Business Machines Corporation Method and apparatus for ozone generation and surface treatment
US6224934B1 (en) * 1999-06-07 2001-05-01 Tokyo Electron Limited Ozone-processing apparatus for semiconductor process system
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6238844B1 (en) * 1998-03-11 2001-05-29 Applied Materials, Inc. Process for depositing a plasma polymerized organosilicon photoresist film
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6264802B1 (en) * 1997-06-23 2001-07-24 Alexandr Semenovich Kamrukov Method and device for UV treatment of liquids, air and surfaces
US6285830B1 (en) * 1998-08-21 2001-09-04 Dbk Espana, S.A. Evaporated device for the evaporation of volatile products with variable evaporation intensity by interposition of a mobile brushing
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US6323601B1 (en) * 2000-09-11 2001-11-27 Nordson Corporation Reflector for an ultraviolet lamp system
US6331480B1 (en) * 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
US6380270B1 (en) * 2000-09-26 2002-04-30 Honeywell International Inc. Photogenerated nanoporous materials
US6406836B1 (en) * 1999-03-22 2002-06-18 Axcelis Technologies, Inc. Method of stripping photoresist using re-coating material
US20020073922A1 (en) * 1996-11-13 2002-06-20 Jonathan Frankel Chamber liner for high temperature processing chamber
US20020106500A1 (en) * 2000-03-20 2002-08-08 Ralph Albano Plasma curing process for porous low-k materials
US6458430B1 (en) * 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6503693B1 (en) * 1999-12-02 2003-01-07 Axcelis Technologies, Inc. UV assisted chemical modification of photoresist
US20030020027A1 (en) * 2001-07-25 2003-01-30 Nordson Corporation Apparatus for infrared reduction in ultraviolet radiation generators
US6524936B2 (en) * 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20030037802A1 (en) * 2001-07-23 2003-02-27 Hitachi, Ltd. Semiconductor treating apparatus and cleaning method of the same
US6528891B2 (en) * 1998-12-17 2003-03-04 Charles Wen Chyang Lin Bumpless flip chip assembly with solder via
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6559460B1 (en) * 2000-10-31 2003-05-06 Nordson Corporation Ultraviolet lamp system and methods
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US20030111438A1 (en) * 2001-12-18 2003-06-19 Mukai Kevin M. Process operation supplementation with oxygen
US6585908B2 (en) * 2001-07-13 2003-07-01 Axcelis Technologies, Inc. Shallow angle interference process and apparatus for determining real-time etching rate
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6593699B2 (en) * 2001-11-07 2003-07-15 Axcelis Technologies, Inc. Method for molding a polymer surface that reduces particle generation and surface adhesion forces while maintaining a high heat transfer coefficient
US6597003B2 (en) * 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US6605484B2 (en) * 2001-11-30 2003-08-12 Axcelis Technologies, Inc. Process for optically erasing charge buildup during fabrication of an integrated circuit
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6623133B1 (en) * 2000-10-31 2003-09-23 Nordson Corporation Ultraviolet lamp retainer
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20030205553A1 (en) * 2000-04-24 2003-11-06 Miwako Nakahara Process for treating solid surface and substrate surface
US6657205B1 (en) * 2002-07-17 2003-12-02 Vast Light Ltd. Turbine-boosted ultraviolet-radiation sterilizing fluid processor
US6664737B1 (en) * 2002-06-21 2003-12-16 Axcelis Technologies, Inc. Dielectric barrier discharge apparatus and process for treating a substrate
US20040021428A1 (en) * 2002-04-08 2004-02-05 Nordson Corporation Lamp control system
US6696801B2 (en) * 2000-04-07 2004-02-24 Nordson Corporation Microwave excited ultraviolet lamp system with improved lamp cooling
US6709807B2 (en) * 1999-12-02 2004-03-23 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US20040065852A1 (en) * 2002-10-03 2004-04-08 Nordson Corporation Apparatus and method for treating products with ultraviolet light
US6732451B2 (en) * 2001-04-11 2004-05-11 Intermec Ip Corp. UV curing module for label printer
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
US20040096593A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
US20040113089A1 (en) * 2002-12-16 2004-06-17 Axcelis Technologies, Inc. Apparatus and process for measuring light intensities
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20040155591A1 (en) * 2003-01-31 2004-08-12 Nordson Corporation Microwave excited ultraviolet lamp system with single electrical interconnection
US6786179B2 (en) * 2000-08-31 2004-09-07 Ver Hage Entpr Inc Removable cap assembly
US20040175957A1 (en) * 2003-03-04 2004-09-09 Lukas Aaron Scott Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040183481A1 (en) * 2003-02-27 2004-09-23 Nordson Corporation Microwave powered lamphead having external shutter
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US6831419B1 (en) * 2003-06-02 2004-12-14 Nordson Corporation Exhaust system for a microwave excited ultraviolet lamp
US20050040341A1 (en) * 2003-08-18 2005-02-24 Nordson Corporation UV lamp retainer system
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983039A (en) 1975-03-03 1976-09-28 Fusion Systems Corporation Non-symmetrical reflector for ultraviolet curing
US4135098A (en) 1976-11-05 1979-01-16 Union Carbide Corporation Method and apparatus for curing coating materials
NL8402124A (en) 1984-07-04 1986-02-03 Philips Nv DEVICE FOR EXPOSING A UV-CURING LAYER ON A WIRE-BODY.
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
DE4010190A1 (en) * 1990-03-30 1991-10-02 Asea Brown Boveri RADIATION DEVICE
JPH07268612A (en) 1994-03-29 1995-10-17 Sumitomo Electric Ind Ltd Formation of oxide thin film
US5440137A (en) 1994-09-06 1995-08-08 Fusion Systems Corporation Screw mechanism for radiation-curing lamp having an adjustable irradiation area
US5705232A (en) 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
GB2349684B (en) 1996-08-02 2001-01-17 Nordson Corp Lamp assembly
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6201219B1 (en) 1998-02-25 2001-03-13 Micron Technology, Inc. Chamber and cleaning process therefor
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6265830B1 (en) 1999-03-19 2001-07-24 Nordson Corporation Apparatus and method for supplying a regulated current to a magnetron filament
KR100613674B1 (en) * 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 Method and apparatus for processing wafer
US6631726B1 (en) * 1999-08-05 2003-10-14 Hitachi Electronics Engineering Co., Ltd. Apparatus and method for processing a substrate
US7126687B2 (en) 1999-08-09 2006-10-24 The United States Of America As Represented By The Secretary Of The Army Method and instrumentation for determining absorption and morphology of individual airborne particles
GB2360084B (en) 2000-03-08 2004-04-21 Nordson Corp Lamp assembly
WO2002075801A2 (en) 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US7018638B2 (en) * 2000-12-19 2006-03-28 Wyeth Mycoplasma hyopneumoniae bacterin vaccine
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
GB2407371B (en) 2001-02-27 2005-09-07 Nordson Corp Lamp assembly
JP5079949B2 (en) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 Processing apparatus and processing method
US20030015223A1 (en) 2001-07-17 2003-01-23 American Air Liquide, Inc. Methods of cleaning containers using ozone compositions
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
DE10204994B4 (en) 2002-02-05 2006-11-09 Xtreme Technologies Gmbh Arrangement for monitoring the energy emission of an EUV radiation source
DE10241330A1 (en) 2002-02-20 2003-09-04 Geesthacht Gkss Forschung X-ray reflector for extreme ultraviolet lithography exposure system for semiconductor manufacture, comprises multilayer structure with alternating layers of lanthanum and boron compounds
US6897285B2 (en) * 2002-02-28 2005-05-24 New England Biolabs, Inc. Modified chitin binding domain and uses thereof
CN1449872B (en) * 2003-04-10 2010-05-12 上海复旦辰光科技有限公司 Method and device for cleaning material surface by microwave
WO2004109285A1 (en) 2003-06-03 2004-12-16 Bayer Healthcare Llc Native analyte as reference in lateral flow assays
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
US7119904B2 (en) 2004-01-13 2006-10-10 Thermo Electron Scientific Instruments Corporation Stabilized infrared source for infrared spectrometers
US7164144B2 (en) 2004-03-10 2007-01-16 Cymer Inc. EUV light source
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
JP3972126B2 (en) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 Ultraviolet generation source, ultraviolet irradiation processing apparatus and semiconductor manufacturing apparatus
US7709814B2 (en) 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7077547B2 (en) 2004-07-29 2006-07-18 Nordson Corporation Shuttered lamp assembly and method of cooling the lamp assembly
US7081638B1 (en) * 2004-10-25 2006-07-25 Advanced Micro Devices, Inc. System and method to improve uniformity of ultraviolet energy application and method for making the same
JP2006134974A (en) 2004-11-04 2006-05-25 Canon Inc Exposure device, judgment method and device manufacturing method
US20060165904A1 (en) * 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
ATE537550T1 (en) 2005-07-08 2011-12-15 Nexgen Semi Holding Inc DEVICE AND METHOD FOR THE CONTROLLED PRODUCTION OF SEMICONDUCTORS USING PARTICLE BEAMS
KR20080027009A (en) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus and method for depositing laminated films using the same

Patent Citations (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US663792A (en) * 1899-10-16 1900-12-11 Edward H Skinner Cherry-pitter.
US4411931A (en) * 1982-09-29 1983-10-25 Armstrong World Industries, Inc. Multiple step UV curing process for providing accurately controlled surface texture
US5228206A (en) * 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5422488A (en) * 1992-09-18 1995-06-06 Heraeus Holding Gmbh Reactor apparatus
US5722761A (en) * 1993-12-01 1998-03-03 Nordson Corporation Lamp assembly with filter producing variable proportions of ultraviolet and infrared radiation
US5885751A (en) * 1996-11-08 1999-03-23 Applied Materials, Inc. Method and apparatus for depositing deep UV photoresist films
US20020073922A1 (en) * 1996-11-13 2002-06-20 Jonathan Frankel Chamber liner for high temperature processing chamber
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6013330A (en) * 1997-02-27 2000-01-11 Acushnet Company Process of forming a print
US6264802B1 (en) * 1997-06-23 2001-07-24 Alexandr Semenovich Kamrukov Method and device for UV treatment of liquids, air and surfaces
US6589715B2 (en) * 1998-03-11 2003-07-08 France Telecom Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6238844B1 (en) * 1998-03-11 2001-05-29 Applied Materials, Inc. Process for depositing a plasma polymerized organosilicon photoresist film
US6098837A (en) * 1998-03-24 2000-08-08 Japan Cash Machine Co., Ltd. Note hopper/dispenser
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6178973B1 (en) * 1998-07-28 2001-01-30 International Business Machines Corporation Method and apparatus for ozone generation and surface treatment
US6285830B1 (en) * 1998-08-21 2001-09-04 Dbk Espana, S.A. Evaporated device for the evaporation of volatile products with variable evaporation intensity by interposition of a mobile brushing
US6528891B2 (en) * 1998-12-17 2003-03-04 Charles Wen Chyang Lin Bumpless flip chip assembly with solder via
US6331480B1 (en) * 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
US6406836B1 (en) * 1999-03-22 2002-06-18 Axcelis Technologies, Inc. Method of stripping photoresist using re-coating material
US6224934B1 (en) * 1999-06-07 2001-05-01 Tokyo Electron Limited Ozone-processing apparatus for semiconductor process system
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6709807B2 (en) * 1999-12-02 2004-03-23 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6503693B1 (en) * 1999-12-02 2003-01-07 Axcelis Technologies, Inc. UV assisted chemical modification of photoresist
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6458430B1 (en) * 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US20020106500A1 (en) * 2000-03-20 2002-08-08 Ralph Albano Plasma curing process for porous low-k materials
US6696801B2 (en) * 2000-04-07 2004-02-24 Nordson Corporation Microwave excited ultraviolet lamp system with improved lamp cooling
US20030205553A1 (en) * 2000-04-24 2003-11-06 Miwako Nakahara Process for treating solid surface and substrate surface
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6786179B2 (en) * 2000-08-31 2004-09-07 Ver Hage Entpr Inc Removable cap assembly
US6323601B1 (en) * 2000-09-11 2001-11-27 Nordson Corporation Reflector for an ultraviolet lamp system
US6380270B1 (en) * 2000-09-26 2002-04-30 Honeywell International Inc. Photogenerated nanoporous materials
US6559460B1 (en) * 2000-10-31 2003-05-06 Nordson Corporation Ultraviolet lamp system and methods
US6623133B1 (en) * 2000-10-31 2003-09-23 Nordson Corporation Ultraviolet lamp retainer
US6524936B2 (en) * 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6732451B2 (en) * 2001-04-11 2004-05-11 Intermec Ip Corp. UV curing module for label printer
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6597003B2 (en) * 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US6585908B2 (en) * 2001-07-13 2003-07-01 Axcelis Technologies, Inc. Shallow angle interference process and apparatus for determining real-time etching rate
US20030037802A1 (en) * 2001-07-23 2003-02-27 Hitachi, Ltd. Semiconductor treating apparatus and cleaning method of the same
US20030020027A1 (en) * 2001-07-25 2003-01-30 Nordson Corporation Apparatus for infrared reduction in ultraviolet radiation generators
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6593699B2 (en) * 2001-11-07 2003-07-15 Axcelis Technologies, Inc. Method for molding a polymer surface that reduces particle generation and surface adhesion forces while maintaining a high heat transfer coefficient
US6605484B2 (en) * 2001-11-30 2003-08-12 Axcelis Technologies, Inc. Process for optically erasing charge buildup during fabrication of an integrated circuit
US20030111438A1 (en) * 2001-12-18 2003-06-19 Mukai Kevin M. Process operation supplementation with oxygen
US20040021428A1 (en) * 2002-04-08 2004-02-05 Nordson Corporation Lamp control system
US6664737B1 (en) * 2002-06-21 2003-12-16 Axcelis Technologies, Inc. Dielectric barrier discharge apparatus and process for treating a substrate
US6657205B1 (en) * 2002-07-17 2003-12-02 Vast Light Ltd. Turbine-boosted ultraviolet-radiation sterilizing fluid processor
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US20040065852A1 (en) * 2002-10-03 2004-04-08 Nordson Corporation Apparatus and method for treating products with ultraviolet light
US20040096593A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US20040096672A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
US20040113089A1 (en) * 2002-12-16 2004-06-17 Axcelis Technologies, Inc. Apparatus and process for measuring light intensities
US20040155591A1 (en) * 2003-01-31 2004-08-12 Nordson Corporation Microwave excited ultraviolet lamp system with single electrical interconnection
US20040183481A1 (en) * 2003-02-27 2004-09-23 Nordson Corporation Microwave powered lamphead having external shutter
US20040175957A1 (en) * 2003-03-04 2004-09-09 Lukas Aaron Scott Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6831419B1 (en) * 2003-06-02 2004-12-14 Nordson Corporation Exhaust system for a microwave excited ultraviolet lamp
US20050040341A1 (en) * 2003-08-18 2005-02-24 Nordson Corporation UV lamp retainer system

Cited By (505)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080042077A1 (en) * 2004-05-06 2008-02-21 Schmitt Francimar C Process and apparatus for post deposition treatment of low dielectric materials
US7910897B2 (en) * 2004-05-06 2011-03-22 Applied Materials, Inc. Process and apparatus for post deposition treatment of low dielectric materials
US7663121B2 (en) 2005-05-09 2010-02-16 Applied Materials, Inc. High efficiency UV curing system
US20070286963A1 (en) * 2005-05-09 2007-12-13 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of uv radiation
US8203126B2 (en) 2005-05-09 2012-06-19 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
WO2007001364A3 (en) * 2005-06-16 2008-08-21 North South Technologies Llc Semi-spherical curing/heating lamp head apparatus and modular positioning system
WO2007001364A2 (en) * 2005-06-16 2007-01-04 North South Technologies Llc Semi-spherical curing/heating lamp head apparatus and modular positioning system
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US10068765B2 (en) 2005-11-09 2018-09-04 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20100041248A1 (en) * 2005-11-09 2010-02-18 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US9184047B2 (en) 2005-11-09 2015-11-10 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US9443725B2 (en) 2005-11-09 2016-09-13 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US8642488B2 (en) 2005-11-09 2014-02-04 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20070228618A1 (en) * 2006-03-17 2007-10-04 Applied Materials, Inc. Apparatus and method for exposing a substrate to uv radiation using a reflector having both elliptical and parabolic reflective sections
US7909595B2 (en) * 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US20070228289A1 (en) * 2006-03-17 2007-10-04 Applied Materials, Inc. Apparatus and method for exposing a substrate to uv radiation while monitoring deterioration of the uv source and reflectors
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US20080067425A1 (en) * 2006-03-17 2008-03-20 Applied Materials, Inc. Apparatus and method for exposing a substrate to uv radiation using asymmetric reflectors
US7589336B2 (en) 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US20070257205A1 (en) * 2006-03-17 2007-11-08 Applied Materials, Inc. Apparatus and method for treating a substrate with uv radiation using primary and secondary reflectors
US7547633B2 (en) 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing
US20080067416A1 (en) * 2006-05-01 2008-03-20 Applied Materials, Inc. UV assisted thermal processing
US20070298362A1 (en) * 2006-06-26 2007-12-27 Applied Materials, Inc. Increased tool utilization/reduction in mwbc for uv curing chamber
US7554103B2 (en) * 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US7951730B2 (en) 2006-06-29 2011-05-31 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080063809A1 (en) * 2006-09-08 2008-03-13 Tokyo Electron Limited Thermal processing system for curing dielectric films
EP1995766A2 (en) 2007-05-20 2008-11-26 Applied Materials, Inc. Controlled annealing method
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090155487A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Ultraviolet uv photo processing or curing of thin films with surface treatment
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090227119A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US8022377B2 (en) 2008-04-22 2011-09-20 Applied Materials, Inc. Method and apparatus for excimer curing
US20090261276A1 (en) * 2008-04-22 2009-10-22 Applied Materials, Inc. Method and apparatus for excimer curing
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US9053909B2 (en) * 2008-08-29 2015-06-09 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US20100055347A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065759A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment module using scanning ir radiation source
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20100096564A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US8338809B2 (en) 2008-10-21 2012-12-25 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20110214611A1 (en) * 2008-11-14 2011-09-08 Tokyo Electron Limited Film deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8951347B2 (en) * 2008-11-14 2015-02-10 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20110031214A1 (en) * 2009-08-06 2011-02-10 Jisoo Kim Vacuum processing chambers incorporating a moveable flow equalizer
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110100489A1 (en) * 2009-11-04 2011-05-05 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US8746170B2 (en) * 2009-11-04 2014-06-10 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US8845857B2 (en) * 2009-12-02 2014-09-30 Tokyo Electron Limited Substrate processing apparatus
US20110126985A1 (en) * 2009-12-02 2011-06-02 Tokyo Electron Limited Substrate processing apparatus
US20110139074A1 (en) * 2009-12-10 2011-06-16 Tokyo Electron Limited Film deposition apparatus
US8721790B2 (en) * 2009-12-10 2014-05-13 Tokyo Electron Limited Film deposition apparatus
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US9390914B2 (en) 2009-12-21 2016-07-12 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable CVD process
US9017933B2 (en) 2010-03-29 2015-04-28 Tokyo Electron Limited Method for integrating low-k dielectrics
US20110237080A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for integrating low-k dielectrics
US8242460B2 (en) 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US20110233430A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Ultraviolet treatment apparatus
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US20130062535A1 (en) * 2010-05-31 2013-03-14 Megagen Implant Co. Ltd. Surface-processing device for a dental implant
US8950470B2 (en) 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US8097085B2 (en) 2011-01-28 2012-01-17 Poole Ventura, Inc. Thermal diffusion chamber
US20110143297A1 (en) * 2011-01-28 2011-06-16 Poole Ventura, Inc. Thermal Diffusion Chamber
US10570517B2 (en) * 2011-04-08 2020-02-25 Applied Materials, Inc. Apparatus and method for UV treatment, chemical treatment, and deposition
US20160289838A1 (en) * 2011-04-08 2016-10-06 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US8657961B2 (en) * 2012-04-25 2014-02-25 Applied Materials, Inc. Method for UV based silylation chamber clean
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
US20130319543A1 (en) * 2012-05-29 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical Chamber Configuration
US8753449B2 (en) 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
KR20150027738A (en) * 2012-06-25 2015-03-12 어플라이드 머티어리얼스, 인코포레이티드 Enhancement in uv curing efficiency using oxygen-doped purge for ultra low-k dielectric film
KR102043347B1 (en) * 2012-06-25 2019-11-11 어플라이드 머티어리얼스, 인코포레이티드 Enhancement in uv curing efficiency using oxygen-doped purge for ultra low-k dielectric film
WO2014003995A1 (en) * 2012-06-25 2014-01-03 Applied Materials, Inc. Enhancement in uv curing efficiency using oxygen-doped purge for ultra low-k dielectric film
CN104471688A (en) * 2012-06-25 2015-03-25 应用材料公司 Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-k dielectric film
US8877659B2 (en) 2012-07-02 2014-11-04 Applied Materials, Inc. Low-k dielectric damage repair by vapor-phase chemical exposure
US9123532B2 (en) 2012-07-02 2015-09-01 Applied Materials, Inc. Low-k dielectric damage repair by vapor-phase chemical exposure
US8993444B2 (en) 2012-07-13 2015-03-31 Applied Materials, Inc. Method to reduce dielectric constant of a porous low-k film
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8872138B2 (en) 2013-02-20 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at UV curing chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9093265B2 (en) * 2013-10-15 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. High UV curing efficiency for low-k dielectrics
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150197846A1 (en) * 2014-01-16 2015-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and Methods for Uniform Gas Flow in a Deposition Chamber
US9852905B2 (en) * 2014-01-16 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for uniform gas flow in a deposition chamber
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10180248B2 (en) 2015-09-02 2019-01-15 ProPhotonix Limited LED lamp with sensing capabilities
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11532328B2 (en) * 2018-05-18 2022-12-20 Samsung Electronics Co., Ltd. Method for fabricating semiconductor chip by using multi-curing apparatus and multi-curing apparatus
US20190351449A1 (en) * 2018-05-18 2019-11-21 Samsung Electronic Co., Ltd. Method for fabricating semiconductor chip by using multi-curing apparatus and multi-curing apparatus
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112703588A (en) * 2018-09-24 2021-04-23 应用材料公司 Atomic oxygen and ozone apparatus for cleaning and surface treatment
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US20210324514A1 (en) * 2020-04-20 2021-10-21 Applied Materials, Inc. Multi-thermal cvd chambers with shared gas delivery and exhaust system
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112151364A (en) * 2020-09-27 2020-12-29 北京北方华创微电子装备有限公司 Semiconductor reaction chamber
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
US20090162259A1 (en) 2009-06-25
US7663121B2 (en) 2010-02-16
CN101171367A (en) 2008-04-30
CN101171367B (en) 2011-06-15
US20060249078A1 (en) 2006-11-09

Similar Documents

Publication Publication Date Title
US7663121B2 (en) High efficiency UV curing system
US20060249175A1 (en) High efficiency UV curing system
US8911553B2 (en) Quartz showerhead for nanocure UV chamber
US8338809B2 (en) Ultraviolet reflector with coolant gas holes and method
US8022377B2 (en) Method and apparatus for excimer curing
US7566891B2 (en) Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US8702870B2 (en) Superimposition of rapid periodic and extensive post multiple substrate UV-ozone clean sequences for high throughput and stable substrate to substrate performance
US8203126B2 (en) Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US7909595B2 (en) Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US20080067425A1 (en) Apparatus and method for exposing a substrate to uv radiation using asymmetric reflectors
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
KR101631586B1 (en) Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
US20140262037A1 (en) Transparent yttria coated quartz showerhead

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NOWAK, THOMAS;ROCHA-ALVAREZ, JUAN CARLOS;KASZUBA, ANDRZEJ;AND OTHERS;REEL/FRAME:016181/0669;SIGNING DATES FROM 20050617 TO 20050621

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION