US20060254716A1 - Processing system and method for chemically treating a tera layer - Google Patents

Processing system and method for chemically treating a tera layer Download PDF

Info

Publication number
US20060254716A1
US20060254716A1 US11/486,105 US48610506A US2006254716A1 US 20060254716 A1 US20060254716 A1 US 20060254716A1 US 48610506 A US48610506 A US 48610506A US 2006254716 A1 US2006254716 A1 US 2006254716A1
Authority
US
United States
Prior art keywords
approximately
substrate
layer
tera
mhz
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/486,105
Inventor
Aelan Mosden
Asao Yamashita
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US11/486,105 priority Critical patent/US20060254716A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOSDEN, AELAN, YAMASHITA, ASAO
Publication of US20060254716A1 publication Critical patent/US20060254716A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Definitions

  • the present invention relates to a system and method for treating a Tunable Etch Rate ARC (TERA) layer, and more particularly to a system and method for chemical treatment of a TERA layer.
  • TERA Tunable Etch Rate ARC
  • a (dry) plasma etch process can be utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate.
  • the plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is throttled to achieve an ambient process pressure.
  • a plasma is formed when a fraction of the gas species present are ionized by electrons heated via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR). Moreover, the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • RF radio frequency
  • ECR electron cyclotron resonance
  • the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, gates, etc.) in the selected regions of the substrate.
  • etching such features generally comprises the transfer of a pattern formed within a mask layer to the underlying film within which the respective features are formed.
  • the mask can, for example, comprise a light-sensitive material such as (negative or positive) photo-resist, multiple layers including such layers as photo-resist and an anti-reflective coating (ARC), or a hard mask formed from the transfer of a pattern in a first layer, such as photo-resist, to the underlying hard mask layer.
  • the principles of the present invention provide a method of processing a Tunable Etch Rate ARC (TERA) layer on a substrate.
  • the TERA layer processing method includes depositing the TERA layer on the substrate using a plasma enhanced chemical vapor deposition (PECVD) system, creating features in the TERA layer using an etching system, and reducing the size of the features in the TERA layer.
  • PECVD plasma enhanced chemical vapor deposition
  • the system includes a plasma enhanced chemical vapor deposition (PECVD) system for depositing the TERA layer on the substrate, an etching system for creating features in the TERA layer, and a processing subsystem for reducing the size of the features in the TERA layer.
  • PECVD plasma enhanced chemical vapor deposition
  • FIG. 1 illustrates a schematic representation of a processing system according to an embodiment of the invention
  • FIG. 2 illustrates a simplified flow diagram of a method for operating a processing system in accordance with an embodiment of the invention
  • FIGS. 3A-3F illustrate simplified schematic views of a method for processing a substrate in accordance with an embodiment of the invention
  • FIGS. 4A-4G illustrate simplified schematic views of a method for processing a substrate in accordance with another embodiment of the invention
  • FIG. 5 illustrates a simplified block diagram of a PECVD system in accordance with an embodiment of the invention
  • FIG. 6 illustrates a simplified block diagram for a treatment system in accordance with an embodiment of the invention.
  • FIG. 7 illustrates a simplified block diagram of a processing subsystem in accordance with an embodiment of the invention.
  • pattern etching comprises the application of a thin layer of light-sensitive material, such as photoresist, to an upper surface of a substrate that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film during etching.
  • the patterning of the light-sensitive material generally involves exposure by a radiation source through a reticle (and associated optics) of the light-sensitive material using, for example, a micro-lithography system, followed by the removal of the irradiated regions of the light-sensitive material (as in the case of positive photoresist), or non-irradiated regions (as in the case of negative resist) using a developing solvent.
  • multi-layer and hard masks can be implemented for etching features in a thin film.
  • the mask pattern in the light-sensitive layer is transferred to the hard mask layer using a separate etch step preceding the main etch step for the thin film.
  • the hard mask can, for example, comprise a TERA layer that can be selected from several materials for silicon processing including silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), and carbon, for example.
  • the hard mask can be trimmed laterally using, for example, a two-step process involving a chemical treatment of the exposed surfaces of the hard mask layer in order to alter the surface chemistry of the hard mask layer, and a post treatment of the exposed surfaces of the hard mask layer in order to desorb the altered surface chemistry.
  • FIG. 1 illustrates a schematic representation of a processing system according to an embodiment of the invention.
  • a processing system 1 for processing a substrate using, for example, TERA layer trimming is shown.
  • Processing system 1 can comprise a multi-element manufacturing system 10 , a deposition system 20 coupled to the multi-element manufacturing system 10 , a treatment system 30 coupled to the multi-element manufacturing system 10 , and an etching system 70 coupled to the multi-element manufacturing system 10 .
  • the treatment system 30 can comprise a transfer module 40 , a thermal treatment module 50 , and a chemical treatment module 60 . Also, as illustrated in FIG. 1 , the transfer module 40 can be coupled to the thermal treatment module 50 in order to transfer substrates into and out of the thermal treatment module 50 and the chemical treatment module 60 , and exchange substrates with a multi-element manufacturing system 10 .
  • the multi-element manufacturing system 10 can comprise additional processing elements (not shown) including such devices as etch systems, deposition systems, coating systems, cleaning systems, polishing systems, patterning systems, metrology systems, alignment systems, lithography systems, and transfer systems. Also, the multi-element manufacturing system 10 can permit the transfer of substrates to and from the processing elements ( 20 , 30 , and 70 ) and the additional processing elements (not shown).
  • processing system 1 may vary without departing from the scope of the invention. As such, processing system 1 is not limited solely to components 20 , 30 , 40 , 50 , 60 and 70 as described or the layout depicted. The invention is intended to encompass a plethora of variations too numerous to list here.
  • deposition system 20 can comprise a chemical vapor deposition (CVD) system, a plasma enhanced chemical vapor deposition (PECVD) system, a physical vapor deposition (PVD) system, an ionized physical vapor deposition (iPVD) system, or an atomic layer deposition (ALD) system, or a combination of two or more thereof.
  • the process gas can comprise an oxygen-containing gas, a nitrogen containing gas, a fluorine-containing gas, or a chlorine-containing gas, or a combination of two or more thereof.
  • an inert gas can also be included.
  • an oxygen-containing gas can comprise O 2 , CO, NO, N 2 O, or CO 2 , or a combination of two or more thereof.
  • the nitrogen-containing gas can comprise NO, N 2 O, N 2 , or NF 3 , or a combination of two or more thereof.
  • the fluorine-containing gas can comprise NF 3 , SF 6 , CHF 3 , or C 4 F 8 , or a combination of two or more thereof. It will be appreciated that similar combinations to the fluorine-containing gas can be used for the chlorine-containing gas. Moreover, hybrids of gas containing both fluorine and chlorine may be employed.
  • the flow rate for an oxygen-containing gas can vary from approximately 0 sccm to approximately 500 sccm and alternately from approximately 0 sccm to approximately 300 sccm.
  • the flow rate for an nitrogen-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • the flow rate for a fluorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • the flow rate for a chlorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • an isolation assembly 25 can be utilized to couple the deposition system 20 to the multi-element manufacturing system 10 .
  • the isolation assembly 25 can comprise a thermal insulation assembly to provide thermal isolation and/or a gate valve assembly to provide vacuum isolation.
  • the processing element 20 can comprise multiple modules.
  • the treatment system 30 can comprise the transfer module 40 , the thermal treatment module 50 , which may be a physical heat treatment (PHT) module, and the chemical treatment module 60 , which may be a chemical oxide removal (COR) module.
  • isolation assemblies 35 , 45 , 55 can be utilized to couple the different modules.
  • the isolation assembly 35 can be used to couple the transfer module 40 to the multi-element manufacturing system 10 ;
  • the isolation assembly 45 can be used to couple the transfer module 40 to the PHT module 50 ;
  • the isolation assembly 55 can be used to couple the PHT module 50 to the COR module 60 .
  • the isolation assemblies 35 , 45 , 55 can comprise a thermal insulation assembly to provide thermal isolation and/or a gate valve assembly to provide vacuum isolation. In alternate embodiments, a different number of isolation assemblies 35 , 45 , 55 can be used.
  • the transfer module 40 and/or the PHT module 50 of the processing system 1 depicted in FIG. 1 can comprise at least two transfer openings to permit the passage of the substrate therethrough.
  • the PHT module 50 comprises two transfer openings. The first transfer opening permits the passage of the substrate between the PHT module 50 and the transfer system 40 , and the second transfer opening permits the passage of the substrate between the PHT module 50 and the COR module 60 .
  • each treatment system element can comprise at least one transfer opening to permit the passage of the substrate therethrough.
  • the transfer system 40 , the PHT module 50 , and the COR module 60 can be configured as in-line elements. Alternately, the transfer system 40 , the PHT module 50 , and the COR module 60 can be configured in any number of arrangements. For example, a stacked arrangement or a side-by-side arrangement can be used.
  • the etching system 70 can comprise a dry etching system and/or a wet etching system.
  • the etching system 70 can comprise a plasma etching system.
  • an isolation assembly 65 can be utilized to couple the etching system 70 to the multi-element manufacturing system 10 .
  • the isolation assembly 65 can comprise a thermal insulation assembly to provide thermal isolation and/or a gate valve assembly to provide vacuum isolation.
  • the etching system 70 can comprise multiple modules.
  • a controller 90 can be coupled to the multi-element manufacturing system 10 , the deposition system 20 , the transfer module 40 , the PHT module 50 , the COR module 60 , and the etching system 70 .
  • the controller 90 can be used to control the multi-element manufacturing system 10 , the deposition system 20 , the transfer module 40 , the PHT module 50 , the COR module 60 , and the etching system 70 .
  • the controller 90 can also be connected to various components in any of a number of different ways without departing from the scope of the invention.
  • the multi-element manufacturing system 10 can exchange substrates with one or more substrate cassettes (not shown). Additionally, for example, an isolation assembly can serve as part of a processing element.
  • FIG. 2 illustrates a simplified flow diagram of a method for operating a processing system in accordance with an embodiment of the invention. In the illustrated embodiment, a procedure is shown for reducing the size of features on a TERA layer.
  • Procedure 200 begins at task 210 .
  • a TERA layer is deposited on a substrate.
  • TERA layers can be deposited on top of many different layers of a substrate.
  • a TERA layer can be deposited on an oxide layer, a dielectric layer, or a metallic layer. The deposition of the TERA layer is discussed in greater detail herein.
  • a photoresist layer can be deposited on the TERA layer and a pattern may be transferred into the photoresist layer using at least one photolithography step. The pattern can be developed to form features in the photoresist layer; and an etching process can be used to create features in the TERA layer.
  • a hard mask layer can be deposited on the TERA layer.
  • a stabilization step can be performed before and/or after an individual processing step. Alternately, the stabilization step may be avoided altogether.
  • Stabilization processes may encompass a variety of operational parameters, such as process time and chamber pressure.
  • process time can vary from approximately 2 seconds to approximately 150 seconds and alternately from approximately 4 seconds to approximately 15 seconds.
  • the chamber pressure can vary from approximately 2 mTorr to approximately 800 mTorr and alternately from approximately 10 mTorr to approximately 90 mTorr.
  • the process gas can comprise an oxygen-containing gas, a nitrogen containing gas, a fluorine-containing gas, or a chlorine-containing gas, or a combination of two or more thereof.
  • an inert gas can also be included.
  • an oxygen-containing gas can comprise O 2 , CO, NO, N 2 O, or CO 2 , or a combination of two or more thereof;
  • the nitrogen-containing gas can comprise NO, N 2 O, N 2 , or NF 3 , or a combination of two or more thereof;
  • the fluorine-containing gas can comprise NF 3 , SF 6 , CHF 3 , or C 4 F 8 , or a combination of two or more thereof.
  • the chlorine-containing gas can comprise similar combinations as the fluorine-containing gas.
  • the flow rate for an oxygen-containing gas can vary from approximately 0 sccm to approximately 500 sccm and alternately from approximately 0 sccm to approximately 300 sccm.
  • the flow rate for an nitrogen-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • the flow rate for a fluorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • the flow rate for a chlorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • a photoresist trim process can be performed. Alternately, the photoresist trim process can be avoided altogether. Photoresist processes may also encompass a variety of operational parameters, such as process time and chamber pressure.
  • the process time can vary from approximately 0 seconds to approximately 180 seconds and alternately from approximately 10 seconds to approximately 40 seconds.
  • the chamber pressure can vary from approximately 10 mTorr to approximately 120 mTorr and alternately from approximately 10 mTorr to approximately 90 mTorr.
  • the process gas can comprise an oxygen-containing gas, a nitrogen-containing gas and/or an inert gas.
  • the flow rates for an oxygen-containing gas can vary from approximately 0 sccm to approximately 500 sccm and alternately from approximately 0 sccm to approximately 300 sccm, while the flow rates for a nitrogen-containing gas can vary from approximately 0 sccm to approximately 1000 sccm and alternately from approximately 0 sccm to approximately 200 sccm.
  • RF power can be supplied to an upper electrode and the upper RF power can vary from approximately 0 watts to approximately 1500 watts and alternately from approximately 100 watts to approximately 300 watts.
  • RF power can be supplied to a lower electrode and the lower RF power can vary from approximately 0 watts to approximately 500 watts and alternately from approximately 40 watts to approximately 150 watts.
  • a TERA cap etch process can be performed. Alternately, the TERA cap etch process may be avoided altogether.
  • the TERA cap etch process may also encompass a variety of operational parameters, such as process time and chamber pressure.
  • the process time can vary from approximately 0 seconds to approximately 50 seconds and alternately from approximately 0 seconds to approximately 18 seconds.
  • the chamber pressure can vary from approximately 10 mTorr to approximately 120 mTorr and alternately from approximately 10 mTorr to approximately 90 mTorr.
  • the process gas can comprise an oxygen-containing gas, a nitrogen-containing gas, a fluorine-containing gas, or a chlorine-containing gas, an inert gas, or a combination of two or more thereof.
  • the flow rate for an oxygen-containing gas can vary from approximately 0 sccm to approximately 500 sccm and alternately from approximately 0 sccm to approximately 300 sccm.
  • the flow rate for a nitrogen-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • the flow rate for a fluorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • the flow rate for a chlorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • the size of the features in the TERA layer can be reduced.
  • the exposed surfaces of the features in the TERA layer can be oxidized, and a removal process can be performed to remove at least a part of the oxidized portion of the TERA features.
  • a trimming amount can be established and the oxidation process can be controlled so that the correct trimming amount is achieved.
  • a chemical oxide removal (COR) process can be performed.
  • the oxidation process and the COR process can be performed a number of times to reduce the size of the features in the TERA layer to predetermined dimensions.
  • the process time can vary from approximately 0 seconds to approximately 180 seconds and alternately from approximately 0 seconds to approximately 18 seconds.
  • the chamber pressure can vary from approximately 10 mtorr to approximately 300 mtorr and alternately from approximately 150 mtorr to approximately 250 mtorr.
  • the process gas can comprise an oxygen-containing gas. Alternately, an inert gas can also be included.
  • the flow rate for an oxygen-containing gas can vary from approximately 0.0 sccm to approximately 500 sccm and alternately from approximately 150 sccm to approximately 300 sccm.
  • RF power can be supplied to an upper electrode and the upper RF power can vary from approximately 0.0 watts to approximately 1500 watts and alternately from approximately 200 watts to approximately 400 watts.
  • RF power can be supplied to a lower electrode and the lower RF power can vary from approximately 0.0 watts to approximately 500 watts and alternately from approximately 30 watts to approximately 100 watts.
  • the TERA layer can be partially or fully oxidized.
  • TERA layers ranging from approximately 1 nm to approximately 5 nm can be fully oxidized in less than 12 seconds.
  • the COR process does not remove non-oxidized TERA material.
  • the COR process can be used to remove all or part of the oxidized TERA layer, as would be appreciated by those skilled in the art.
  • the transfer module 40 , the PHT module 50 , and the COR module 60 can be used to perform a removal process.
  • the removal process can use a COR recipe to perform the processing and the COR recipe can begin when a substrate is transferred to the COR module.
  • the substrate can be received by lift pins that are housed within a substrate holder, and the substrate can be lowered to the substrate holder. Thereafter, the substrate can be secured to the substrate holder using a clamping system, such as an electrostatic clamping system, and a heat transfer gas can be supplied to the backside of the substrate.
  • a clamping system such as an electrostatic clamping system
  • the COR recipe can be used to set one or more chemical processing parameters for the chemical treatment of the substrate, and these parameters can include a chemical treatment processing pressure, a chemical treatment wall temperature, a chemical treatment substrate holder temperature, a chemical treatment substrate temperature, a chemical treatment gas distribution system temperature, a chemical treatment process gas, or a chemical treatment process gas flow rate, or a combination of two or more thereof.
  • the substrate can be chemically treated for a first period of time.
  • the first period of time can range from 30 to 360 seconds, for example.
  • the substrate can be transferred from the chemical treatment chamber to the PHT module 50 .
  • the substrate clamp can be removed, and the flow of heat transfer gas to the backside of the substrate can be terminated.
  • the substrate can be vertically lifted from the substrate holder to the transfer plane using the lift pin assembly housed within the substrate holder.
  • the transfer system can receive the substrate from the lift pins and can position the substrate within the PHT module.
  • a substrate lifter assembly can receive the substrate from the transfer system, and can lower the substrate to the substrate holder.
  • the PHT recipe can be used to set one or more thermal processing parameters for thermal treatment of the substrate by the PHT module.
  • the substrate can be treated thermally for a second period of time.
  • the one or more thermal processing parameters can comprise a thermal treatment wall temperature, a thermal treatment upper assembly temperature, a thermal treatment substrate temperature, a thermal treatment substrate holder temperature, a thermal treatment substrate temperature, a thermal treatment processing pressure, a thermal treatment process gas, or a thermal treatment process gas flow rate, or a combination of two or more thereof.
  • the second period of time can range from 30 to 360 seconds, for example.
  • the treatment system 30 can comprise a chemical oxide removal (COR) system for trimming an oxidized TERA film.
  • the treatment system 30 can comprise the COR module 50 for chemically treating exposed surface layers, such as oxidized surface layers, on a substrate, whereby adsorption of the process chemistry on the exposed surfaces affects a chemical alteration of the surface layers.
  • the treatment system 30 can comprise the PHT module 60 for thermally treating the substrate, whereby the substrate temperature is elevated in order to desorb (or evaporate) the chemically altered exposed surfaces on the substrate.
  • a COR module can use a process gas comprising HF and NH 3 , and the processing pressure can range from approximately 1 to approximately 100 mTorr and, for example, can range from approximately 2 to approximately 25 mTorr.
  • the process gas flow rates can range from approximately 1 to approximately 200 sccm for each specie and, for example, can range from approximately 10 to approximately 100 sccm.
  • a substantially uniform pressure field can be achieved.
  • the COR module chamber can be heated to a temperature ranging from 30° to 100° C. and, for example, the temperature can be approximately 40° C.
  • the gas distribution system can be heated to a temperature ranging from approximately 40° to approximately 100° C. and, for example, the temperature can be approximately 50° C.
  • the substrate can be maintained at a temperature ranging from approximately 10° to approximately 50° C. and, for example, the substrate temperature can be approximately 20° C.
  • the thermal treatment chamber can be heated to a temperature ranging from approximately 50° to approximately 100° C. and, for example, the temperature can be approximately 80° C.
  • the upper assembly can be heated to a temperature ranging from approximately 50° to approximately 100° C. and, for example, the temperature can be approximately 80° C.
  • the substrate can be heated to a temperature in excess of approximately 100° C.
  • the substrate can be heated in a range from approximately 100° to approximately 200° C., and, for example, the temperature can be approximately 135° C.
  • the COR and PHT processes described herein can produce an etch amount of an exposed oxidized surface in excess of approximately 10 nm per 60 seconds of chemical treatment for oxidized TERA.
  • the treatments can also produce an etch variation across the substrate of less than approximately 2.5 percent.
  • FIGS. 3A-3F illustrate simplified schematic views of a method for processing a substrate in accordance with an embodiment of the invention.
  • FIG. 3A a simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using a photoresist development process and an etch process.
  • a substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 320 is shown on top of the substrate layer 310 .
  • the additional layer can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a TERA layer 330 is shown on top of the additional layer, and the TERA layer can comprise TERA features 332 .
  • a photoresist layer 340 is shown on top of the TERA layer 330 , and the photoresist layer 340 can comprise photoresist features 342 .
  • the photoresist features 342 can be produced when the photoresist layer is developed, and the TERA features 332 can be produced when the photoresist features 342 are transferred into the TERA layer 330 using an etch process.
  • FIG. 3B another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using an etching process.
  • Features 332 have been created in the TERA layer 330 A by transferring the photoresist features 342 using an etch process.
  • a substrate layer 310 is shown, and the substrate layer can comprise of silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 320 is shown on top of the substrate layer 310 .
  • the additional layer can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a processed (etched) TERA layer 330 A is shown on top of the additional layer, and the processed TERA layer 330 A can comprise features 332 .
  • a photoresist layer 340 is shown on top of the processed TERA layer 330 A, and the photoresist layer 340 can comprise photoresist features 342 .
  • FIG. 3C another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using an oxidation process.
  • the photoresist features have been removed by the oxidation (ashing) process, and oxidized areas 333 and 335 have been created in the TERA features 332 in the TERA layer 330 B.
  • the oxidized areas 333 on the sides of the TERA feature can have a different thickness than the oxidized areas 335 on the top of the TERA features.
  • the top portion of the TERA layer can comprise a cap portion that has a higher resistance to etching than the other portions of the TERA layer.
  • a substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 320 is shown on top of the substrate layer 310 .
  • the additional layer can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a processed TERA layer 330 B is shown on top of the additional layer, and the processed TERA layer 330 B can comprise features 332 having oxidized areas 333 and 335 .
  • FIG. 3D another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using a COR process.
  • Oxidized areas have been removed creating reduced TERA features 337 in the TERA layer 330 C by removing the oxidized areas of the TERA features using a COR process.
  • a substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 320 is shown on top of the substrate layer 310 .
  • the additional layer can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a processed TERA layer 330 C is shown on top of the additional layer, and the processed TERA layer 330 C can comprise reduced size TERA features 337 .
  • FIG. 3E another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using an etch process, and one or more of the layers in the additional layer 320 has been etched using the reduced size TERA features 337 as a mask.
  • the reduced size TERA features 337 can be used as mask features and a dry etching process and/or a wet etching process can be performed.
  • a substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • a processed (etched) additional layer 320 A is shown on top of the substrate layer 310 .
  • the processed (etched) additional layer 320 A can comprise vias 324 and additional layer features 322 .
  • the additional layer features 322 can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a processed (partially etched) TERA layer 330 C is shown on top of the additional layer, and the processed (partially etched) TERA layer 330 C can comprise reduced size TERA features 337 .
  • the additional layer features can comprise a nitride layer and a doped poly layer.
  • FIG. 3F another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using a removal process, and the reduced size TERA features 337 have been removed.
  • a substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • a processed (etched) additional layer 320 A is shown on top of the substrate layer 310 .
  • the processed (etched) additional layer 320 A can comprise vias 324 and additional layer features 322 .
  • the additional layer features 322 can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. In this manner, reduced size features can be created in the additional layer and smaller critical dimensions (gate widths) can be achieved. In one embodiment, further processing can be performed.
  • FIGS. 4A-4G illustrate simplified schematic views of a method for processing a substrate in accordance with another embodiment of the invention.
  • FIG. 4A a simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using a hard mask development process.
  • a substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410 .
  • the additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a TERA layer 430 is shown on top of the additional layer, and the TERA layer can be used as a hard mask.
  • a hard mask layer 440 is shown on top of the TERA layer 430 , and the hard mask layer 440 can comprise hard mask features 442 .
  • the hard mask features 442 can be produced using a photoresist layer (not shown).
  • FIG. 4B another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using an etching process.
  • Features 432 have been created in the TERA layer 430 A by transferring the hard mask features 442 using an etch process.
  • a substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410 .
  • the additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a photoresist layer 440 is shown on top of the processed TERA layer 430 A, and the photoresist layer 440 can comprise photoresist features 442 .
  • FIG. 4C another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using an oxidation process.
  • Oxidized areas 435 have been created in the TERA features 432 in the TERA layer 430 B by oxidizing the exposed surfaces of the TERA features 432 using an oxidation process.
  • a substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410 .
  • the additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a processed TERA layer 430 B is shown on top of the additional layer, and the processed TERA layer 430 B can comprise features 432 having oxidized areas 435 .
  • a photoresist layer 440 is shown on top of the processed TERA layer 430 B, and the photoresist layer 440 can comprise photoresist features 442 .
  • FIG. 4D another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using a COR process.
  • Oxidized areas can be removed using a COR process thereby creating reduced size TERA features 437 in the TERA layer 430 C.
  • another substantially lateral etch process can be performed in which the oxidized areas 435 can be removed creating the reduced TERA features 437 in the TERA layer 430 C.
  • a substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410 .
  • the additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a processed (laterally etched) TERA layer 430 C is shown on top of the additional layer, and the processed (laterally etched) TERA layer 430 C can comprise reduced size TERA features 437 .
  • hard mask features can be shown on top of the reduced size TERA features 437 .
  • FIG. 4E another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using a removal process, and the hard mask features 442 have been removed.
  • the hard mask features can be removed using an ashing process, a dry etching process, or a wet etching process, or a combination of two or more thereof.
  • a substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410 .
  • the additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a processed (laterally etched) TERA layer 430 C is shown on top of the additional layer, and the processed (laterally etched) TERA layer 430 C can comprise reduced size TERA features 437 .
  • FIG. 4E hard mask features have been removed from the top surfaces of the reduced size TERA features 437 .
  • FIG. 4F another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using an etch process, and the additional layer 420 has been etched using the reduced size TERA features 437 as a mask.
  • the reduced size TERA features 437 can be used as mask features and a dry etching process and/or a wet etching process can be performed.
  • a substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • a processed (etched) additional layer 420 A is shown on top of the substrate layer 410 .
  • the processed (etched) additional layer 420 A can comprise vias 424 and additional layer features 422 .
  • the additional layer features 422 can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • a processed (laterally etched) TERA layer 430 C is shown on top of the additional layer, and the processed (laterally etched) TERA layer 430 C can comprise reduced size TERA features 437 .
  • the additional layer features can comprise a nitride layer and a doped poly layer.
  • FIG. 4G another simplified schematic view of a partially processed semiconductor device is shown.
  • the semiconductor device has been processed using a removal process, and the reduced size TERA features 437 have been removed.
  • a substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • a processed (etched) additional layer 420 A is shown on top of the substrate layer 410 .
  • the processed (etched) additional layer 420 A can comprise vias 424 and additional layer features 422 .
  • the additional layer features 422 can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. In this manner, reduced size features can be created in the additional layer and smaller critical dimensions (gate widths) can be achieved.
  • FIG. 5 illustrates a simplified block diagram of a PECVD system in accordance with an embodiment of the invention.
  • the PECVD system 500 comprises a processing chamber 510 , an upper electrode 540 as part of a capacitively coupled plasma source, a shower plate assembly 520 , a substrate holder 530 for supporting a substrate 535 , a pressure control system 580 , and a controller 590 .
  • the PECVD system 500 can comprise a remote plasma system 575 that can be coupled to the processing chamber 510 using a valve 578 .
  • a remote plasma system and valve are not included.
  • the PECVD system 500 can comprise the pressure control system 580 that can be coupled to the processing chamber 510 .
  • the pressure control system 580 can comprise a throttle valve (not shown) and a turbomolecular pump (TMP) (not shown) and can provide a controlled pressure in processing chamber 510 .
  • the pressure control system 580 can comprise a dry pump (not shown).
  • the chamber pressure can range from approximately 0.1 mTorr to approximately 100 mTorr.
  • the chamber pressure can range from approximately 0.1 mTorr to approximately 20 mTorr.
  • the processing chamber 510 can facilitate the formation of plasma in the process space 502 .
  • the PECVD system 500 can be configured to process substrates of any size, such as 200 mm substrates, 300 mm substrates, or larger substrates. Alternately, the PECVD system 500 can operate by generating plasma in one or more processing chambers.
  • the PECVD system 500 comprises the shower plate assembly 520 coupled to the processing chamber 510 .
  • the shower plate assembly 520 is mounted opposite the substrate holder 530 .
  • the shower plate assembly 520 comprises a center region 522 , an edge region 524 , and a sub region 526 .
  • a shield ring 528 can be used to couple the shower plate assembly 520 to the processing chamber 510 .
  • the center region 522 is coupled to a gas supply system 531 by a first process gas line 523 .
  • the edge region 524 is coupled to the gas supply system 531 by a second process gas line 525 .
  • the sub region 526 is coupled to the gas supply system 531 by a third process gas line 527 .
  • the gas supply system 531 provides a first process gas to the center region 522 , a second process gas to the edge region 524 , and a third process gas to the sub region 526 .
  • the gas chemistries and flow rates can be individually controlled to these regions.
  • the center region 522 and the edge region 524 can be coupled together as a single primary region, and the gas supply system 531 can provide the first process gas and/or the second process gas to the primary region.
  • any of the regions can be coupled together and the gas supply system 531 can provide one or more process gasses, as appropriate.
  • the gas supply system 531 can comprise at least one vaporizer (not shown) for providing precursors. Alternately, a vaporizer is not required. In an alternate embodiment, a bubbling system can be used.
  • the PECVD system 500 comprises an upper electrode 540 that can be coupled to the shower plate assembly 520 and also to the processing chamber 510 .
  • the upper electrode 540 can comprise temperature control elements 542 .
  • the upper electrode 540 can be coupled to a first RF source 546 using a first match network 544 .
  • the first match network 544 need not be provided between the first RF source 546 and the upper electrode 540 .
  • the first RF source 546 provides a TRF signal to the upper electrode 540 , and the first RF source 546 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the TRF signal can be in the frequency range from approximately 1 MHz. to approximately 100 MHz. or alternatively in the frequency range from approximately 2 MHz. to approximately 60 MHz.
  • the first RF source 546 can operate in a power range from approximately 0 watts to approximately 10000 watts, or alternatively the first RF source 546 can operate in a power range from approximately 0 watts to approximately 5000 watts.
  • the upper electrode 540 and the RF source 546 are parts of a capacitively-coupled plasma source.
  • the capacitively-coupled plasma source may be replaced with or augmented by other types of plasma sources, such as an inductively coupled plasma (ICP) source, a transformer-coupled plasma (TCP) source, a microwave powered plasma source, an electron cyclotron resonance (ECR) plasma source, a Helicon wave plasma source, and a surface wave plasma source.
  • ICP inductively coupled plasma
  • TCP transformer-coupled plasma
  • ECR electron cyclotron resonance
  • the upper electrode 540 may be eliminated or reconfigured in the various suitable plasma sources.
  • the substrate 535 can be, for example, transferred into and out of the processing chamber 510 through a slot valve (not shown) and chamber feed-through (not shown) via a robotic substrate transfer system (not shown), and it can be received by the substrate holder 530 and mechanically translated by devices coupled thereto. Once the substrate 535 is received from the substrate transfer system, the substrate 535 can be raised and/or lowered using a translation device 550 that can be coupled to the substrate holder 530 by a coupling assembly 552 .
  • the substrate 535 can be held or affixed to the substrate holder 530 via an electrostatic clamping system.
  • the electrostatic clamping system can comprise an electrode 516 and an ESC supply 556 .
  • Clamping voltages that can range from approximately ⁇ 2000 V to approximately +2000 V, for example, can be provided to the clamping electrode 516 .
  • the clamping voltage can range from approximately ⁇ 1000 V to approximately +1000 V.
  • the ESC system and the ESC supply 556 are not required.
  • the substrate holder 530 can comprise lift pins (not shown) for lowering and/or raising the substrate 535 to and/or from the surface of the substrate holder 530 .
  • different lifting devices can be provided in the substrate holder 530 , as would be appreciated by those skilled in the art.
  • gas can, for example, be delivered to the backside of the substrate 535 via a backside gas system to improve the gas-gap thermal conductance between the substrate 535 and the substrate holder 530 .
  • a temperature control system can also be provided. Such a system can be utilized when temperature control of the substrate 535 is required at elevated or reduced temperatures.
  • a heating element 532 such as resistive heating elements, or thermoelectric heaters/coolers can be included, and the substrate holder 530 can further include a heat exchange system 534 .
  • the heating element 532 can be coupled to a heater supply 558 .
  • the heat exchange system 534 can include re-circulating coolant flow passages that receive heat from the substrate holder 530 and transfer the heat to a heat exchanger system (not shown), or when heating, transfers the heat from the heat exchanger system to the substrate holder 530 .
  • the electrode 516 can be coupled to a second RF source 560 using a second match network 562 .
  • the second match network 562 is not required.
  • the second RF source 560 provides a bottom RF signal (BRF) to the lower electrode 516 , and the second RF source 560 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the BRF signal can be in the frequency range from approximately 0.2 MHz. to approximately 30 MHz. or alternatively, in the frequency range from approximately 0.3 MHz. to approximately 15 MHz.
  • the second RF source 560 can operate in a power range from approximately 0.0 watts to approximately 1000 watts, or alternatively, the second RF source 560 can operate in a power range from approximately 0.0 watts to approximately 500 watts.
  • the lower electrode 516 may not be used, or may be the sole source of plasma within the chamber 510 , or may augment any additional plasma source.
  • the PECVD system 500 can further comprise the translation device 550 that can be coupled by a bellows 554 to the processing chamber 510 . Also, coupling assembly 552 can couple the translation device 550 to the substrate holder 530 . The bellows 554 are configured to seal the vertical translation device 550 from the atmosphere outside the processing chamber 510 .
  • the translation device 550 allows a variable gap 504 to be established between the shower plate assembly 520 and the substrate 535 .
  • the gap 504 can range from approximately 10 mm to approximately 200 mm, and alternatively, the gap 504 can range from approximately 20 mm to approximately 80 mm.
  • the gap 504 can remain fixed or the gap 504 can be changed during a deposition process.
  • the substrate holder 530 can further comprise a focus ring 506 and a ceramic cover 508 .
  • the focus ring 506 and/or the ceramic cover 508 need not be included, as would be appreciated by those skilled in the art.
  • At least one chamber wall 512 can comprise a coating 514 to protect the wall.
  • the coating 514 can comprise a ceramic material.
  • the coating 514 is not required.
  • a ceramic shield (not shown) can be used within the processing chamber 510 .
  • the temperature control system can be used to control the chamber wall 512 temperature.
  • ports can be provided in the chamber wall 512 for controlling temperature.
  • the chamber wall 512 temperature can be maintained relatively constant while a process is being performed in the chamber 510 .
  • the temperature control system can be used to control the temperature of the upper electrode 540 .
  • the temperature control elements 542 can be used to control the upper electrode 540 temperature.
  • the upper electrode 540 temperature can be maintained relatively constant while a process is being performed in the chamber 510 .
  • the PECVD system 500 can also comprise the remote plasma system 575 that can be used for chamber 510 cleaning.
  • the PECVD system 500 can also comprise a purging system (not shown) that can be used for controlling contamination and/or chamber 510 cleaning.
  • the processing chamber 510 can, for example, further comprise a monitoring port (not shown).
  • the monitoring port can, for example, permit optical monitoring of the process space 502 .
  • the PECVD system 500 also comprises the controller 590 .
  • the controller 590 can be coupled to the chamber 510 , the shower plate assembly 520 , the substrate holder 530 , the gas supply system 531 , the upper electrode 540 , the first RF match 544 , the first RF source 546 , the translation device 550 , the ESC supply 556 , the heater supply 558 , the second RF match 562 , the second RF source 560 , the purging system 595 , the remote plasma device 575 , and the pressure control system 580 .
  • the controller 590 can be configured to provide control data to these components and receive data such as process data from these components.
  • the controller 590 can comprise a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 500 as well as monitor outputs from the PECVD system 500 .
  • controller 590 can exchange information with system components.
  • a program stored in the memory can be utilized to control the aforementioned components of the PECVD system 500 according to a process recipe.
  • controller 590 can be configured to analyze the process data, to compare the process data with target process data, and to use the comparison to change a process and/or control the deposition tool.
  • the controller 590 can be configured to analyze the process data, to compare the process data with historical process data, and to use the comparison to predict, prevent, and/or declare a fault.
  • the substrate 535 can be placed on the translatable substrate holder 530 .
  • the translatable substrate holder 530 can be used to establish the gap between the upper electrode 540 surface and the surface of the translatable substrate holder 530 .
  • the gap 504 can range from approximately 10 mm to approximately 200 mm, or alternatively, the gap 504 can range from approximately 20 mm to approximately 80 mm. In alternate embodiments, the gap 504 size can be changed.
  • a TRF signal can be provided to the upper electrode 540 using the first RF source 544 .
  • the first RF source 544 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the first RF source 544 can operate in a frequency range from approximately 1 MHz. to approximately 100 MHz., or the first RF source 544 can operate in a frequency range from approximately 2 MHz. to approximately 60 MHz.
  • the first RF source 544 can operate in a power range from approximately 10 watts to approximately 10000 watts, or alternatively, the first RF source 544 can operate in a power range from approximately 10 watts to approximately 5000 watts
  • a BRF signal can be provided to the lower electrode 530 using the second RF source 560 .
  • the second RF source 560 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the second RF source 560 can operate in a frequency range from approximately 0.2 MHz. to approximately 30 MHz. or the second RF source can operate in a frequency range from approximately 0.3 MHz. to approximately 15 MHz.
  • the second RF source 560 can operate in a power range from approximately 0.0 watts to approximately 1000 watts, or alternatively, the second RF source 560 can operate in a power range from approximately 0.0 watts to approximately 500 watts.
  • a BRF signal is not required.
  • a process gas can be provided to the processing chamber 510 using the shower plate assembly 520 .
  • process gas can comprise a silicon-containing precursor, a carbon-containing precursor, or oxygen containing gas, or a combination of two or more thereof.
  • An inert gas can also be included.
  • the flow rate for the silicon-containing precursor and the carbon-containing precursor can range from approximately 0 sccm to approximately 5000 sccm and the flow rate for the inert gas can range from approximately 0 sccm to approximately 10000 sccm.
  • the silicon-containing precursor can comprise monosilane (SiH 4 ), tetraethylorthosilicate (TEOS), monomethylsilane (1 MS), dimethylsilane (2MS), trimethylsilane (3MS), tetramethylsilane (4MS), octamethylcyclotetrasiloxane (OMCTS), dimethyldimethoxysilane (DMDMOS), or tetramethylcyclotetrasilane (TMCTS), or a combination of two or more thereof.
  • the carbon-containing precursor can comprise CH 4 , C 2 H 4 , C 2 H 2 , C 6 H 6 , or C 6 H 5 OH, or a combination of two or more thereof.
  • the inert gas can comprise argon, helium, or nitrogen, or a combination of two or more thereof.
  • the oxygen containing gas can comprise at O 2 , CO, NO, N 2 O, or CO 2 , or a combination of two or more thereof, and the flow rate can range from approximately 0 sccm to approximately 10000 sccm.
  • the TERA layer can comprise a material having a refractive index (n) ranging from approximately 1.5 to approximately 2.5 when measured at a wavelength of at least one of 248 nm, 193 nm, or 157 nm, and an extinction coefficient (k) ranging from approximately 0.10 to approximately 0.9 when measured at a wavelength of at least one of 248 nm, 193 nm, or 157 nm.
  • a TERA layer can comprise a SiCOH material, or a SiCH material, or a combination thereof.
  • the TERA layer can comprise a thickness ranging from approximately 30 nm to approximately 500 nm, and the deposition rate can range from approximately 100 ⁇ /min to approximately 10000 ⁇ /min.
  • the TERA layer can comprise one or more layers having different etch-resistance and/or optical properties.
  • the chamber pressure and substrate temperature can be controlled during the deposition of the TERA layer.
  • the chamber pressure can range from approximately 0.1 mTorr to approximately 100.0 mTorr
  • the substrate temperature can range from approximately 0° C. to approximately 500° C.
  • FIG. 6 illustrates a simplified block diagram for a processing system 600 in accordance with an embodiment of the invention.
  • the processing system 600 for performing a chemical treatment and a thermal treatment of a substrate 642 is presented.
  • the processing system 600 comprises a chemical treatment system 610 , and a thermal treatment system 620 coupled to the chemical treatment system 610 .
  • the chemical treatment system 610 comprises a chemical treatment chamber 611 , which can be temperature-controlled.
  • the thermal treatment system 620 comprises a thermal treatment chamber 621 , which can be temperature-controlled.
  • the chemical treatment chamber 611 and the thermal treatment chamber 621 can be thermally insulated from one another using a thermal insulation assembly 630 , and vacuum isolated from one another using a gate valve assembly 696 .
  • the chemical treatment system 610 further comprises a temperature controlled substrate holder 640 configured to be substantially thermally isolated from the chemical treatment chamber 611 and configured to support the substrate 642 .
  • a vacuum pumping system 650 is coupled to the chemical treatment chamber 611 to evacuate the chemical treatment chamber 611 .
  • a gas distribution system 660 is also connected to the chemical treatment chamber 611 for introducing a process gas into a process space 662 within the chemical treatment chamber 611 .
  • the thermal treatment system 620 further comprises a temperature controlled substrate holder 670 mounted within the thermal treatment chamber 621 .
  • the substrate holder 670 is configured to be substantially thermally insulated from the thermal treatment chamber 621 and is configured to support a substrate 642 ′.
  • a vacuum pumping system 680 is used to evacuate the thermal treatment chamber 621 .
  • a substrate lifter assembly 690 is coupled to the thermal treatment chamber 621 .
  • the lifter assembly 690 can vertically translate the substrate 642 ′′ between a holding plane (solid lines) and the substrate holder 670 (dashed lines), or a transfer plane located therebetween.
  • the thermal treatment chamber 621 can further comprise an upper assembly 684 .
  • the chemical treatment chamber 611 , thermal treatment chamber 621 , and thermal insulation assembly 630 define a common opening 694 through which a substrate 642 can be transferred.
  • the common opening 694 can be sealed closed using the gate valve assembly 696 in order to permit independent processing in the two chambers 611 , 621 .
  • a transfer opening 698 can be formed in the thermal treatment chamber 621 in order to permit substrate exchanges with a transfer system as illustrated in FIG. 1 .
  • a second thermal insulation assembly 631 can be implemented to thermally insulate the thermal treatment chamber 621 from a transfer system (not shown).
  • the transfer opening 698 can be formed in the chemical treatment chamber 611 and not the thermal treatment chamber 621 , or the transfer opening 698 can be formed in both the chemical treatment chamber 611 and the thermal treatment chamber 621 .
  • the chemical treatment system 610 comprises the substrate holder 640 and the substrate holder assembly 644 in order to provide several operational functions for thermally controlling and processing the substrate 642 .
  • the substrate holder 640 and the substrate holder assembly 644 can comprise an electrostatic clamping system (or mechanical clamping system) in order to electrically (or mechanically) clamp the substrate 642 to the substrate holder 640 .
  • the substrate holder 640 can, for example, further include a cooling system having a re-circulating coolant flow that receives heat from the substrate holder 640 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • a heat transfer gas can, for example, be delivered to the back-side of the substrate 642 via a backside gas system to improve the gas-gap thermal conductance between the substrate 642 and the substrate holder 640 .
  • the heat transfer gas supplied to the back-side of the substrate 642 can comprise an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen.
  • Such a system can be utilized when temperature control of the substrate 642 is required at elevated or reduced temperatures.
  • the backside gas system can comprise a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the back-side gas gap pressure can be independently varied between the center and the edge of the substrate 642 .
  • heating/cooling elements such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 640 , as well as the chamber wall of the chemical treatment chamber 611 .
  • the substrate holder 640 can further comprise a lift pin assembly (not shown) capable of raising and lowering three or more lift pins (not shown) in order to vertically translate the substrate 642 to and from an upper surface of the substrate holder 640 and a transfer plane in the processing system 600 .
  • a lift pin assembly capable of raising and lowering three or more lift pins (not shown) in order to vertically translate the substrate 642 to and from an upper surface of the substrate holder 640 and a transfer plane in the processing system 600 .
  • the temperature of the temperature-controlled substrate holder 640 can be monitored using a temperature sensing device (not shown) such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.).
  • a controller can utilize the temperature measurement as feedback to the substrate holder 640 assembly in order to control the temperature of substrate holder 640 .
  • a fluid flow rate, fluid temperature, heat transfer gas type, heat transfer gas pressure, clamping force, resistive heater element current or voltage, thermoelectric device current or polarity, or a combination of two or more thereof can be adjusted in order to affect a change in the temperature of substrate holder 640 and/or the temperature of the substrate 642 .
  • chemical treatment system 610 comprises a gas distribution system 660 .
  • a gas distribution system 660 can comprise a showerhead gas injection system (not shown).
  • the gas distribution system 660 can further comprise one or more gas distribution orifices to distribute a process gas to the process space 662 within the chemical treatment chamber 611 .
  • the process gas can, for example, comprise NH 3 , HF, H 2 , O 2 , CO, CO 2 , Ar, He, etc.
  • the chemical treatment system 620 further comprises the temperature controlled chemical treatment chamber 611 that is maintained at an elevated temperature.
  • a wall heating element 666 can be coupled to a wall temperature control unit 668 , and the wall heating element 666 can be configured to couple to the chemical treatment chamber 611 .
  • the heating element 666 can, for example, comprise a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament.
  • Examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, Conn.
  • the Kanthal family includes ferritic alloys (FeCrAl) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • the wall temperature control unit 668 can, for example, comprise a controllable DC power supply.
  • wall heating element 666 can comprise at least one Firerod cartridge heater commercially available from Watlow (1310 Kingsland Dr., Batavia, Ill., 60510).
  • a cooling element can also be employed in the chemical treatment chamber 611 .
  • the temperature of the chemical treatment chamber 611 can be monitored using a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.).
  • a controller can utilize the temperature measurement as feedback to the wall temperature control unit 668 in order to control the temperature of the chemical treatment chamber 611 .
  • the chemical treatment system 610 can further comprise a temperature controlled gas distribution system 660 that can be maintained at any selected temperature.
  • the vacuum pumping system 650 can comprise a vacuum pump 652 and a gate valve 654 for throttling the chamber pressure.
  • the vacuum pump 652 can, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater).
  • TMP turbo-molecular vacuum pump
  • the TMP can be a Seiko STP-A803 vacuum pump, or an Ebara ET1301W vacuum pump.
  • TMPs are useful for low pressure processing, typically less than 50 mTorr. For high pressure (i.e., greater than 100 mTorr) or low throughput processing (i.e., no gas flow), a mechanical booster pump and dry roughing pump can be used.
  • the processing system 600 can be controlled using a controller, such as controller 90 in FIG. 1 .
  • the processing system 600 can comprise a controller (not shown) that can be coupled to the chemical treatment system 610 and the thermal treatment system 620 .
  • the controller can comprise a processor, memory, and a digital I/O port capable of exchanging information with the chemical treatment system 610 as well as the thermal treatment system 620 .
  • the thermal treatment system 620 further comprises a temperature controlled substrate holder 670 .
  • the substrate holder 670 can further comprise a heating element 676 embedded therein and a substrate holder temperature control unit 678 coupled thereto.
  • the heating element 676 can, for example, comprise a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament.
  • Examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, and Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, Conn.
  • the Kanthal family includes ferritic alloys (FeCrAl) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • the substrate holder temperature control unit 678 can, for example, comprise a controllable DC power supply.
  • the temperature controlled substrate holder 670 can, for example, be a cast-in heater commercially available from Watlow (1310 Kingsland Dr., Batavia, Ill., 60510) capable of a maximum operating temperature of 400 to 450 C, or a film heater comprising aluminum nitride materials that is also commercially available from Watlow and capable of operating temperatures as high as 300 C and power densities of up to 23.25 W/cm 2 .
  • a cooling element can be incorporated in the substrate holder 670 .
  • the temperature of the substrate holder 670 can be monitored using a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple). Furthermore, a controller can utilize the temperature measurement as feedback to the substrate holder temperature control unit 678 in order to control the temperature of the substrate holder 670 .
  • a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple).
  • a controller can utilize the temperature measurement as feedback to the substrate holder temperature control unit 678 in order to control the temperature of the substrate holder 670 .
  • the thermal treatment system 620 can further comprise a temperature controlled thermal treatment chamber 621 that is maintained at a selected temperature.
  • a thermal wall heating element 683 can be coupled to a thermal wall temperature control unit 681 , and the thermal wall heating element 683 can be configured to couple to the thermal treatment chamber 621 .
  • the heating element 683 can, for example, comprise a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament.
  • Examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, Conn.
  • the Kanthal family includes ferritic alloys (FeCrAl) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • thermal wall temperature control unit 681 can, for example, comprise a controllable DC power supply.
  • thermal wall heating element 683 can comprise at least one Firerod cartridge heater commercially available from Watlow (1310 Kingsland Dr., Batavia, Ill., 60510).
  • cooling elements may be employed in thermal treatment chamber 621 .
  • the temperature of the thermal treatment chamber 621 can be monitored using a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.).
  • a controller can utilize the temperature measurement as feedback to the thermal wall temperature control unit 681 in order to control the temperature of the thermal treatment chamber 621 .
  • thermal treatment system 620 can further comprise an upper assembly 684 .
  • the upper assembly 684 can, for example, comprise a gas injection system for introducing a purge gas, process gas, or cleaning gas to the thermal treatment chamber 621 .
  • the thermal treatment chamber 621 can comprise a gas injection system separate from the upper assembly.
  • a purge gas, process gas, or cleaning gas can be introduced to the thermal treatment chamber 621 through a side-wall thereof.
  • the upper assembly 684 can comprise a radiant heater such as an array of tungsten halogen lamps for heating the substrate 642 ′′ positioned on the substrate lifter assembly 690 .
  • the thermal treatment system 620 can further comprise a temperature controlled upper assembly 684 that can be maintained at a selected temperature.
  • the upper assembly 684 can comprise a heating element.
  • the temperature of the upper assembly 684 can be monitored using a temperature-sensing device.
  • a controller can utilize the temperature measurement as feedback to control the temperature of the upper assembly 684 .
  • the upper assembly 684 may additionally or alternatively include a cooling element.
  • the thermal treatment system 620 can further comprise a substrate lifter assembly 690 .
  • the substrate lifter assembly 690 can be configured to lower a substrate 642 ′ to an upper surface of the substrate holder 670 , as well as raise a substrate 642 ′′ from an upper surface of the substrate holder 670 to a holding plane, or a transfer plane therebetween.
  • the substrate 642 ′′ can be exchanged with a transfer system utilized to transfer substrates into and out of the chemical and thermal treatment chambers 611 , 621 .
  • the substrate 642 ′′ can be cooled while another substrate is exchanged between the transfer system and the chemical and thermal treatment chambers 611 , 621 .
  • the thermal treatment system 620 further comprises a vacuum pumping system 680 .
  • the vacuum pumping system 680 can, for example, comprise a vacuum pump, and a throttle valve such as a gate valve or butterfly valve.
  • the vacuum pump can, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater).
  • TMPs are useful for low pressure processing, typically less than 50 mTorr.
  • a mechanical booster pump and dry roughing pump can be used.
  • a gate valve assembly 696 can be utilized to vertically translate a gate valve in order to open and close the common opening 694 .
  • the gate valve assembly 696 can vacuum seal the common opening 694 .
  • the processing system 600 can comprise a chemical oxide removal (COR) system 610 for trimming oxidized features of a TERA layer.
  • the processing system 600 comprises the chemical treatment system 610 for chemically treating exposed surfaces of features on a TERA layer, such as oxidized surfaces, whereby adsorption of the process chemistry on the exposed surfaces of the features on a TERA layer affects chemical alteration of the exposed surfaces.
  • the processing system 600 comprises the thermal treatment system 620 for thermally treating the substrate, whereby the substrate temperature is elevated in order to desorb (or evaporate) the chemically altered exposed surfaces of the features on a TERA layer.
  • An exemplary COR process can comprise a number of process steps.
  • the substrate 642 can be transferred into the chemical treatment system 610 using the substrate transfer system.
  • the substrate 642 can be received by lift pins that are housed within the substrate holder 640 , and the substrate 642 is lowered to the substrate holder 640 . Thereafter, the substrate 642 can be secured to the substrate holder 660 using a clamping system, such as an electrostatic clamping system, and a heat transfer gas can be supplied to the backside of the substrate 642 .
  • a clamping system such as an electrostatic clamping system
  • one or more chemical processing parameters for chemical treatment of the substrate 642 can be established.
  • the one or more chemical processing parameters comprise a chemical treatment processing pressure, a chemical treatment wall temperature, a chemical treatment substrate holder temperature, a chemical treatment substrate temperature, a chemical treatment gas distribution system temperature, or a chemical treatment gas flow rate, or a combination of two or more thereof.
  • the substrate 642 can be chemically treated for a first period of time.
  • the first period of time can range from 10 to 480 seconds, for example.
  • the substrate 642 can be transferred from the chemical treatment chamber 611 to the thermal treatment chamber 621 .
  • the substrate clamp can be removed, and the flow of heat transfer gas to the backside of the substrate 642 can be terminated.
  • the substrate 642 can be vertically lifted from the substrate holder 640 to the transfer plane using the lift pin assembly housed within the substrate holder 640 .
  • the transfer system can receive the substrate 642 from the lift pins and can position the substrate 642 within the thermal treatment system 620 .
  • the substrate lifter assembly 690 receives the substrate 641 ′, 642 ′′ from the transfer system, and lowers the substrate 642 ′ to the substrate holder 670
  • the thermal processing parameters for a thermal treatment of the substrate 642 ′ can be set.
  • the one or more thermal processing parameters comprise a thermal treatment wall temperature, a thermal treatment upper assembly temperature, a thermal treatment substrate temperature, a thermal treatment substrate holder temperature, a thermal treatment substrate temperature, or a thermal treatment processing pressure, or a combination of two or more thereof.
  • the substrate 642 ′ can be thermally treated for a second period of time.
  • the second period of time can range from 10 to 480 seconds, for example.
  • FIG. 7 illustrates a simplified block diagram of a processing subsystem 700 in accordance with an embodiment of the invention.
  • the processing subsystem 700 for performing a number of processes, such as etching, ashing, cleaning, and oxidizing, is presented.
  • the processing subsystem 700 can comprise a processing chamber 710 , an upper assembly 720 , a gas supply system 750 , a shower plate assembly 756 , a substrate holder 730 for supporting a substrate 705 , a pressure control system 780 , and a controller 790 .
  • the processing subsystem 700 can comprise the pressure control system 780 that can be coupled to the processing chamber 710 .
  • the pressure control system 780 can comprise a throttle valve (not shown) and a turbomolecular pump (TMP) (not shown) and can provide a controlled pressure in the processing chamber 710 .
  • TMP turbomolecular pump
  • the pressure control system 700 can comprise a dry pump.
  • the chamber pressure can range from approximately 0.1 mTorr to approximately 100 mTorr.
  • the chamber pressure can range from approximately 0.1 mTorr to approximately 20 mTorr.
  • the processing chamber 710 can facilitate the formation of plasma in a process space 702 .
  • the processing subsystem 700 can be configured to process substrates of any size, such as 200 mm substrates, 300 mm substrates, or larger substrates. Alternately, the processing subsystem 700 can operate by generating plasma in one or more processing chambers.
  • the processing subsystem 700 can comprise a shower plate 758 coupled to gas distribution system components 756 and 752 .
  • the gas distribution system component 752 can be coupled to a gas distribution system 750 .
  • the shower plate 758 can comprise quartz and can be mounted opposite the substrate holder 730 .
  • the shower plate 758 can comprise one or more distribution regions (not shown).
  • a shield ring 744 can be used to couple the shower plate 758 to the gas distribution system component 756 .
  • Ceramic insulators 740 , 742 , and 746 can be used to couple the gas distribution system component 756 and the shower plate 758 to the processing chamber 710 .
  • the gas distribution system 750 can provide process gas to the gas distribution system components 756 , 752 and to the shower plate 758 .
  • the gas chemistries and flow rates can be individually controlled.
  • the processing subsystem 700 can comprise an upper electrode 725 that can be coupled to the gas distribution system components 756 , 752 , to the shower plate 758 and to the processing chamber 710 .
  • the upper electrode 725 can comprise temperature control elements (not shown).
  • the upper electrode 725 can be coupled to a first RF source 770 using a first match network 772 . Alternately, a separate match network 772 is not required.
  • the first RF source 770 can provide a TRF signal to the upper electrode, and the first RF source 770 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the TRF signal can be in the frequency range from approximately 1 MHz. to approximately 100 MHz. or alternatively in the frequency range from approximately 10 MHz. to approximately 100 MHz.
  • the first RF source 790 can operate in a power range from approximately 0 watts to approximately 10000 watts, or alternatively the first RF source 770 can operate in a power range from approximately 0 watts to approximately 5000 watts.
  • the upper electrode 725 and the first RF source 770 can be parts of a capacitively coupled plasma source.
  • the capacitively couple plasma source may be replaced with or augmented by other types of plasma sources, such as an inductively coupled plasma (ICP) source, a transformer-coupled plasma (TCP) source, a microwave powered plasma source, an electron cyclotron resonance (ECR) plasma source, a Helicon wave plasma source, and a surface wave plasma source.
  • ICP inductively coupled plasma
  • TCP transformer-coupled plasma
  • ECR electron cyclotron resonance
  • the upper electrode 725 may be eliminated or reconfigured in the various suitable plasma sources.
  • the substrate 705 can be, for example, transferred into and out of processing chamber 710 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system (not shown), and it can be received by the substrate holder 730 .
  • the processing chamber 710 can comprise a translation device (not shown), and when the substrate 705 is received from the substrate transfer system, the substrate 705 can be raised and/or lowered using a translation device (not shown) that can be coupled to the substrate holder 730 .
  • the substrate 705 can be affixed to the substrate holder 730 via an electrostatic clamping system 764 .
  • the electrostatic clamping system 764 can comprise an electrode and an ESC supply. Clamping voltages that can range from approximately ⁇ 5000 V to approximately +5000 V, for example, can be provided to the clamping electrode. Alternatively, the clamping voltage can range from approximately ⁇ 2500 V to approximately +2500 V. In alternate embodiments, an ESC system and supply may be omitted altogether.
  • the substrate holder 730 can comprise lift pins (not shown) for lowering and/or raising the substrate 705 to and/or from the surface of the substrate holder 730 .
  • different lifting means can be provided in the substrate holder 730 .
  • gas can, for example, be delivered to the backside of the substrate 705 via a backside gas system to improve the gas-gap thermal conductance between the substrate 705 and the substrate holder 730 .
  • a temperature control system can also be provided. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • temperature control elements (not shown) can be included in the substrate holder 730 , the processing chamber 710 and/or the upper assembly 720 .
  • an electrode 768 can be coupled to a second RF source 775 using a second match network 777 .
  • the match network 777 may be omitted altogether.
  • the second RF source 775 can provide a bottom RF signal (BRF) to the lower electrode 768 , and the second RF source 775 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the BRF signal can be in the frequency range from approximately 0.2 MHz. to approximately 30 MHz. or alternatively, in the frequency range from approximately 0.3 MHz. to approximately 15 MHz.
  • the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 2500 watts, or alternatively, the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 500 watts.
  • the lower electrode 768 may be not used, or may be the sole source of plasma within the chamber, or may augment any additional plasma source.
  • the substrate holder 730 can further comprise a quartz focus ring 762 and quartz isolators 760 , 766 .
  • the focus ring 762 and/or quartz isolators 760 , 766 may be omitted altogether.
  • the processing chamber 710 can further comprise a chamber liner 714 and at least one protective element 716 .
  • the protective element 716 can comprise a ceramic material, and can be used to protect the substrate holder 730 and the wall. In an alternate embodiment, the protective element 716 may be omitted altogether.
  • a gap can be established between the shower plate 758 and the substrate holder 730 using different wall heights for the processing chamber 710 .
  • a 170 mm gap can be established.
  • different gap sizes can be used.
  • a translation device (not shown) can be used to provide a variable gap, and the gap can remain fixed or the gap can be changed during a process.
  • the processing chamber 710 can, for example, further comprise a monitoring port (not shown).
  • a monitoring port can, for example, permit optical monitoring of the process space 702 .
  • the processing subsystem 700 can also comprise the controller 790 .
  • the controller 790 can be coupled to the processing chamber 710 , the gas supply system 750 , the first RF match 772 , the first RF source 770 , the second RF match 787 , the second RF source 785 , and the pressure control system 780 .
  • the controller 790 can be configured to provide control data to these components and receive data such as process data from these components.
  • controller 790 can comprise a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 700 as well as monitor outputs from the processing subsystem 700 .
  • controller 790 can exchange information with system components.
  • a program stored in the memory can be utilized to control the aforementioned components of the processing subsystem 700 according to a process recipe.
  • controller 790 can be configured to analyze the process data, to compare the process data with target process data, and to use the comparison to change a process and/or control the deposition tool.
  • the controller 790 can be configured to analyze the process data, to compare the process data with historical process data, and to use the comparison to predict, prevent, and/or declare a fault.
  • the substrate 705 can be placed on the substrate holder 730 in the processing chamber 710 .
  • the processing chamber 710 can be chosen based on the gap size between the upper electrode surface 725 and a surface of the substrate holder 730 .
  • the gap can range from approximately 10 mm to approximately 200 mm, or alternatively, the gap can range from approximately 150 mm to approximately 190 mm. In alternate embodiments, the gap size can be different.
  • a TRF signal can be provided to the upper electrode 725 using the first RF source 770 .
  • the first RF source 770 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the first RF source 770 can operate in a frequency range from approximately 1 MHz. to approximately 100 MHz., or the first RF source 770 can operate in a frequency range from approximately 20 MHz. to approximately 100 MHz.
  • the first RF source 770 can operate in a power range from approximately 10 watts to approximately 10000 watts, or alternatively, the first RF source 770 can operate in a power range from approximately 10 watts to approximately 5000 watts
  • a BRF signal can be provided to the lower electrode 768 using the second RF source 775 .
  • the second RF source 775 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the second RF source 775 can operate in a frequency range from approximately 0.2 MHz. to approximately 30 MHz, or the second RF source can operate in a frequency range from approximately 0.3 MHz. to approximately 15 MHz.
  • the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 1000 watts, or alternatively, the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 500 watts.
  • a BRF signal is not required.
  • a process gas can be provided to the processing chamber 710 using the shower plate 758 .
  • the process gas can comprise an oxygen-containing gas and an inert gas.
  • the oxygen-containing gas can comprise O 2 , CO, NO, N 2 O, or CO 2 , or a combination of two or more thereof, and the flow rate can range from approximately 0 sccm to approximately 10000 sccm.
  • the inert gas can comprise argon, helium, or nitrogen, or a combination of two or more thereof, and the flow rate for the inert gas can range from approximately 0 sccm to approximately 10000 sccm.
  • the chamber pressure and substrate temperature can be controlled during the etching of the TERA layer.
  • the chamber pressure can range from approximately 0.1 mTorr to approximately 100.0 mTorr
  • the substrate temperature can range from approximately 0° C. to approximately 500° C.
  • the substrate can be placed on the substrate holder 730 in a processing chamber 710 .
  • the processing chamber 710 can be chosen based on the gap size between the upper electrode surface 725 and a surface of the substrate holder 730 .
  • the gap can range from approximately 10 mm to approximately 200 mm, or alternatively, the gap can range from approximately 150 mm to approximately 190 mm. In alternate embodiments, the gap size can be selected from a wide variety of predetermined values.
  • a TRF signal can be provided to the upper electrode 725 using the first RF source 770 .
  • the first RF source 770 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the first RF source 770 can operate in a frequency range from approximately 1 MHz. to approximately 100 MHz. or the first RF source 770 can operate in a frequency range from approximately 20 MHz. to approximately 100 MHz.
  • the first RF source 770 can operate in a power range from approximately 10 watts to approximately 10000 watts, or alternatively, the first RF source 770 can operate in a power range from approximately 10 watts to approximately 5000 watts
  • a BRF signal can be provided to the lower electrode 768 using the second RF source 775 .
  • the second RF source 775 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz.
  • the second RF source 775 can operate in a frequency range from approximately 0.2 MHz. to approximately 30 MHz. or the second RF source can operate in a frequency range from approximately 0.3 MHz. to approximately 15 MHz.
  • the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 1000 watts, or alternatively, the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 500 watts.
  • a BRF signal is not required.
  • a process gas can be provided to the processing chamber 710 using the shower plate 758 .
  • the process gas can comprise an oxygen-containing gas and/or an inert gas.
  • the oxygen containing gas can comprise O 2 , CO, NO, N 2 O, or CO 2 , or a combination of two or more thereof, and the flow rate can range from approximately 0.0 sccm to approximately 10000 sccm.
  • the inert gas can comprise argon, helium, or nitrogen, or a combination of two or more thereof, and the flow rate for the inert gas can range from approximately 0 sccm to approximately 10000 sccm.
  • the chamber pressure and substrate temperature can be controlled when oxidizing the features of a TERA layer.
  • the chamber pressure can range from approximately 0.1 mTorr to approximately 100.0 Torr
  • the substrate temperature can range from approximately 0° C. to approximately 500° C.

Abstract

A processing system and method for chemically treating a TERA layer on a substrate. The chemical treatment of the substrate chemically alters exposed surfaces on the substrate. In one embodiment, the system for processing a TERA layer includes a plasma-enhanced chemical vapor deposition (PECVD) system for depositing the TERA layer on the substrate, an etching system for creating features in the TERA layer, and a processing subsystem for reducing the size of the features in the TERA layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This is a divisional of U.S. patent application Ser. No. 10/883,784, filed Jul. 6, 2004, for which the Issue Fee has been paid. This application is related to U.S. Pat. No. 7,029,536, which issued on Apr. 18, 2006, U.S. Pat. No. 7,079,760, which issued on Jul. 18, 2006, co-pending U.S. patent application Ser. No. 10/705,397, filed on Nov. 12, 2003, and co-pending U.S. patent application Ser. No. 10/644,958, filed on Aug. 21, 2003. The contents of all of these patents and applications are herein incorporated by reference in their entireties.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a system and method for treating a Tunable Etch Rate ARC (TERA) layer, and more particularly to a system and method for chemical treatment of a TERA layer.
  • 2. Description of the Related Art
  • During semiconductor processing, a (dry) plasma etch process can be utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate. The plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is throttled to achieve an ambient process pressure. Thereafter, a plasma is formed when a fraction of the gas species present are ionized by electrons heated via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR). Moreover, the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry. Once the plasma is formed, selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, gates, etc.) in the selected regions of the substrate. Such substrate materials where etching is required include silicon dioxide (SiO2), low-k dielectric materials, poly-silicon, and silicon nitride. During material processing, etching such features generally comprises the transfer of a pattern formed within a mask layer to the underlying film within which the respective features are formed. The mask can, for example, comprise a light-sensitive material such as (negative or positive) photo-resist, multiple layers including such layers as photo-resist and an anti-reflective coating (ARC), or a hard mask formed from the transfer of a pattern in a first layer, such as photo-resist, to the underlying hard mask layer.
  • SUMMARY OF THE INVENTION
  • The principles of the present invention, as embodied and broadly described herein, provide a method of processing a Tunable Etch Rate ARC (TERA) layer on a substrate. The TERA layer processing method includes depositing the TERA layer on the substrate using a plasma enhanced chemical vapor deposition (PECVD) system, creating features in the TERA layer using an etching system, and reducing the size of the features in the TERA layer.
  • Additionally, a system for processing a TERA layer is presented. The system includes a plasma enhanced chemical vapor deposition (PECVD) system for depositing the TERA layer on the substrate, an etching system for creating features in the TERA layer, and a processing subsystem for reducing the size of the features in the TERA layer.
  • Numerous other aspects of the invention will be made apparent from the description that follows and from the drawings appended hereto, as would be appreciated by those skilled in the art.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which corresponding reference symbols indicate corresponding parts, and in which:
  • FIG. 1 illustrates a schematic representation of a processing system according to an embodiment of the invention;
  • FIG. 2 illustrates a simplified flow diagram of a method for operating a processing system in accordance with an embodiment of the invention;
  • FIGS. 3A-3F illustrate simplified schematic views of a method for processing a substrate in accordance with an embodiment of the invention;
  • FIGS. 4A-4G illustrate simplified schematic views of a method for processing a substrate in accordance with another embodiment of the invention;
  • FIG. 5 illustrates a simplified block diagram of a PECVD system in accordance with an embodiment of the invention;
  • FIG. 6 illustrates a simplified block diagram for a treatment system in accordance with an embodiment of the invention; and
  • FIG. 7 illustrates a simplified block diagram of a processing subsystem in accordance with an embodiment of the invention.
  • DETAILED DESCRIPTION
  • In material processing methodologies, pattern etching comprises the application of a thin layer of light-sensitive material, such as photoresist, to an upper surface of a substrate that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film during etching. The patterning of the light-sensitive material generally involves exposure by a radiation source through a reticle (and associated optics) of the light-sensitive material using, for example, a micro-lithography system, followed by the removal of the irradiated regions of the light-sensitive material (as in the case of positive photoresist), or non-irradiated regions (as in the case of negative resist) using a developing solvent.
  • Additionally, multi-layer and hard masks can be implemented for etching features in a thin film. For example, when etching features in a thin film using a hard mask, the mask pattern in the light-sensitive layer is transferred to the hard mask layer using a separate etch step preceding the main etch step for the thin film. The hard mask can, for example, comprise a TERA layer that can be selected from several materials for silicon processing including silicon dioxide (SiO2), silicon nitride (Si3N4), and carbon, for example.
  • In order to reduce the feature size formed in the thin film, the hard mask can be trimmed laterally using, for example, a two-step process involving a chemical treatment of the exposed surfaces of the hard mask layer in order to alter the surface chemistry of the hard mask layer, and a post treatment of the exposed surfaces of the hard mask layer in order to desorb the altered surface chemistry.
  • FIG. 1 illustrates a schematic representation of a processing system according to an embodiment of the invention. In the illustrated embodiment, a processing system 1 for processing a substrate using, for example, TERA layer trimming is shown. Processing system 1 can comprise a multi-element manufacturing system 10, a deposition system 20 coupled to the multi-element manufacturing system 10, a treatment system 30 coupled to the multi-element manufacturing system 10, and an etching system 70 coupled to the multi-element manufacturing system 10.
  • The treatment system 30 can comprise a transfer module 40, a thermal treatment module 50, and a chemical treatment module 60. Also, as illustrated in FIG. 1, the transfer module 40 can be coupled to the thermal treatment module 50 in order to transfer substrates into and out of the thermal treatment module 50 and the chemical treatment module 60, and exchange substrates with a multi-element manufacturing system 10.
  • As should be apparent to those skilled in the art, the multi-element manufacturing system 10 can comprise additional processing elements (not shown) including such devices as etch systems, deposition systems, coating systems, cleaning systems, polishing systems, patterning systems, metrology systems, alignment systems, lithography systems, and transfer systems. Also, the multi-element manufacturing system 10 can permit the transfer of substrates to and from the processing elements (20, 30, and 70) and the additional processing elements (not shown).
  • As should be appreciated by those skilled in the art, the exact type and arrangement of components for processing system 1 may vary without departing from the scope of the invention. As such, processing system 1 is not limited solely to components 20, 30, 40, 50, 60 and 70 as described or the layout depicted. The invention is intended to encompass a plethora of variations too numerous to list here.
  • In one embodiment, deposition system 20 can comprise a chemical vapor deposition (CVD) system, a plasma enhanced chemical vapor deposition (PECVD) system, a physical vapor deposition (PVD) system, an ionized physical vapor deposition (iPVD) system, or an atomic layer deposition (ALD) system, or a combination of two or more thereof. The process gas can comprise an oxygen-containing gas, a nitrogen containing gas, a fluorine-containing gas, or a chlorine-containing gas, or a combination of two or more thereof. Alternately, an inert gas can also be included.
  • For example, an oxygen-containing gas can comprise O2, CO, NO, N2O, or CO2, or a combination of two or more thereof. The nitrogen-containing gas can comprise NO, N2O, N2, or NF3, or a combination of two or more thereof. The fluorine-containing gas can comprise NF3, SF6, CHF3, or C4F8, or a combination of two or more thereof. It will be appreciated that similar combinations to the fluorine-containing gas can be used for the chlorine-containing gas. Moreover, hybrids of gas containing both fluorine and chlorine may be employed.
  • The flow rate for an oxygen-containing gas can vary from approximately 0 sccm to approximately 500 sccm and alternately from approximately 0 sccm to approximately 300 sccm. The flow rate for an nitrogen-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm. The flow rate for a fluorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm. The flow rate for a chlorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • In order to isolate the processes occurring in the deposition system 20, an isolation assembly 25 can be utilized to couple the deposition system 20 to the multi-element manufacturing system 10. The isolation assembly 25 can comprise a thermal insulation assembly to provide thermal isolation and/or a gate valve assembly to provide vacuum isolation. In alternate embodiments, the processing element 20 can comprise multiple modules.
  • As indicated above, in one embodiment, the treatment system 30 can comprise the transfer module 40, the thermal treatment module 50, which may be a physical heat treatment (PHT) module, and the chemical treatment module 60, which may be a chemical oxide removal (COR) module. In order to isolate the processes occurring in the different modules, isolation assemblies 35, 45, 55 can be utilized to couple the different modules. The isolation assembly 35 can be used to couple the transfer module 40 to the multi-element manufacturing system 10; the isolation assembly 45 can be used to couple the transfer module 40 to the PHT module 50; and the isolation assembly 55 can be used to couple the PHT module 50 to the COR module 60. The isolation assemblies 35, 45, 55 can comprise a thermal insulation assembly to provide thermal isolation and/or a gate valve assembly to provide vacuum isolation. In alternate embodiments, a different number of isolation assemblies 35, 45, 55 can be used.
  • In general, the transfer module 40 and/or the PHT module 50 of the processing system 1 depicted in FIG. 1 can comprise at least two transfer openings to permit the passage of the substrate therethrough. For example, as depicted in FIG. 1, the PHT module 50 comprises two transfer openings. The first transfer opening permits the passage of the substrate between the PHT module 50 and the transfer system 40, and the second transfer opening permits the passage of the substrate between the PHT module 50 and the COR module 60. Alternately, each treatment system element can comprise at least one transfer opening to permit the passage of the substrate therethrough.
  • In one embodiment, the transfer system 40, the PHT module 50, and the COR module 60 can be configured as in-line elements. Alternately, the transfer system 40, the PHT module 50, and the COR module 60 can be configured in any number of arrangements. For example, a stacked arrangement or a side-by-side arrangement can be used.
  • In one embodiment, the etching system 70 can comprise a dry etching system and/or a wet etching system. For example, the etching system 70 can comprise a plasma etching system. In order to isolate the processes occurring in the etching system 70, an isolation assembly 65 can be utilized to couple the etching system 70 to the multi-element manufacturing system 10. The isolation assembly 65 can comprise a thermal insulation assembly to provide thermal isolation and/or a gate valve assembly to provide vacuum isolation. In alternate embodiments, the etching system 70 can comprise multiple modules.
  • In the embodiment shown in FIG. 1, a controller 90 can be coupled to the multi-element manufacturing system 10, the deposition system 20, the transfer module 40, the PHT module 50, the COR module 60, and the etching system 70. For example, the controller 90 can be used to control the multi-element manufacturing system 10, the deposition system 20, the transfer module 40, the PHT module 50, the COR module 60, and the etching system 70. The controller 90 can also be connected to various components in any of a number of different ways without departing from the scope of the invention.
  • Additionally, the multi-element manufacturing system 10 can exchange substrates with one or more substrate cassettes (not shown). Additionally, for example, an isolation assembly can serve as part of a processing element.
  • FIG. 2 illustrates a simplified flow diagram of a method for operating a processing system in accordance with an embodiment of the invention. In the illustrated embodiment, a procedure is shown for reducing the size of features on a TERA layer.
  • Procedure 200 begins at task 210. In task 220, a TERA layer is deposited on a substrate. TERA layers can be deposited on top of many different layers of a substrate. For example, a TERA layer can be deposited on an oxide layer, a dielectric layer, or a metallic layer. The deposition of the TERA layer is discussed in greater detail herein.
  • Features are then created in a TERA layer, as indicated by task 230. In one embodiment, a photoresist layer can be deposited on the TERA layer and a pattern may be transferred into the photoresist layer using at least one photolithography step. The pattern can be developed to form features in the photoresist layer; and an etching process can be used to create features in the TERA layer. In an alternate embodiment, a hard mask layer can be deposited on the TERA layer.
  • While performing process 200, a stabilization step can be performed before and/or after an individual processing step. Alternately, the stabilization step may be avoided altogether.
  • Stabilization processes may encompass a variety of operational parameters, such as process time and chamber pressure. For example, the process time can vary from approximately 2 seconds to approximately 150 seconds and alternately from approximately 4 seconds to approximately 15 seconds. The chamber pressure can vary from approximately 2 mTorr to approximately 800 mTorr and alternately from approximately 10 mTorr to approximately 90 mTorr.
  • As discussed at length above, the process gas can comprise an oxygen-containing gas, a nitrogen containing gas, a fluorine-containing gas, or a chlorine-containing gas, or a combination of two or more thereof. Alternately, an inert gas can also be included. For example, an oxygen-containing gas can comprise O2, CO, NO, N2O, or CO2, or a combination of two or more thereof; the nitrogen-containing gas can comprise NO, N2O, N2, or NF3, or a combination of two or more thereof; and the fluorine-containing gas can comprise NF3, SF6, CHF3, or C4F8, or a combination of two or more thereof. The chlorine-containing gas can comprise similar combinations as the fluorine-containing gas.
  • The flow rate for an oxygen-containing gas can vary from approximately 0 sccm to approximately 500 sccm and alternately from approximately 0 sccm to approximately 300 sccm. The flow rate for an nitrogen-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm. The flow rate for a fluorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm. The flow rate for a chlorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • In one embodiment, a photoresist trim process can be performed. Alternately, the photoresist trim process can be avoided altogether. Photoresist processes may also encompass a variety of operational parameters, such as process time and chamber pressure. For example, the process time can vary from approximately 0 seconds to approximately 180 seconds and alternately from approximately 10 seconds to approximately 40 seconds. The chamber pressure can vary from approximately 10 mTorr to approximately 120 mTorr and alternately from approximately 10 mTorr to approximately 90 mTorr. Also, as discussed above, the process gas can comprise an oxygen-containing gas, a nitrogen-containing gas and/or an inert gas. And, the flow rates for an oxygen-containing gas can vary from approximately 0 sccm to approximately 500 sccm and alternately from approximately 0 sccm to approximately 300 sccm, while the flow rates for a nitrogen-containing gas can vary from approximately 0 sccm to approximately 1000 sccm and alternately from approximately 0 sccm to approximately 200 sccm.
  • RF power can be supplied to an upper electrode and the upper RF power can vary from approximately 0 watts to approximately 1500 watts and alternately from approximately 100 watts to approximately 300 watts. In addition, RF power can be supplied to a lower electrode and the lower RF power can vary from approximately 0 watts to approximately 500 watts and alternately from approximately 40 watts to approximately 150 watts.
  • In one embodiment, a TERA cap etch process can be performed. Alternately, the TERA cap etch process may be avoided altogether. The TERA cap etch process may also encompass a variety of operational parameters, such as process time and chamber pressure. For example, the process time can vary from approximately 0 seconds to approximately 50 seconds and alternately from approximately 0 seconds to approximately 18 seconds. The chamber pressure can vary from approximately 10 mTorr to approximately 120 mTorr and alternately from approximately 10 mTorr to approximately 90 mTorr.
  • Also, as discussed above, the process gas can comprise an oxygen-containing gas, a nitrogen-containing gas, a fluorine-containing gas, or a chlorine-containing gas, an inert gas, or a combination of two or more thereof. And the flow rate for an oxygen-containing gas can vary from approximately 0 sccm to approximately 500 sccm and alternately from approximately 0 sccm to approximately 300 sccm. The flow rate for a nitrogen-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm. The flow rate for a fluorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm. The flow rate for a chlorine-containing gas can vary from approximately 0 sccm to approximately 200 sccm and alternately from approximately 0 sccm to approximately 100 sccm.
  • In task 240, the size of the features in the TERA layer can be reduced. In one embodiment, the exposed surfaces of the features in the TERA layer can be oxidized, and a removal process can be performed to remove at least a part of the oxidized portion of the TERA features. A trimming amount can be established and the oxidation process can be controlled so that the correct trimming amount is achieved. During a removal process, a chemical oxide removal (COR) process can be performed. In an alternate embodiment, the oxidation process and the COR process can be performed a number of times to reduce the size of the features in the TERA layer to predetermined dimensions.
  • During an exemplary TERA oxidation process, the process time can vary from approximately 0 seconds to approximately 180 seconds and alternately from approximately 0 seconds to approximately 18 seconds. The chamber pressure can vary from approximately 10 mtorr to approximately 300 mtorr and alternately from approximately 150 mtorr to approximately 250 mtorr. The process gas can comprise an oxygen-containing gas. Alternately, an inert gas can also be included. The flow rate for an oxygen-containing gas can vary from approximately 0.0 sccm to approximately 500 sccm and alternately from approximately 150 sccm to approximately 300 sccm. RF power can be supplied to an upper electrode and the upper RF power can vary from approximately 0.0 watts to approximately 1500 watts and alternately from approximately 200 watts to approximately 400 watts. In addition, RF power can be supplied to a lower electrode and the lower RF power can vary from approximately 0.0 watts to approximately 500 watts and alternately from approximately 30 watts to approximately 100 watts.
  • During the oxidation process, the TERA layer can be partially or fully oxidized. For example, TERA layers ranging from approximately 1 nm to approximately 5 nm can be fully oxidized in less than 12 seconds. The COR process does not remove non-oxidized TERA material. The COR process can be used to remove all or part of the oxidized TERA layer, as would be appreciated by those skilled in the art.
  • For example, the transfer module 40, the PHT module 50, and the COR module 60 can be used to perform a removal process. The removal process can use a COR recipe to perform the processing and the COR recipe can begin when a substrate is transferred to the COR module. The substrate can be received by lift pins that are housed within a substrate holder, and the substrate can be lowered to the substrate holder. Thereafter, the substrate can be secured to the substrate holder using a clamping system, such as an electrostatic clamping system, and a heat transfer gas can be supplied to the backside of the substrate.
  • Next, the COR recipe can be used to set one or more chemical processing parameters for the chemical treatment of the substrate, and these parameters can include a chemical treatment processing pressure, a chemical treatment wall temperature, a chemical treatment substrate holder temperature, a chemical treatment substrate temperature, a chemical treatment gas distribution system temperature, a chemical treatment process gas, or a chemical treatment process gas flow rate, or a combination of two or more thereof. Then, the substrate can be chemically treated for a first period of time. The first period of time can range from 30 to 360 seconds, for example.
  • Next, the substrate can be transferred from the chemical treatment chamber to the PHT module 50. During which time, the substrate clamp can be removed, and the flow of heat transfer gas to the backside of the substrate can be terminated. The substrate can be vertically lifted from the substrate holder to the transfer plane using the lift pin assembly housed within the substrate holder. The transfer system can receive the substrate from the lift pins and can position the substrate within the PHT module. Therein, a substrate lifter assembly can receive the substrate from the transfer system, and can lower the substrate to the substrate holder.
  • Then, the PHT recipe can be used to set one or more thermal processing parameters for thermal treatment of the substrate by the PHT module. In the PHT recipe, the substrate can be treated thermally for a second period of time. For example, the one or more thermal processing parameters can comprise a thermal treatment wall temperature, a thermal treatment upper assembly temperature, a thermal treatment substrate temperature, a thermal treatment substrate holder temperature, a thermal treatment substrate temperature, a thermal treatment processing pressure, a thermal treatment process gas, or a thermal treatment process gas flow rate, or a combination of two or more thereof. The second period of time can range from 30 to 360 seconds, for example.
  • In an exemplary process, the treatment system 30 can comprise a chemical oxide removal (COR) system for trimming an oxidized TERA film. The treatment system 30 can comprise the COR module 50 for chemically treating exposed surface layers, such as oxidized surface layers, on a substrate, whereby adsorption of the process chemistry on the exposed surfaces affects a chemical alteration of the surface layers. Additionally, the treatment system 30 can comprise the PHT module 60 for thermally treating the substrate, whereby the substrate temperature is elevated in order to desorb (or evaporate) the chemically altered exposed surfaces on the substrate.
  • In one embodiment, a COR module can use a process gas comprising HF and NH3, and the processing pressure can range from approximately 1 to approximately 100 mTorr and, for example, can range from approximately 2 to approximately 25 mTorr. The process gas flow rates can range from approximately 1 to approximately 200 sccm for each specie and, for example, can range from approximately 10 to approximately 100 sccm. In addition, a substantially uniform pressure field can be achieved. Additionally, the COR module chamber can be heated to a temperature ranging from 30° to 100° C. and, for example, the temperature can be approximately 40° C. Additionally, the gas distribution system can be heated to a temperature ranging from approximately 40° to approximately 100° C. and, for example, the temperature can be approximately 50° C. The substrate can be maintained at a temperature ranging from approximately 10° to approximately 50° C. and, for example, the substrate temperature can be approximately 20° C.
  • In addition, in the PHT module 50, the thermal treatment chamber can be heated to a temperature ranging from approximately 50° to approximately 100° C. and, for example, the temperature can be approximately 80° C. Additionally, the upper assembly can be heated to a temperature ranging from approximately 50° to approximately 100° C. and, for example, the temperature can be approximately 80° C. The substrate can be heated to a temperature in excess of approximately 100° C. Alternatively, the substrate can be heated in a range from approximately 100° to approximately 200° C., and, for example, the temperature can be approximately 135° C.
  • The COR and PHT processes described herein can produce an etch amount of an exposed oxidized surface in excess of approximately 10 nm per 60 seconds of chemical treatment for oxidized TERA. The treatments can also produce an etch variation across the substrate of less than approximately 2.5 percent.
  • FIGS. 3A-3F illustrate simplified schematic views of a method for processing a substrate in accordance with an embodiment of the invention. In FIG. 3A, a simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using a photoresist development process and an etch process. A substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof. An additional layer 320 is shown on top of the substrate layer 310. The additional layer can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • A TERA layer 330 is shown on top of the additional layer, and the TERA layer can comprise TERA features 332. In addition, a photoresist layer 340 is shown on top of the TERA layer 330, and the photoresist layer 340 can comprise photoresist features 342. For example, the photoresist features 342 can be produced when the photoresist layer is developed, and the TERA features 332 can be produced when the photoresist features 342 are transferred into the TERA layer 330 using an etch process.
  • In FIG. 3B, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using an etching process. Features 332 have been created in the TERA layer 330A by transferring the photoresist features 342 using an etch process. A substrate layer 310 is shown, and the substrate layer can comprise of silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof. An additional layer 320 is shown on top of the substrate layer 310. The additional layer can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof.
  • A processed (etched) TERA layer 330A is shown on top of the additional layer, and the processed TERA layer 330A can comprise features 332. In addition, a photoresist layer 340 is shown on top of the processed TERA layer 330A, and the photoresist layer 340 can comprise photoresist features 342.
  • In FIG. 3C, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using an oxidation process. The photoresist features have been removed by the oxidation (ashing) process, and oxidized areas 333 and 335 have been created in the TERA features 332 in the TERA layer 330B. The oxidized areas 333 on the sides of the TERA feature can have a different thickness than the oxidized areas 335 on the top of the TERA features. For example, the top portion of the TERA layer can comprise a cap portion that has a higher resistance to etching than the other portions of the TERA layer.
  • In FIG. 3C, a substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof. An additional layer 320 is shown on top of the substrate layer 310. The additional layer can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. A processed TERA layer 330B is shown on top of the additional layer, and the processed TERA layer 330B can comprise features 332 having oxidized areas 333 and 335.
  • In FIG. 3D, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using a COR process. Oxidized areas have been removed creating reduced TERA features 337 in the TERA layer 330C by removing the oxidized areas of the TERA features using a COR process. A substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof. An additional layer 320 is shown on top of the substrate layer 310. The additional layer can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. A processed TERA layer 330C is shown on top of the additional layer, and the processed TERA layer 330C can comprise reduced size TERA features 337.
  • In FIG. 3E, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using an etch process, and one or more of the layers in the additional layer 320 has been etched using the reduced size TERA features 337 as a mask. The reduced size TERA features 337 can be used as mask features and a dry etching process and/or a wet etching process can be performed. A substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • A processed (etched) additional layer 320A is shown on top of the substrate layer 310. The processed (etched) additional layer 320A can comprise vias 324 and additional layer features 322. The additional layer features 322 can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. A processed (partially etched) TERA layer 330C is shown on top of the additional layer, and the processed (partially etched) TERA layer 330C can comprise reduced size TERA features 337. For example, the additional layer features can comprise a nitride layer and a doped poly layer.
  • In FIG. 3F, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using a removal process, and the reduced size TERA features 337 have been removed. A substrate layer 310 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof. A processed (etched) additional layer 320A is shown on top of the substrate layer 310. The processed (etched) additional layer 320A can comprise vias 324 and additional layer features 322. The additional layer features 322 can comprise one or more layers and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. In this manner, reduced size features can be created in the additional layer and smaller critical dimensions (gate widths) can be achieved. In one embodiment, further processing can be performed.
  • FIGS. 4A-4G illustrate simplified schematic views of a method for processing a substrate in accordance with another embodiment of the invention.
  • In FIG. 4A, a simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using a hard mask development process. A substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof. An additional layer 420 is shown on top of the substrate layer 410. The additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. A TERA layer 430 is shown on top of the additional layer, and the TERA layer can be used as a hard mask. In addition, a hard mask layer 440 is shown on top of the TERA layer 430, and the hard mask layer 440 can comprise hard mask features 442. For example, the hard mask features 442 can be produced using a photoresist layer (not shown).
  • In FIG. 4B, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using an etching process. Features 432 have been created in the TERA layer 430A by transferring the hard mask features 442 using an etch process. A substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410. The additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. In addition, a photoresist layer 440 is shown on top of the processed TERA layer 430A, and the photoresist layer 440 can comprise photoresist features 442.
  • In FIG. 4C, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using an oxidation process. Oxidized areas 435 have been created in the TERA features 432 in the TERA layer 430B by oxidizing the exposed surfaces of the TERA features 432 using an oxidation process. A substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410. The additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. A processed TERA layer 430B is shown on top of the additional layer, and the processed TERA layer 430B can comprise features 432 having oxidized areas 435. In addition, a photoresist layer 440 is shown on top of the processed TERA layer 430B, and the photoresist layer 440 can comprise photoresist features 442.
  • In FIG. 4D, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using a COR process. Oxidized areas can be removed using a COR process thereby creating reduced size TERA features 437 in the TERA layer 430C. Alternately, another substantially lateral etch process can be performed in which the oxidized areas 435 can be removed creating the reduced TERA features 437 in the TERA layer 430C. A substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410. The additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. A processed (laterally etched) TERA layer 430C is shown on top of the additional layer, and the processed (laterally etched) TERA layer 430C can comprise reduced size TERA features 437. In addition, hard mask features can be shown on top of the reduced size TERA features 437.
  • In FIG. 4E, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using a removal process, and the hard mask features 442 have been removed. The hard mask features can be removed using an ashing process, a dry etching process, or a wet etching process, or a combination of two or more thereof. A substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • An additional layer 420 is shown on top of the substrate layer 410. The additional layer can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. A processed (laterally etched) TERA layer 430C is shown on top of the additional layer, and the processed (laterally etched) TERA layer 430C can comprise reduced size TERA features 437. In FIG. 4E, hard mask features have been removed from the top surfaces of the reduced size TERA features 437.
  • In FIG. 4F, another simplified schematic view of a partially processed semiconductor device is shown. In the illustrated embodiment, the semiconductor device has been processed using an etch process, and the additional layer 420 has been etched using the reduced size TERA features 437 as a mask. The reduced size TERA features 437 can be used as mask features and a dry etching process and/or a wet etching process can be performed. A substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof.
  • A processed (etched) additional layer 420A is shown on top of the substrate layer 410. The processed (etched) additional layer 420A can comprise vias 424 and additional layer features 422. The additional layer features 422 can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. A processed (laterally etched) TERA layer 430C is shown on top of the additional layer, and the processed (laterally etched) TERA layer 430C can comprise reduced size TERA features 437. For example, the additional layer features can comprise a nitride layer and a doped poly layer.
  • In FIG. 4G, another simplified schematic view of a partially processed semiconductor device is shown.
  • In the illustrated embodiment, the semiconductor device has been processed using a removal process, and the reduced size TERA features 437 have been removed. A substrate layer 410 is shown, and the substrate layer can comprise silicon (Si), germanium (Ge), or gallium arsenide (GaAs), or a combination of two or more thereof. A processed (etched) additional layer 420A is shown on top of the substrate layer 410. The processed (etched) additional layer 420A can comprise vias 424 and additional layer features 422. The additional layer features 422 can comprise one or more layers, and each layer can comprise an oxide, a metal, or a dielectric material, or a combination of two or more thereof. In this manner, reduced size features can be created in the additional layer and smaller critical dimensions (gate widths) can be achieved.
  • FIG. 5 illustrates a simplified block diagram of a PECVD system in accordance with an embodiment of the invention. In the illustrated embodiment, the PECVD system 500 comprises a processing chamber 510, an upper electrode 540 as part of a capacitively coupled plasma source, a shower plate assembly 520, a substrate holder 530 for supporting a substrate 535, a pressure control system 580, and a controller 590.
  • In one embodiment, the PECVD system 500 can comprise a remote plasma system 575 that can be coupled to the processing chamber 510 using a valve 578. In another embodiment, a remote plasma system and valve are not included.
  • In one embodiment, the PECVD system 500 can comprise the pressure control system 580 that can be coupled to the processing chamber 510. For example, the pressure control system 580 can comprise a throttle valve (not shown) and a turbomolecular pump (TMP) (not shown) and can provide a controlled pressure in processing chamber 510. In alternate embodiments, the pressure control system 580 can comprise a dry pump (not shown). For example, the chamber pressure can range from approximately 0.1 mTorr to approximately 100 mTorr. Alternatively, the chamber pressure can range from approximately 0.1 mTorr to approximately 20 mTorr.
  • The processing chamber 510 can facilitate the formation of plasma in the process space 502. The PECVD system 500 can be configured to process substrates of any size, such as 200 mm substrates, 300 mm substrates, or larger substrates. Alternately, the PECVD system 500 can operate by generating plasma in one or more processing chambers.
  • The PECVD system 500 comprises the shower plate assembly 520 coupled to the processing chamber 510. The shower plate assembly 520 is mounted opposite the substrate holder 530. The shower plate assembly 520 comprises a center region 522, an edge region 524, and a sub region 526. A shield ring 528 can be used to couple the shower plate assembly 520 to the processing chamber 510.
  • The center region 522 is coupled to a gas supply system 531 by a first process gas line 523. The edge region 524 is coupled to the gas supply system 531 by a second process gas line 525. The sub region 526 is coupled to the gas supply system 531 by a third process gas line 527.
  • The gas supply system 531 provides a first process gas to the center region 522, a second process gas to the edge region 524, and a third process gas to the sub region 526. The gas chemistries and flow rates can be individually controlled to these regions. Alternately, the center region 522 and the edge region 524 can be coupled together as a single primary region, and the gas supply system 531 can provide the first process gas and/or the second process gas to the primary region. In alternate embodiments, any of the regions can be coupled together and the gas supply system 531 can provide one or more process gasses, as appropriate.
  • The gas supply system 531 can comprise at least one vaporizer (not shown) for providing precursors. Alternately, a vaporizer is not required. In an alternate embodiment, a bubbling system can be used.
  • The PECVD system 500 comprises an upper electrode 540 that can be coupled to the shower plate assembly 520 and also to the processing chamber 510. The upper electrode 540 can comprise temperature control elements 542. The upper electrode 540 can be coupled to a first RF source 546 using a first match network 544. As would be appreciated by those skilled in the art, the first match network 544 need not be provided between the first RF source 546 and the upper electrode 540.
  • The first RF source 546 provides a TRF signal to the upper electrode 540, and the first RF source 546 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. The TRF signal can be in the frequency range from approximately 1 MHz. to approximately 100 MHz. or alternatively in the frequency range from approximately 2 MHz. to approximately 60 MHz. The first RF source 546 can operate in a power range from approximately 0 watts to approximately 10000 watts, or alternatively the first RF source 546 can operate in a power range from approximately 0 watts to approximately 5000 watts.
  • The upper electrode 540 and the RF source 546 are parts of a capacitively-coupled plasma source. The capacitively-coupled plasma source may be replaced with or augmented by other types of plasma sources, such as an inductively coupled plasma (ICP) source, a transformer-coupled plasma (TCP) source, a microwave powered plasma source, an electron cyclotron resonance (ECR) plasma source, a Helicon wave plasma source, and a surface wave plasma source. As is well known in the art, the upper electrode 540 may be eliminated or reconfigured in the various suitable plasma sources.
  • The substrate 535 can be, for example, transferred into and out of the processing chamber 510 through a slot valve (not shown) and chamber feed-through (not shown) via a robotic substrate transfer system (not shown), and it can be received by the substrate holder 530 and mechanically translated by devices coupled thereto. Once the substrate 535 is received from the substrate transfer system, the substrate 535 can be raised and/or lowered using a translation device 550 that can be coupled to the substrate holder 530 by a coupling assembly 552.
  • The substrate 535 can be held or affixed to the substrate holder 530 via an electrostatic clamping system. For example, the electrostatic clamping system can comprise an electrode 516 and an ESC supply 556. Clamping voltages that can range from approximately −2000 V to approximately +2000 V, for example, can be provided to the clamping electrode 516. Alternatively, the clamping voltage can range from approximately −1000 V to approximately +1000 V. In alternate embodiments, the ESC system and the ESC supply 556 are not required.
  • The substrate holder 530 can comprise lift pins (not shown) for lowering and/or raising the substrate 535 to and/or from the surface of the substrate holder 530. In alternate embodiments, different lifting devices can be provided in the substrate holder 530, as would be appreciated by those skilled in the art. In alternate embodiments, gas can, for example, be delivered to the backside of the substrate 535 via a backside gas system to improve the gas-gap thermal conductance between the substrate 535 and the substrate holder 530.
  • A temperature control system can also be provided. Such a system can be utilized when temperature control of the substrate 535 is required at elevated or reduced temperatures. For example, a heating element 532, such as resistive heating elements, or thermoelectric heaters/coolers can be included, and the substrate holder 530 can further include a heat exchange system 534. The heating element 532 can be coupled to a heater supply 558. The heat exchange system 534 can include re-circulating coolant flow passages that receive heat from the substrate holder 530 and transfer the heat to a heat exchanger system (not shown), or when heating, transfers the heat from the heat exchanger system to the substrate holder 530.
  • Also, the electrode 516 can be coupled to a second RF source 560 using a second match network 562. Alternately, the second match network 562 is not required.
  • The second RF source 560 provides a bottom RF signal (BRF) to the lower electrode 516, and the second RF source 560 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. The BRF signal can be in the frequency range from approximately 0.2 MHz. to approximately 30 MHz. or alternatively, in the frequency range from approximately 0.3 MHz. to approximately 15 MHz. The second RF source 560 can operate in a power range from approximately 0.0 watts to approximately 1000 watts, or alternatively, the second RF source 560 can operate in a power range from approximately 0.0 watts to approximately 500 watts. In various embodiments, the lower electrode 516 may not be used, or may be the sole source of plasma within the chamber 510, or may augment any additional plasma source.
  • The PECVD system 500 can further comprise the translation device 550 that can be coupled by a bellows 554 to the processing chamber 510. Also, coupling assembly 552 can couple the translation device 550 to the substrate holder 530. The bellows 554 are configured to seal the vertical translation device 550 from the atmosphere outside the processing chamber 510.
  • The translation device 550 allows a variable gap 504 to be established between the shower plate assembly 520 and the substrate 535. The gap 504 can range from approximately 10 mm to approximately 200 mm, and alternatively, the gap 504 can range from approximately 20 mm to approximately 80 mm. The gap 504 can remain fixed or the gap 504 can be changed during a deposition process.
  • Additionally, the substrate holder 530 can further comprise a focus ring 506 and a ceramic cover 508. Alternately, the focus ring 506 and/or the ceramic cover 508 need not be included, as would be appreciated by those skilled in the art.
  • At least one chamber wall 512 can comprise a coating 514 to protect the wall. For example, the coating 514 can comprise a ceramic material. In an alternate embodiment, the coating 514 is not required. Furthermore, a ceramic shield (not shown) can be used within the processing chamber 510.
  • In addition, the temperature control system can be used to control the chamber wall 512 temperature. For example, ports can be provided in the chamber wall 512 for controlling temperature. The chamber wall 512 temperature can be maintained relatively constant while a process is being performed in the chamber 510.
  • Also, the temperature control system can be used to control the temperature of the upper electrode 540. The temperature control elements 542 can be used to control the upper electrode 540 temperature. The upper electrode 540 temperature can be maintained relatively constant while a process is being performed in the chamber 510.
  • In addition, the PECVD system 500 can also comprise the remote plasma system 575 that can be used for chamber 510 cleaning.
  • Furthermore, the PECVD system 500 can also comprise a purging system (not shown) that can be used for controlling contamination and/or chamber 510 cleaning.
  • In an alternate embodiment, the processing chamber 510 can, for example, further comprise a monitoring port (not shown). The monitoring port can, for example, permit optical monitoring of the process space 502.
  • The PECVD system 500 also comprises the controller 590. The controller 590 can be coupled to the chamber 510, the shower plate assembly 520, the substrate holder 530, the gas supply system 531, the upper electrode 540, the first RF match 544, the first RF source 546, the translation device 550, the ESC supply 556, the heater supply 558, the second RF match 562, the second RF source 560, the purging system 595, the remote plasma device 575, and the pressure control system 580. The controller 590 can be configured to provide control data to these components and receive data such as process data from these components. For example, the controller 590 can comprise a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 500 as well as monitor outputs from the PECVD system 500.
  • Moreover, the controller 590 can exchange information with system components. Also, a program stored in the memory can be utilized to control the aforementioned components of the PECVD system 500 according to a process recipe. In addition, controller 590 can be configured to analyze the process data, to compare the process data with target process data, and to use the comparison to change a process and/or control the deposition tool. Also, the controller 590 can be configured to analyze the process data, to compare the process data with historical process data, and to use the comparison to predict, prevent, and/or declare a fault.
  • During the deposition of a TERA layer, the substrate 535 can be placed on the translatable substrate holder 530. For example, the translatable substrate holder 530 can be used to establish the gap between the upper electrode 540 surface and the surface of the translatable substrate holder 530. The gap 504 can range from approximately 10 mm to approximately 200 mm, or alternatively, the gap 504 can range from approximately 20 mm to approximately 80 mm. In alternate embodiments, the gap 504 size can be changed.
  • During a TERA layer deposition process, a TRF signal can be provided to the upper electrode 540 using the first RF source 544. For example, the first RF source 544 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. Alternatively, the first RF source 544 can operate in a frequency range from approximately 1 MHz. to approximately 100 MHz., or the first RF source 544 can operate in a frequency range from approximately 2 MHz. to approximately 60 MHz. The first RF source 544 can operate in a power range from approximately 10 watts to approximately 10000 watts, or alternatively, the first RF source 544 can operate in a power range from approximately 10 watts to approximately 5000 watts
  • Also, during a TERA layer deposition process, a BRF signal can be provided to the lower electrode 530 using the second RF source 560. For example, the second RF source 560 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. Alternatively, the second RF source 560 can operate in a frequency range from approximately 0.2 MHz. to approximately 30 MHz. or the second RF source can operate in a frequency range from approximately 0.3 MHz. to approximately 15 MHz. The second RF source 560 can operate in a power range from approximately 0.0 watts to approximately 1000 watts, or alternatively, the second RF source 560 can operate in a power range from approximately 0.0 watts to approximately 500 watts. In an alternate embodiment, a BRF signal is not required.
  • In addition, a process gas can be provided to the processing chamber 510 using the shower plate assembly 520. For example, process gas can comprise a silicon-containing precursor, a carbon-containing precursor, or oxygen containing gas, or a combination of two or more thereof. An inert gas can also be included. For example, the flow rate for the silicon-containing precursor and the carbon-containing precursor can range from approximately 0 sccm to approximately 5000 sccm and the flow rate for the inert gas can range from approximately 0 sccm to approximately 10000 sccm. The silicon-containing precursor can comprise monosilane (SiH4), tetraethylorthosilicate (TEOS), monomethylsilane (1 MS), dimethylsilane (2MS), trimethylsilane (3MS), tetramethylsilane (4MS), octamethylcyclotetrasiloxane (OMCTS), dimethyldimethoxysilane (DMDMOS), or tetramethylcyclotetrasilane (TMCTS), or a combination of two or more thereof. The carbon-containing precursor can comprise CH4, C2H4, C2H2, C6H6, or C6H5OH, or a combination of two or more thereof. The inert gas can comprise argon, helium, or nitrogen, or a combination of two or more thereof. For example, the oxygen containing gas can comprise at O2, CO, NO, N2O, or CO2, or a combination of two or more thereof, and the flow rate can range from approximately 0 sccm to approximately 10000 sccm.
  • The TERA layer can comprise a material having a refractive index (n) ranging from approximately 1.5 to approximately 2.5 when measured at a wavelength of at least one of 248 nm, 193 nm, or 157 nm, and an extinction coefficient (k) ranging from approximately 0.10 to approximately 0.9 when measured at a wavelength of at least one of 248 nm, 193 nm, or 157 nm. For example, a TERA layer can comprise a SiCOH material, or a SiCH material, or a combination thereof. The TERA layer can comprise a thickness ranging from approximately 30 nm to approximately 500 nm, and the deposition rate can range from approximately 100 Å/min to approximately 10000 Å/min. The TERA layer can comprise one or more layers having different etch-resistance and/or optical properties.
  • Furthermore, the chamber pressure and substrate temperature can be controlled during the deposition of the TERA layer. For example, the chamber pressure can range from approximately 0.1 mTorr to approximately 100.0 mTorr, and the substrate temperature can range from approximately 0° C. to approximately 500° C.
  • FIG. 6 illustrates a simplified block diagram for a processing system 600 in accordance with an embodiment of the invention. In the illustrated embodiment, the processing system 600 for performing a chemical treatment and a thermal treatment of a substrate 642 is presented. The processing system 600 comprises a chemical treatment system 610, and a thermal treatment system 620 coupled to the chemical treatment system 610. The chemical treatment system 610 comprises a chemical treatment chamber 611, which can be temperature-controlled. The thermal treatment system 620 comprises a thermal treatment chamber 621, which can be temperature-controlled. The chemical treatment chamber 611 and the thermal treatment chamber 621 can be thermally insulated from one another using a thermal insulation assembly 630, and vacuum isolated from one another using a gate valve assembly 696.
  • As illustrated in FIG. 6, the chemical treatment system 610 further comprises a temperature controlled substrate holder 640 configured to be substantially thermally isolated from the chemical treatment chamber 611 and configured to support the substrate 642. A vacuum pumping system 650 is coupled to the chemical treatment chamber 611 to evacuate the chemical treatment chamber 611. A gas distribution system 660 is also connected to the chemical treatment chamber 611 for introducing a process gas into a process space 662 within the chemical treatment chamber 611.
  • Also, the thermal treatment system 620 further comprises a temperature controlled substrate holder 670 mounted within the thermal treatment chamber 621. The substrate holder 670 is configured to be substantially thermally insulated from the thermal treatment chamber 621 and is configured to support a substrate 642′. A vacuum pumping system 680 is used to evacuate the thermal treatment chamber 621. A substrate lifter assembly 690 is coupled to the thermal treatment chamber 621. The lifter assembly 690 can vertically translate the substrate 642″ between a holding plane (solid lines) and the substrate holder 670 (dashed lines), or a transfer plane located therebetween. The thermal treatment chamber 621 can further comprise an upper assembly 684.
  • Additionally, the chemical treatment chamber 611, thermal treatment chamber 621, and thermal insulation assembly 630 define a common opening 694 through which a substrate 642 can be transferred. During processing, the common opening 694 can be sealed closed using the gate valve assembly 696 in order to permit independent processing in the two chambers 611, 621. Furthermore, a transfer opening 698 can be formed in the thermal treatment chamber 621 in order to permit substrate exchanges with a transfer system as illustrated in FIG. 1. For example, a second thermal insulation assembly 631 can be implemented to thermally insulate the thermal treatment chamber 621 from a transfer system (not shown). Although the opening 698 is illustrated as part of the thermal treatment chamber 621, the transfer opening 698 can be formed in the chemical treatment chamber 611 and not the thermal treatment chamber 621, or the transfer opening 698 can be formed in both the chemical treatment chamber 611 and the thermal treatment chamber 621.
  • As illustrated in FIG. 6, the chemical treatment system 610 comprises the substrate holder 640 and the substrate holder assembly 644 in order to provide several operational functions for thermally controlling and processing the substrate 642. The substrate holder 640 and the substrate holder assembly 644 can comprise an electrostatic clamping system (or mechanical clamping system) in order to electrically (or mechanically) clamp the substrate 642 to the substrate holder 640. Furthermore, the substrate holder 640 can, for example, further include a cooling system having a re-circulating coolant flow that receives heat from the substrate holder 640 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • Moreover, a heat transfer gas can, for example, be delivered to the back-side of the substrate 642 via a backside gas system to improve the gas-gap thermal conductance between the substrate 642 and the substrate holder 640. For instance, the heat transfer gas supplied to the back-side of the substrate 642 can comprise an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen. Such a system can be utilized when temperature control of the substrate 642 is required at elevated or reduced temperatures. For example, the backside gas system can comprise a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the back-side gas gap pressure can be independently varied between the center and the edge of the substrate 642. In other embodiments, heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 640, as well as the chamber wall of the chemical treatment chamber 611.
  • Also, the substrate holder 640 can further comprise a lift pin assembly (not shown) capable of raising and lowering three or more lift pins (not shown) in order to vertically translate the substrate 642 to and from an upper surface of the substrate holder 640 and a transfer plane in the processing system 600.
  • In addition, the temperature of the temperature-controlled substrate holder 640 can be monitored using a temperature sensing device (not shown) such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.). Furthermore, a controller can utilize the temperature measurement as feedback to the substrate holder 640 assembly in order to control the temperature of substrate holder 640. For example, a fluid flow rate, fluid temperature, heat transfer gas type, heat transfer gas pressure, clamping force, resistive heater element current or voltage, thermoelectric device current or polarity, or a combination of two or more thereof can be adjusted in order to affect a change in the temperature of substrate holder 640 and/or the temperature of the substrate 642.
  • Referring again to FIG. 6, chemical treatment system 610 comprises a gas distribution system 660. In one embodiment, a gas distribution system 660 can comprise a showerhead gas injection system (not shown). The gas distribution system 660 can further comprise one or more gas distribution orifices to distribute a process gas to the process space 662 within the chemical treatment chamber 611. Additionally, the process gas can, for example, comprise NH3, HF, H2, O2, CO, CO2, Ar, He, etc.
  • As shown in FIG. 6, the chemical treatment system 620 further comprises the temperature controlled chemical treatment chamber 611 that is maintained at an elevated temperature. For example, a wall heating element 666 can be coupled to a wall temperature control unit 668, and the wall heating element 666 can be configured to couple to the chemical treatment chamber 611. The heating element 666 can, for example, comprise a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament. Examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, Conn. The Kanthal family includes ferritic alloys (FeCrAl) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • When an electrical current flows through the filament, power is dissipated as heat, and, therefore, the wall temperature control unit 668 can, for example, comprise a controllable DC power supply. For example, wall heating element 666 can comprise at least one Firerod cartridge heater commercially available from Watlow (1310 Kingsland Dr., Batavia, Ill., 60510). A cooling element can also be employed in the chemical treatment chamber 611. The temperature of the chemical treatment chamber 611 can be monitored using a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.). Furthermore, a controller can utilize the temperature measurement as feedback to the wall temperature control unit 668 in order to control the temperature of the chemical treatment chamber 611.
  • Referring again to FIG. 6, the chemical treatment system 610 can further comprise a temperature controlled gas distribution system 660 that can be maintained at any selected temperature.
  • Furthermore, in FIG. 6, the vacuum pumping system 650 is shown that can comprise a vacuum pump 652 and a gate valve 654 for throttling the chamber pressure. The vacuum pump 652 can, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater). For example, the TMP can be a Seiko STP-A803 vacuum pump, or an Ebara ET1301W vacuum pump. TMPs are useful for low pressure processing, typically less than 50 mTorr. For high pressure (i.e., greater than 100 mTorr) or low throughput processing (i.e., no gas flow), a mechanical booster pump and dry roughing pump can be used.
  • In one embodiment, the processing system 600 can be controlled using a controller, such as controller 90 in FIG. 1. In an alternate embodiment, the processing system 600 can comprise a controller (not shown) that can be coupled to the chemical treatment system 610 and the thermal treatment system 620. For example, the controller can comprise a processor, memory, and a digital I/O port capable of exchanging information with the chemical treatment system 610 as well as the thermal treatment system 620.
  • As shown in FIG. 6, the thermal treatment system 620 further comprises a temperature controlled substrate holder 670. The substrate holder 670 can further comprise a heating element 676 embedded therein and a substrate holder temperature control unit 678 coupled thereto. The heating element 676 can, for example, comprise a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament. Examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, and Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, Conn. The Kanthal family includes ferritic alloys (FeCrAl) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • As discussed above, when an electrical current flows through the filament, power is dissipated as heat, and, therefore, the substrate holder temperature control unit 678 can, for example, comprise a controllable DC power supply. Alternately, the temperature controlled substrate holder 670 can, for example, be a cast-in heater commercially available from Watlow (1310 Kingsland Dr., Batavia, Ill., 60510) capable of a maximum operating temperature of 400 to 450 C, or a film heater comprising aluminum nitride materials that is also commercially available from Watlow and capable of operating temperatures as high as 300 C and power densities of up to 23.25 W/cm2. Alternatively, a cooling element can be incorporated in the substrate holder 670.
  • The temperature of the substrate holder 670 can be monitored using a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple). Furthermore, a controller can utilize the temperature measurement as feedback to the substrate holder temperature control unit 678 in order to control the temperature of the substrate holder 670.
  • Referring again to FIG. 6, the thermal treatment system 620 can further comprise a temperature controlled thermal treatment chamber 621 that is maintained at a selected temperature. For example, a thermal wall heating element 683 can be coupled to a thermal wall temperature control unit 681, and the thermal wall heating element 683 can be configured to couple to the thermal treatment chamber 621. The heating element 683 can, for example, comprise a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament. Examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, Conn. The Kanthal family includes ferritic alloys (FeCrAl) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • When an electrical current flows through the filament, power is dissipated as heat, and, therefore, the thermal wall temperature control unit 681 can, for example, comprise a controllable DC power supply. For example, thermal wall heating element 683 can comprise at least one Firerod cartridge heater commercially available from Watlow (1310 Kingsland Dr., Batavia, Ill., 60510). Alternatively, or in addition, cooling elements may be employed in thermal treatment chamber 621. The temperature of the thermal treatment chamber 621 can be monitored using a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.). Furthermore, a controller can utilize the temperature measurement as feedback to the thermal wall temperature control unit 681 in order to control the temperature of the thermal treatment chamber 621.
  • In addition, thermal treatment system 620 can further comprise an upper assembly 684. The upper assembly 684 can, for example, comprise a gas injection system for introducing a purge gas, process gas, or cleaning gas to the thermal treatment chamber 621. Alternately, the thermal treatment chamber 621 can comprise a gas injection system separate from the upper assembly. For example, a purge gas, process gas, or cleaning gas can be introduced to the thermal treatment chamber 621 through a side-wall thereof.
  • In an alternate embodiment, the upper assembly 684 can comprise a radiant heater such as an array of tungsten halogen lamps for heating the substrate 642″ positioned on the substrate lifter assembly 690. The thermal treatment system 620 can further comprise a temperature controlled upper assembly 684 that can be maintained at a selected temperature. For example, the upper assembly 684 can comprise a heating element. The temperature of the upper assembly 684 can be monitored using a temperature-sensing device. Furthermore, a controller can utilize the temperature measurement as feedback to control the temperature of the upper assembly 684. The upper assembly 684 may additionally or alternatively include a cooling element.
  • Referring again to FIG. 6, the thermal treatment system 620 can further comprise a substrate lifter assembly 690. The substrate lifter assembly 690 can be configured to lower a substrate 642′ to an upper surface of the substrate holder 670, as well as raise a substrate 642″ from an upper surface of the substrate holder 670 to a holding plane, or a transfer plane therebetween. At the transfer plane, the substrate 642″ can be exchanged with a transfer system utilized to transfer substrates into and out of the chemical and thermal treatment chambers 611, 621. At the holding plane, the substrate 642″ can be cooled while another substrate is exchanged between the transfer system and the chemical and thermal treatment chambers 611, 621.
  • The thermal treatment system 620 further comprises a vacuum pumping system 680. The vacuum pumping system 680 can, for example, comprise a vacuum pump, and a throttle valve such as a gate valve or butterfly valve. The vacuum pump can, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater). TMPs are useful for low pressure processing, typically less than 50 mTorr. For high pressure processing (i.e., greater than 100 mTorr), a mechanical booster pump and dry roughing pump can be used.
  • In addition, a gate valve assembly 696 can be utilized to vertically translate a gate valve in order to open and close the common opening 694. The gate valve assembly 696 can vacuum seal the common opening 694.
  • In one embodiment, the processing system 600 can comprise a chemical oxide removal (COR) system 610 for trimming oxidized features of a TERA layer. The processing system 600 comprises the chemical treatment system 610 for chemically treating exposed surfaces of features on a TERA layer, such as oxidized surfaces, whereby adsorption of the process chemistry on the exposed surfaces of the features on a TERA layer affects chemical alteration of the exposed surfaces. Additionally, the processing system 600 comprises the thermal treatment system 620 for thermally treating the substrate, whereby the substrate temperature is elevated in order to desorb (or evaporate) the chemically altered exposed surfaces of the features on a TERA layer.
  • An exemplary COR process can comprise a number of process steps. For example, the substrate 642 can be transferred into the chemical treatment system 610 using the substrate transfer system. The substrate 642 can be received by lift pins that are housed within the substrate holder 640, and the substrate 642 is lowered to the substrate holder 640. Thereafter, the substrate 642 can be secured to the substrate holder 660 using a clamping system, such as an electrostatic clamping system, and a heat transfer gas can be supplied to the backside of the substrate 642.
  • Next, one or more chemical processing parameters for chemical treatment of the substrate 642 can be established. For example, the one or more chemical processing parameters comprise a chemical treatment processing pressure, a chemical treatment wall temperature, a chemical treatment substrate holder temperature, a chemical treatment substrate temperature, a chemical treatment gas distribution system temperature, or a chemical treatment gas flow rate, or a combination of two or more thereof. Then, the substrate 642 can be chemically treated for a first period of time. The first period of time can range from 10 to 480 seconds, for example.
  • Next, the substrate 642 can be transferred from the chemical treatment chamber 611 to the thermal treatment chamber 621. During which time, the substrate clamp can be removed, and the flow of heat transfer gas to the backside of the substrate 642 can be terminated. The substrate 642 can be vertically lifted from the substrate holder 640 to the transfer plane using the lift pin assembly housed within the substrate holder 640. The transfer system can receive the substrate 642 from the lift pins and can position the substrate 642 within the thermal treatment system 620. Therein, the substrate lifter assembly 690 receives the substrate 641′, 642″ from the transfer system, and lowers the substrate 642′ to the substrate holder 670
  • Then, the thermal processing parameters for a thermal treatment of the substrate 642′ can be set. For example, the one or more thermal processing parameters comprise a thermal treatment wall temperature, a thermal treatment upper assembly temperature, a thermal treatment substrate temperature, a thermal treatment substrate holder temperature, a thermal treatment substrate temperature, or a thermal treatment processing pressure, or a combination of two or more thereof. Next, the substrate 642′ can be thermally treated for a second period of time. The second period of time can range from 10 to 480 seconds, for example.
  • FIG. 7 illustrates a simplified block diagram of a processing subsystem 700 in accordance with an embodiment of the invention. In the illustrated embodiment, the processing subsystem 700 for performing a number of processes, such as etching, ashing, cleaning, and oxidizing, is presented. In the illustrated embodiment, the processing subsystem 700 can comprise a processing chamber 710, an upper assembly 720, a gas supply system 750, a shower plate assembly 756, a substrate holder 730 for supporting a substrate 705, a pressure control system 780, and a controller 790.
  • In one embodiment, the processing subsystem 700 can comprise the pressure control system 780 that can be coupled to the processing chamber 710. For example, the pressure control system 780 can comprise a throttle valve (not shown) and a turbomolecular pump (TMP) (not shown) and can provide a controlled pressure in the processing chamber 710. In alternate embodiments, the pressure control system 700 can comprise a dry pump. For example, the chamber pressure can range from approximately 0.1 mTorr to approximately 100 mTorr. Alternatively, the chamber pressure can range from approximately 0.1 mTorr to approximately 20 mTorr.
  • The processing chamber 710 can facilitate the formation of plasma in a process space 702. The processing subsystem 700 can be configured to process substrates of any size, such as 200 mm substrates, 300 mm substrates, or larger substrates. Alternately, the processing subsystem 700 can operate by generating plasma in one or more processing chambers.
  • The processing subsystem 700 can comprise a shower plate 758 coupled to gas distribution system components 756 and 752. For example, the gas distribution system component 752 can be coupled to a gas distribution system 750. the shower plate 758 can comprise quartz and can be mounted opposite the substrate holder 730. the shower plate 758 can comprise one or more distribution regions (not shown). A shield ring 744 can be used to couple the shower plate 758 to the gas distribution system component 756. Ceramic insulators 740, 742, and 746 can be used to couple the gas distribution system component 756 and the shower plate 758 to the processing chamber 710.
  • The gas distribution system 750 can provide process gas to the gas distribution system components 756, 752 and to the shower plate 758. The gas chemistries and flow rates can be individually controlled.
  • The processing subsystem 700 can comprise an upper electrode 725 that can be coupled to the gas distribution system components 756, 752, to the shower plate 758 and to the processing chamber 710. The upper electrode 725 can comprise temperature control elements (not shown). The upper electrode 725 can be coupled to a first RF source 770 using a first match network 772. Alternately, a separate match network 772 is not required.
  • The first RF source 770 can provide a TRF signal to the upper electrode, and the first RF source 770 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. The TRF signal can be in the frequency range from approximately 1 MHz. to approximately 100 MHz. or alternatively in the frequency range from approximately 10 MHz. to approximately 100 MHz. The first RF source 790 can operate in a power range from approximately 0 watts to approximately 10000 watts, or alternatively the first RF source 770 can operate in a power range from approximately 0 watts to approximately 5000 watts.
  • The upper electrode 725 and the first RF source 770 can be parts of a capacitively coupled plasma source. The capacitively couple plasma source may be replaced with or augmented by other types of plasma sources, such as an inductively coupled plasma (ICP) source, a transformer-coupled plasma (TCP) source, a microwave powered plasma source, an electron cyclotron resonance (ECR) plasma source, a Helicon wave plasma source, and a surface wave plasma source. As is well known in the art, the upper electrode 725 may be eliminated or reconfigured in the various suitable plasma sources.
  • The substrate 705 can be, for example, transferred into and out of processing chamber 710 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system (not shown), and it can be received by the substrate holder 730. In an alternate embodiment, the processing chamber 710 can comprise a translation device (not shown), and when the substrate 705 is received from the substrate transfer system, the substrate 705 can be raised and/or lowered using a translation device (not shown) that can be coupled to the substrate holder 730.
  • The substrate 705 can be affixed to the substrate holder 730 via an electrostatic clamping system 764. For example, the electrostatic clamping system 764 can comprise an electrode and an ESC supply. Clamping voltages that can range from approximately −5000 V to approximately +5000 V, for example, can be provided to the clamping electrode. Alternatively, the clamping voltage can range from approximately −2500 V to approximately +2500 V. In alternate embodiments, an ESC system and supply may be omitted altogether.
  • The substrate holder 730 can comprise lift pins (not shown) for lowering and/or raising the substrate 705 to and/or from the surface of the substrate holder 730. In alternate embodiments, different lifting means can be provided in the substrate holder 730. In alternate embodiments, gas can, for example, be delivered to the backside of the substrate 705 via a backside gas system to improve the gas-gap thermal conductance between the substrate 705 and the substrate holder 730.
  • A temperature control system can also be provided. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, temperature control elements (not shown) can be included in the substrate holder 730, the processing chamber 710 and/or the upper assembly 720.
  • Also, an electrode 768 can be coupled to a second RF source 775 using a second match network 777. Alternately, the match network 777 may be omitted altogether.
  • The second RF source 775 can provide a bottom RF signal (BRF) to the lower electrode 768, and the second RF source 775 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. The BRF signal can be in the frequency range from approximately 0.2 MHz. to approximately 30 MHz. or alternatively, in the frequency range from approximately 0.3 MHz. to approximately 15 MHz. The second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 2500 watts, or alternatively, the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 500 watts. In various embodiments, the lower electrode 768 may be not used, or may be the sole source of plasma within the chamber, or may augment any additional plasma source.
  • Additionally, the substrate holder 730 can further comprise a quartz focus ring 762 and quartz isolators 760, 766. Alternately, the focus ring 762 and/or quartz isolators 760, 766 may be omitted altogether.
  • The processing chamber 710 can further comprise a chamber liner 714 and at least one protective element 716. For example, the protective element 716 can comprise a ceramic material, and can be used to protect the substrate holder 730 and the wall. In an alternate embodiment, the protective element 716 may be omitted altogether.
  • In one embodiment, a gap can be established between the shower plate 758 and the substrate holder 730 using different wall heights for the processing chamber 710. For example, a 170 mm gap can be established. In alternate embodiments, different gap sizes can be used. In other embodiments, a translation device (not shown) can be used to provide a variable gap, and the gap can remain fixed or the gap can be changed during a process.
  • In an alternate embodiment, the processing chamber 710 can, for example, further comprise a monitoring port (not shown). A monitoring port can, for example, permit optical monitoring of the process space 702.
  • The processing subsystem 700 can also comprise the controller 790. The controller 790 can be coupled to the processing chamber 710, the gas supply system 750, the first RF match 772, the first RF source 770, the second RF match 787, the second RF source 785, and the pressure control system 780. The controller 790 can be configured to provide control data to these components and receive data such as process data from these components. For example, controller 790 can comprise a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 700 as well as monitor outputs from the processing subsystem 700.
  • Moreover, the controller 790 can exchange information with system components. Also, a program stored in the memory can be utilized to control the aforementioned components of the processing subsystem 700 according to a process recipe. In addition, controller 790 can be configured to analyze the process data, to compare the process data with target process data, and to use the comparison to change a process and/or control the deposition tool. Also, the controller 790 can be configured to analyze the process data, to compare the process data with historical process data, and to use the comparison to predict, prevent, and/or declare a fault. During the etching of a TERA layer, the substrate 705 can be placed on the substrate holder 730 in the processing chamber 710. For example, the processing chamber 710 can be chosen based on the gap size between the upper electrode surface 725 and a surface of the substrate holder 730. The gap can range from approximately 10 mm to approximately 200 mm, or alternatively, the gap can range from approximately 150 mm to approximately 190 mm. In alternate embodiments, the gap size can be different.
  • During a TERA layer etching process, a TRF signal can be provided to the upper electrode 725 using the first RF source 770. For example, the first RF source 770 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. Alternatively, the first RF source 770 can operate in a frequency range from approximately 1 MHz. to approximately 100 MHz., or the first RF source 770 can operate in a frequency range from approximately 20 MHz. to approximately 100 MHz. The first RF source 770 can operate in a power range from approximately 10 watts to approximately 10000 watts, or alternatively, the first RF source 770 can operate in a power range from approximately 10 watts to approximately 5000 watts
  • Also, when etching a TERA layer, a BRF signal can be provided to the lower electrode 768 using the second RF source 775. For example, the second RF source 775 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. Alternatively, the second RF source 775 can operate in a frequency range from approximately 0.2 MHz. to approximately 30 MHz, or the second RF source can operate in a frequency range from approximately 0.3 MHz. to approximately 15 MHz. The second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 1000 watts, or alternatively, the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 500 watts. In an alternate embodiment, a BRF signal is not required.
  • In addition, a process gas can be provided to the processing chamber 710 using the shower plate 758. For example, the process gas can comprise an oxygen-containing gas and an inert gas. For example, the oxygen-containing gas can comprise O2, CO, NO, N2O, or CO2, or a combination of two or more thereof, and the flow rate can range from approximately 0 sccm to approximately 10000 sccm. The inert gas can comprise argon, helium, or nitrogen, or a combination of two or more thereof, and the flow rate for the inert gas can range from approximately 0 sccm to approximately 10000 sccm.
  • Furthermore, the chamber pressure and substrate temperature can be controlled during the etching of the TERA layer. For example, the chamber pressure can range from approximately 0.1 mTorr to approximately 100.0 mTorr, and the substrate temperature can range from approximately 0° C. to approximately 500° C.
  • During the oxidation of the features of a TERA layer, the substrate can be placed on the substrate holder 730 in a processing chamber 710. For example, the processing chamber 710 can be chosen based on the gap size between the upper electrode surface 725 and a surface of the substrate holder 730. The gap can range from approximately 10 mm to approximately 200 mm, or alternatively, the gap can range from approximately 150 mm to approximately 190 mm. In alternate embodiments, the gap size can be selected from a wide variety of predetermined values.
  • During the oxidation of the features of a TERA layer, a TRF signal can be provided to the upper electrode 725 using the first RF source 770. For example, the first RF source 770 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. Alternatively, the first RF source 770 can operate in a frequency range from approximately 1 MHz. to approximately 100 MHz. or the first RF source 770 can operate in a frequency range from approximately 20 MHz. to approximately 100 MHz. The first RF source 770 can operate in a power range from approximately 10 watts to approximately 10000 watts, or alternatively, the first RF source 770 can operate in a power range from approximately 10 watts to approximately 5000 watts
  • Also, when oxidizing the features of a TERA layer, a BRF signal can be provided to the lower electrode 768 using the second RF source 775. For example, the second RF source 775 can operate in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. Alternatively, the second RF source 775 can operate in a frequency range from approximately 0.2 MHz. to approximately 30 MHz. or the second RF source can operate in a frequency range from approximately 0.3 MHz. to approximately 15 MHz. The second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 1000 watts, or alternatively, the second RF source 775 can operate in a power range from approximately 0.0 watts to approximately 500 watts. In an alternate embodiment, a BRF signal is not required.
  • In addition, when oxidizing the features of a TERA layer, a process gas can be provided to the processing chamber 710 using the shower plate 758. For example, the process gas can comprise an oxygen-containing gas and/or an inert gas. For example, the oxygen containing gas can comprise O2, CO, NO, N2O, or CO2, or a combination of two or more thereof, and the flow rate can range from approximately 0.0 sccm to approximately 10000 sccm. The inert gas can comprise argon, helium, or nitrogen, or a combination of two or more thereof, and the flow rate for the inert gas can range from approximately 0 sccm to approximately 10000 sccm. Furthermore, the chamber pressure and substrate temperature can be controlled when oxidizing the features of a TERA layer. For example, the chamber pressure can range from approximately 0.1 mTorr to approximately 100.0 Torr, and the substrate temperature can range from approximately 0° C. to approximately 500° C.
  • Although only certain embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.
  • Thus, the description is not intended to limit the invention and the configuration, operation, and behavior of the present invention has been described with the understanding that modifications and variations of the embodiments are possible, given the level of detail present herein. Accordingly, the preceding detailed description is not meant or intended to, in any way, limit the invention—rather the scope of the invention is defined by the appended claims. Moreover, where list are provided herein, those lists are intended to be exemplary only. Being open-ended, the list is not meant to limit the scope of the invention solely to the specific embodiments enumerated. To the contrary, as should be appreciated by those skilled in the art, further components, stages, arrangements, etc. may be easily added or substituted without departing from the intended scope of the invention.

Claims (10)

1. A system for processing a Tunable Etch Rate ARC (TERA) layer on a substrate, comprising:
a processing subsystem for depositing the TERA layer on the substrate using a plasma enhanced chemical vapor deposition (PECVD) system;
a processing subsystem for creating features in the TERA layer using an etching system; and
a processing subsystem for reducing the size of the features in the TERA layer.
2. The system of claim 1, further comprising:
a substrate holder in a processing chamber in the PECVD system; and
means for providing a process gas to the processing chamber, wherein the process gas comprises an inert gas and a silicon-containing precursor, or a carbon-containing precursor, or a combination thereof.
3. The system of claim 2, further comprising:
an upper electrode coupled to the processing chamber; and
a translation device coupled to the substrate holder for establishing a gap between an upper electrode surface and a surface of the substrate holder.
4. The system of claim 3, wherein the gap ranges from approximately 10 mm to approximately 200 mm.
5. The system of claim 2, further comprising:
a first RF source coupled to the upper electrode, wherein the first RF source operates in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. and operates in a power range from approximately 10 watts to approximately 10000 watts.
6. The system of claim 5, further comprising:
a second RF source coupled to the substrate holder, wherein the second RF source operates in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. and operates in a power range from approximately 10 watts to approximately 10000 watts.
7. The system of claim 2, further comprising:
an RF source coupled to the substrate holder, wherein the RF source operates in a frequency range from approximately 0.1 MHz. to approximately 200 MHz. and operates in a power range from approximately 10 watts to approximately 10000 watts.
8. The system of claim 2, wherein the silicon-containing precursor comprises monosilane (SiH4), tetraethylorthosilicate (TEOS), monomethylsilane (1 MS), dimethylsilane (2MS), trimethylsilane (3MS), tetramethylsilane (4MS), octamethylcyclotetrasiloxane (OMCTS), dimethyldimethoxysilane (DMDMOS), or tetramethylcyclotetrasilane (TMCTS), or a combination of two or more thereof.
9. The system of claim 2, wherein the carbon-containing precursor comprises CH4, C2H4, C2H2, C6H6, or C6H5OH, or a combination of two or more thereof.
10. The system of claim 2, wherein the first process gas includes an inert gas comprising argon, helium, or and nitrogen, or a combination of two or more thereof.
US11/486,105 2004-07-06 2006-07-14 Processing system and method for chemically treating a tera layer Abandoned US20060254716A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/486,105 US20060254716A1 (en) 2004-07-06 2006-07-14 Processing system and method for chemically treating a tera layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/883,784 US7097779B2 (en) 2004-07-06 2004-07-06 Processing system and method for chemically treating a TERA layer
US11/486,105 US20060254716A1 (en) 2004-07-06 2006-07-14 Processing system and method for chemically treating a tera layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/883,784 Division US7097779B2 (en) 2004-07-06 2004-07-06 Processing system and method for chemically treating a TERA layer

Publications (1)

Publication Number Publication Date
US20060254716A1 true US20060254716A1 (en) 2006-11-16

Family

ID=34969066

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/883,784 Active 2024-09-01 US7097779B2 (en) 2004-07-06 2004-07-06 Processing system and method for chemically treating a TERA layer
US11/486,105 Abandoned US20060254716A1 (en) 2004-07-06 2006-07-14 Processing system and method for chemically treating a tera layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/883,784 Active 2024-09-01 US7097779B2 (en) 2004-07-06 2004-07-06 Processing system and method for chemically treating a TERA layer

Country Status (6)

Country Link
US (2) US7097779B2 (en)
JP (1) JP4842263B2 (en)
KR (1) KR101114615B1 (en)
CN (1) CN1973358B (en)
TW (1) TWI278018B (en)
WO (1) WO2006014193A1 (en)

Cited By (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134919A1 (en) * 2003-03-17 2006-06-22 Tokyo Electron Limited Processing system and method for treating a substrate
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20090004363A1 (en) * 2004-09-14 2009-01-01 Keshner Marvin S Plasma enhanced chemichal vapor deposition apparatus and method
US7806126B1 (en) * 2002-09-30 2010-10-05 Lam Research Corporation Substrate proximity drying using in-situ local heating of substrate and substrate carrier point of contact, and methods, apparatus, and systems for implementing the same
US20120267048A1 (en) * 2011-04-25 2012-10-25 Tokyo Electron Limited Plasma processing apparatus
US20130276700A1 (en) * 2009-08-28 2013-10-24 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) * 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
WO2022060563A1 (en) * 2020-09-17 2022-03-24 Applied Materials, Inc. Methods and apparatus for warpage correction
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4860219B2 (en) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 Substrate processing method, electronic device manufacturing method, and program
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
JP2007059705A (en) * 2005-08-25 2007-03-08 Seiko Epson Corp Capacitor and its manufacturing method, method for manufacturing ferroelectric memory device and actuator, and liquid injection head
JP4854317B2 (en) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 Substrate processing method
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
JP5015534B2 (en) * 2006-09-22 2012-08-29 財団法人高知県産業振興センター Insulating film formation method
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
JP2008181996A (en) * 2007-01-24 2008-08-07 Tokyo Electron Ltd Method of manufacturing semiconductor device, apparatus of manufacturing semiconductor device, control program, and computer storage medium
JP4949091B2 (en) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and recording medium
US8980706B2 (en) * 2008-09-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double treatment on hard mask for gate N/P patterning
CN102768933B (en) * 2009-01-31 2017-06-30 应用材料公司 Method for etching
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
KR101341024B1 (en) 2010-06-11 2013-12-13 엘지디스플레이 주식회사 Method of fabricating thin film pattern and flat display having the thin film pattern
JPWO2013183437A1 (en) * 2012-06-08 2016-01-28 東京エレクトロン株式会社 Gas processing method
CN104425222B (en) * 2013-08-28 2018-09-07 中芯国际集成电路制造(上海)有限公司 Graphic method
WO2015079632A1 (en) * 2013-11-28 2015-06-04 株式会社Joled Atomic layer deposition device
CN105826197A (en) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof, and electronic device
US9805747B2 (en) * 2015-08-17 2017-10-31 Western Digital Technologies, Inc. Method for making a perpendicular magnetic recording write head with write pole having thin side gaps and thicker leading gap
KR20190035036A (en) * 2017-09-25 2019-04-03 삼성전자주식회사 Apparatus for forming a layer on a substrate and method of forming an amorphous silicon layer on a substrate using the same
US20220139706A1 (en) * 2020-11-02 2022-05-05 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5240556A (en) * 1991-06-05 1993-08-31 Tokyo Electron Limited Surface-heating apparatus and surface-treating method
US5639345A (en) * 1996-01-11 1997-06-17 Taiwan Semiconductor Manufacturing Company Ltd. Two step etch back process having a convex and concave etch profile for improved etch uniformity across a substrate
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
US5858819A (en) * 1994-06-15 1999-01-12 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US6070550A (en) * 1996-09-12 2000-06-06 Applied Materials, Inc. Apparatus for the stabilization of halogen-doped films through the use of multiple sealing layers
US6124154A (en) * 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6391690B2 (en) * 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US20020173085A1 (en) * 2001-05-18 2002-11-21 Semiconductor Energy Laboratory Co., Ltd. & Sharp Kabushiki Kaisha Method of manufacturing a semiconductor device and semiconductor manufacturing apparatus
US6554906B1 (en) * 2000-01-20 2003-04-29 Sumitomo Electric Industries, Ltd. Wafer holder for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus using the same
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040087092A1 (en) * 2002-10-31 2004-05-06 Taiwan Semiconductor Manufacturing Company Novel approach to improve line end shortening
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07283216A (en) 1994-04-12 1995-10-27 Sony Corp Al metal wiring structure and its patterning method
KR100497879B1 (en) * 1997-01-23 2005-09-08 동경 엘렉트론 주식회사 Plasma treatment apparatus
US20020086547A1 (en) 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP3419745B2 (en) * 2000-02-28 2003-06-23 キヤノン販売株式会社 Semiconductor device and manufacturing method thereof
CN1277293C (en) * 2001-07-10 2006-09-27 东京毅力科创株式会社 Dry etching method
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
JP2003179064A (en) * 2001-12-10 2003-06-27 Sony Corp Method of forming wiring pattern
DE10223954A1 (en) 2002-05-29 2003-12-11 Infineon Technologies Ag Plasma-excited chemical vapor deposition process for the deposition of silicon nitride or silicon oxynitride, process for producing a layer arrangement and layer arrangement

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5240556A (en) * 1991-06-05 1993-08-31 Tokyo Electron Limited Surface-heating apparatus and surface-treating method
US5858819A (en) * 1994-06-15 1999-01-12 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
US6391690B2 (en) * 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
US5639345A (en) * 1996-01-11 1997-06-17 Taiwan Semiconductor Manufacturing Company Ltd. Two step etch back process having a convex and concave etch profile for improved etch uniformity across a substrate
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US6070550A (en) * 1996-09-12 2000-06-06 Applied Materials, Inc. Apparatus for the stabilization of halogen-doped films through the use of multiple sealing layers
US6124154A (en) * 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6554906B1 (en) * 2000-01-20 2003-04-29 Sumitomo Electric Industries, Ltd. Wafer holder for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus using the same
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020173085A1 (en) * 2001-05-18 2002-11-21 Semiconductor Energy Laboratory Co., Ltd. & Sharp Kabushiki Kaisha Method of manufacturing a semiconductor device and semiconductor manufacturing apparatus
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040087092A1 (en) * 2002-10-31 2004-05-06 Taiwan Semiconductor Manufacturing Company Novel approach to improve line end shortening
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process

Cited By (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7806126B1 (en) * 2002-09-30 2010-10-05 Lam Research Corporation Substrate proximity drying using in-situ local heating of substrate and substrate carrier point of contact, and methods, apparatus, and systems for implementing the same
US20060134919A1 (en) * 2003-03-17 2006-06-22 Tokyo Electron Limited Processing system and method for treating a substrate
US7462564B2 (en) * 2003-03-17 2008-12-09 Tokyo Electron Limited Processing system and method for treating a substrate
US20090004363A1 (en) * 2004-09-14 2009-01-01 Keshner Marvin S Plasma enhanced chemichal vapor deposition apparatus and method
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
WO2009082517A1 (en) * 2007-12-20 2009-07-02 Optisolar, Inc. Plasma enhanced chemical vapor deposition of barrier coatings
US9169560B2 (en) * 2009-08-28 2015-10-27 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
US20130276700A1 (en) * 2009-08-28 2013-10-24 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111726B2 (en) * 2011-04-25 2015-08-18 Tokyo Electron Limited Plasma processing apparatus
US20120267048A1 (en) * 2011-04-25 2012-10-25 Tokyo Electron Limited Plasma processing apparatus
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) * 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11871667B2 (en) 2020-09-17 2024-01-09 Applied Materials, Inc. Methods and apparatus for warpage correction
WO2022060563A1 (en) * 2020-09-17 2022-03-24 Applied Materials, Inc. Methods and apparatus for warpage correction

Also Published As

Publication number Publication date
US7097779B2 (en) 2006-08-29
KR20070032938A (en) 2007-03-23
JP2008506255A (en) 2008-02-28
KR101114615B1 (en) 2012-03-05
JP4842263B2 (en) 2011-12-21
CN1973358B (en) 2010-05-12
WO2006014193A1 (en) 2006-02-09
CN1973358A (en) 2007-05-30
TWI278018B (en) 2007-04-01
US20060006136A1 (en) 2006-01-12
TW200616039A (en) 2006-05-16

Similar Documents

Publication Publication Date Title
US7097779B2 (en) Processing system and method for chemically treating a TERA layer
US7462564B2 (en) Processing system and method for treating a substrate
US7964058B2 (en) Processing system and method for chemically treating a substrate
EP1604389B1 (en) Processing system and method for thermally treating a substrate
EP1730770B1 (en) Method for treating a substrate
US7651583B2 (en) Processing system and method for treating a substrate
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
US20050100682A1 (en) Method for depositing materials on a substrate
KR20070003797A (en) Method and system for adjusting a chemical oxide removal process using partial pressure
US7371436B2 (en) Method and apparatus for depositing materials with tunable optical properties and etching characteristics
US20070238298A1 (en) Method and system for patterning a dielectric film

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MOSDEN, AELAN;YAMASHITA, ASAO;REEL/FRAME:018063/0909

Effective date: 20040624

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION