US20060274935A1 - Method for measuring registration of overlapping material layers of an integrated circuit - Google Patents

Method for measuring registration of overlapping material layers of an integrated circuit Download PDF

Info

Publication number
US20060274935A1
US20060274935A1 US11/504,072 US50407206A US2006274935A1 US 20060274935 A1 US20060274935 A1 US 20060274935A1 US 50407206 A US50407206 A US 50407206A US 2006274935 A1 US2006274935 A1 US 2006274935A1
Authority
US
United States
Prior art keywords
layers
feature
integrated circuit
image
registration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/504,072
Inventor
Eugene DeLaRosa
Troy Gugel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/504,072 priority Critical patent/US20060274935A1/en
Publication of US20060274935A1 publication Critical patent/US20060274935A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/30Determination of transform parameters for the alignment of images, i.e. image registration
    • G06T7/33Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Definitions

  • the present invention relates to semiconductor processing, and in particular, to a method for measuring the registration between two or more integrated circuit layers.
  • Semiconductor-based integrated circuits are typically manufactured through the formation of a set of layers on a wafer containing many integrated circuit areas that are later separated into individual dies. Very thin layers of material are deposited one on top of the other in patterns and processed to form integrated circuit components.
  • One technique of deposition and patterning is photolithography where a material layer is first coated with a light-sensitive photoresist. The photoresist is exposed through a pattern mask of a desired circuit pattern. Depending upon the type of photoresist used, the exposed photoresist is developed to remove either the exposed or unexposed resist. Etching and/or deposition processes are then used to create the desired circuit within the pattern created.
  • the pattern mask should be precisely aligned on a wafer during processing.
  • the overlay of the mask the measure of how accurately the pattern mask is aligned, will often determine whether the wafer will be functional or must be discarded. Because each wafer may undergo numerous photolithography processing steps, the alignment of each pattern mask, especially the last ones used, is dependant upon the correct alignment of earlier masks. Poor overlay may destroy the intended electrical properties of a circuit device on a wafer.
  • a common practice in registration, or matching in position, of overlying layers in a semiconductor wafer is to use metrology structures such as registration patterns or marks in each layer of the wafer in regions outside of a circuit region.
  • the box-in-box registration pattern is commonly used today.
  • This technique employs squares of different sizes on the layers to be registered. When the two layers are exactly matched in position, or registered, the squares are concentric. Any registration error produces a displacement of the squares relative to each other.
  • four box-in-box alignments are typically performed simultaneously, with the boxes located at the four corners of the image field.
  • registration errors can be measured by a process in which an image of a set of registration patterns, such as box-in-box, recorded by a video camera through a microscope, are processed to obtain a measurement of the registration error.
  • the present invention provides a method and apparatus that overcome some of the problems associated with registration measurements.
  • the present invention utilizes optical or scanning electron microscopy images of two or more overlying integrated circuit layers.
  • the portion of the integrated circuit layers that is imaged contains the actual operative circuitry of the chip.
  • the respective images are digitized and the digitized patterns are further analyzed for the relative placement of the two layers.
  • the measured offset of predetermined features in the layers can then be compared to a database containing previously computed data for what the feature offset should be in the two layers.
  • the difference between the imaged and the ideal placement, if outside a tolerable limit, is then used to correct processing errors or inefficiencies in the subsequent fabrication of other wafers.
  • FIG. 1 illustrates a registration measurement system according to one embodiment of the present invention.
  • FIG. 2 is a block diagram of the illustration of FIG. 1 .
  • FIG. 3 is a flow diagram of the registration steps in accordance with the present invention.
  • FIG. 4 represents features of such overlying material layers in a semiconductor device which is useful in explaining the invention.
  • FIG. 5 illustrates an aerial image 2 D contour of the overlying material layers of FIG. 4 .
  • the present invention provides a method for measuring registration between overlying layers of a semiconductor wafer.
  • the registration method measures the displacement of the actual patterns on the scanned semiconductor layers, rather than that of metrology structures such as, for example, the set box-in-box pattern.
  • the present invention is implemented in a semiconductor device imaging system 100 , an exemplary one being shown in FIGS. 1 and 2 .
  • the system 100 includes a prealigner 142 for handling cassette wafer holder 140 , an automated imaging system 146 , an image processor 148 , and a computer 150 which further comprises one or more processors and associated memory, an image monitor 152 , a text screen 154 , a keyboard 156 , and a disk drive 158 .
  • cassette wafer holder 140 contains semiconductor wafers to be measured and is mounted on system 100 .
  • a wafer transport system or a wafer handler removes a semiconductor silicon wafer 10 from cassette 140 and places it on prealigner 142 .
  • a typical wafer transport system is a model CKG 1 or CKG 3 available from FSI.
  • Semiconductor wafer 10 has a given size, typically ranging from 75 mm (3 inches) to 200 mm (8 inches) in diameter.
  • the wafer transport system further transfers semiconductor silicon wafer 10 from the prealigner 142 onto stage 118 .
  • Stage 118 can move in three dimensions and permits the positioning of semiconductor wafer 10 relative to the automated imaging system 146 .
  • Stage 118 may be any conventional device, such as a piezoelectrically driven stage, that provides precise movement over a broad range of distances.
  • the automated imaging system 146 may be either an optical system or a scanning electron microscope (SEM), both being used in the present invention for generating aerial images of wafer 10 .
  • SEM scanning electron microscope
  • the optical system could include a microscope and a video camera positioned above semiconductor wafer 10 .
  • the microscope could carry objectives ranging in power from 2.5 ⁇ to 200 ⁇ magnification.
  • the microscope of the optical system could be a Zeiss Axiotron type and the video camera a Dage MT168 series.
  • the present invention could use a scanning electron microscope (SEM) as the imaging system 146 .
  • SEM scanning electron microscope
  • a conventional SEM focuses an emitted electron beam toward the semiconductor wafer 10 .
  • step 202 begins with the automated imaging system 146 taking a top-down image of a semiconductor wafer 10 at a predetermined step in the fabrication process and at a predetermined area of the circuit which may be user selectable.
  • This image includes features of two or more layers of the wafer combined in a single top-down image.
  • two material layers, a first and a second, are imaged.
  • the first individual layer will have at least one predetermined featured reference point in the image.
  • the reference point might be the radial center of the radius of curvature of the feature or it could be a predetermined location along the edge of the feature.
  • the reference point could also be a centroid of a feature or have some other spatial relationship to one or more features of the layer.
  • the second individual layer, which overlies the first will also have a predetermined feature reference point in the image.
  • FIG. 4 is a simplified drawing illustrating respective features 301 and 303 in two overlaid material layers in the aerial image.
  • a predetermined feature reference point for feature 301 can be the center point 305
  • a predetermined feature reference point for feature 303 can be a radial center point 307 .
  • FIG. 4 shows positions of two features, each having an associated reference point, it should be appreciated that additional features and associated reference points can be used in each material layer, as exemplified in the aerial image taken in FIG. 5 . Also, although FIG. 3 shows one reference point for each feature, it should be apparent that multiple reference points can be used for each feature of each layer.
  • the degree of alignment of the two layers is represented by the degree of alignment of the feature reference points. Taking the example shown in FIG. 4 , if the two featured reference points 305 and 307 are supposed to overlap when the material layer containing feature 301 is properly aligned with the material layer containing feature 303 , the degree of non-overlap of the reference points in the X and Y directions of a rectangular coordinate system represents the degree of misalignment of the two layers. That is, the values ⁇ x and ⁇ y in FIG. 4 represent the degree of misalignment of the two layers.
  • step 204 the feature reference points in the two material layers are located.
  • the reference points can be located by an analysis of the images captured themselves that is, taking FIG. 3 as an example, computer 150 takes the image from image processor 148 and finds the center points 305 and 307 of the respective features 301 and 303 of the two overlapping material layers. Once the reference points are located the process proceeds to step 206 , where the relative locations of those reference points in an ideal wafer is used as a basis for comparison with the actual locations of the featured reference points found in step 204 .
  • the ideal relationship of the compared feature points can be found in the original design specifications for the circuit portion under examination, or can be found from a previously imaged circuit where the reference points were in perfect alignment. In either case, at step 206 the computer determines whether the featured points, for example, 305 and 307 in FIG. 3 , are in the proper relationship, which would indicate proper alignment of the two material layers. If the two featured reference points are not in the alignment they are supposed to be in, as determined from the database or ideal image, the degree of offset of the two is noted in a rectangular coordinate system and represented by a ⁇ x and ⁇ y value from where these two reference points are supposed to be located.
  • the reference points 305 and 307 are supposed to exactly overlap so that the degree of offset is easily represented by a ⁇ x and ⁇ y value.
  • This ⁇ x and ⁇ y value represents then the degree of offset between the two material layers.
  • step 208 determines whether any offset that has been determined between the reference feature points is within a tolerable limit. If not, a registration error is indicated in step 206 and the magnitude of that error is noted. This can be in the form of a computer record which is generated, as well as an image presented on the image monitor 152 showing the degree of error on an actual depiction of the image under investigation. Likewise, an offset of this magnitude can be displayed on text screen 154 and recorded on a recording medium by disc drive 158 .
  • step 212 the process determines whether there are other areas of interest on the captured image that need examination. If so, the process proceeds from step 212 back to step 202 , and another area of the image under consideration is then inspected and the process steps repeated. If at step 212 there are no other areas of interest for examination, the process proceeds to an end step 214 .
  • the invention allows for a determination of a degree of misalignment of overlapped layers of an integrated circuit by actual inspection of an image showing the overlapped areas with a determination of the degree of alignment or misalignment, which is based on reference points provided in each of the two layers.
  • the degree of misregistration of overlying layers can be directly determined rather than inferentially determined in a quick and efficient manner, and can be used whenever overlapping registration is a criticality in material layers of an integrated circuit under fabrication.
  • the present invention can be used for any overlapping material layers at any area or site in a field of an IC as long as the examined area contains features from both layers which are in the obtained images, the locations of which can be identified by associated feature reference points.

Abstract

A method and apparatus for measuring registration between two or more integrated circuit layers is disclosed. Images of actual operative circuitry of different layers of a semiconductor wafer, obtained by an optical technique or a scanning electron microscope, are digitized and analyzed for the relative placement of pattern shapes of the corresponding layers. This relative placement is then compared to tolerance values and if out of tolerance misregistration of the two layers is indicated.

Description

    FIELD OF THE INVENTION
  • The present invention relates to semiconductor processing, and in particular, to a method for measuring the registration between two or more integrated circuit layers.
  • BACKGROUND OF THE INVENTION
  • Semiconductor-based integrated circuits are typically manufactured through the formation of a set of layers on a wafer containing many integrated circuit areas that are later separated into individual dies. Very thin layers of material are deposited one on top of the other in patterns and processed to form integrated circuit components.
  • One technique of deposition and patterning is photolithography where a material layer is first coated with a light-sensitive photoresist. The photoresist is exposed through a pattern mask of a desired circuit pattern. Depending upon the type of photoresist used, the exposed photoresist is developed to remove either the exposed or unexposed resist. Etching and/or deposition processes are then used to create the desired circuit within the pattern created.
  • In most cases, the pattern mask should be precisely aligned on a wafer during processing. The overlay of the mask, the measure of how accurately the pattern mask is aligned, will often determine whether the wafer will be functional or must be discarded. Because each wafer may undergo numerous photolithography processing steps, the alignment of each pattern mask, especially the last ones used, is dependant upon the correct alignment of earlier masks. Poor overlay may destroy the intended electrical properties of a circuit device on a wafer.
  • A common practice in registration, or matching in position, of overlying layers in a semiconductor wafer is to use metrology structures such as registration patterns or marks in each layer of the wafer in regions outside of a circuit region. In particular, the box-in-box registration pattern is commonly used today. This technique employs squares of different sizes on the layers to be registered. When the two layers are exactly matched in position, or registered, the squares are concentric. Any registration error produces a displacement of the squares relative to each other. To ensure ideal registration between masks, four box-in-box alignments are typically performed simultaneously, with the boxes located at the four corners of the image field.
  • Since semiconductor devices are expensive to fabricate, it is desirable to verify registration after the application of each layer. If the displacement of the layers is outside tolerable limits, sometimes the defective layer can be removed or replaced with an accurately registered layer. In other cases, the substrate is discarded so that further processing steps are not performed on a defective substrate. In any event, significant registration errors must be noted and corrected, otherwise subsequently fabricated wafers would likely have the same registration errors.
  • In the prior art, monitoring and verification of registration was done manually. Laboratory operators using microscopes examined the registration of overlying layers on each semiconductor wafer. Unavoidably, this technique was slow, subject to human errors and capable of producing substrate contamination.
  • Recently, the registration of overlying layers has been measured by automated systems, such as optical microscopy and scanning electron microscopy (SEM). For example, registration errors can be measured by a process in which an image of a set of registration patterns, such as box-in-box, recorded by a video camera through a microscope, are processed to obtain a measurement of the registration error.
  • As feature sizes and the alignment requirements of semiconductor devices shrink, the lens distortion of the exposure system has a larger impact on the alignment budget. Alignment errors due to lens distortions have been shown to be dependent on feature size and pitch. This size and pitch dependency can induce a measured alignment offset difference between the standard box-in-box method and the actual circuit layers of interest.
  • Accordingly, a method for measuring displacement between layers of a semiconductor wafer, which is inexpensive to implement, fast in operation and simple to automate is needed. There is also a need for a method that allows accurate measurement of layer registration errors, while avoiding the systematic errors associated with the prior art measurement systems.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method and apparatus that overcome some of the problems associated with registration measurements.
  • The present invention utilizes optical or scanning electron microscopy images of two or more overlying integrated circuit layers. The portion of the integrated circuit layers that is imaged contains the actual operative circuitry of the chip. The respective images are digitized and the digitized patterns are further analyzed for the relative placement of the two layers. The measured offset of predetermined features in the layers can then be compared to a database containing previously computed data for what the feature offset should be in the two layers. The difference between the imaged and the ideal placement, if outside a tolerable limit, is then used to correct processing errors or inefficiencies in the subsequent fabrication of other wafers.
  • The above and other advantages and features of the present invention will be better understood from the following detailed description of the preferred embodiment which is provided in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a registration measurement system according to one embodiment of the present invention.
  • FIG. 2 is a block diagram of the illustration of FIG. 1.
  • FIG. 3 is a flow diagram of the registration steps in accordance with the present invention.
  • FIG. 4 represents features of such overlying material layers in a semiconductor device which is useful in explaining the invention.
  • FIG. 5 illustrates an aerial image 2D contour of the overlying material layers of FIG. 4.
  • DETAIL DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In the following detailed description, reference is made to various specific embodiments in which the invention may be practiced. These embodiments are described with sufficient detail to enable those skilled in the art to practice the invention, and it is to be understood that other embodiments may be employed, and that structural, electrical and methodology changes may be made without departing from the invention. Accordingly, the following detailed description is not to be taken in a limiting sense and the scope of the present invention is defined by the appended claims.
  • The present invention provides a method for measuring registration between overlying layers of a semiconductor wafer. The registration method measures the displacement of the actual patterns on the scanned semiconductor layers, rather than that of metrology structures such as, for example, the set box-in-box pattern.
  • The present invention is implemented in a semiconductor device imaging system 100, an exemplary one being shown in FIGS. 1 and 2. The system 100 includes a prealigner 142 for handling cassette wafer holder 140, an automated imaging system 146, an image processor 148, and a computer 150 which further comprises one or more processors and associated memory, an image monitor 152, a text screen 154, a keyboard 156, and a disk drive 158.
  • As illustrated in FIGS. 1 and 2, cassette wafer holder 140 contains semiconductor wafers to be measured and is mounted on system 100. A wafer transport system or a wafer handler (not shown) removes a semiconductor silicon wafer 10 from cassette 140 and places it on prealigner 142. A typical wafer transport system is a model CKG1 or CKG3 available from FSI. Semiconductor wafer 10 has a given size, typically ranging from 75 mm (3 inches) to 200 mm (8 inches) in diameter.
  • The wafer transport system further transfers semiconductor silicon wafer 10 from the prealigner 142 onto stage 118. Stage 118 can move in three dimensions and permits the positioning of semiconductor wafer 10 relative to the automated imaging system 146. Stage 118 may be any conventional device, such as a piezoelectrically driven stage, that provides precise movement over a broad range of distances.
  • The automated imaging system 146 may be either an optical system or a scanning electron microscope (SEM), both being used in the present invention for generating aerial images of wafer 10.
  • The optical system could include a microscope and a video camera positioned above semiconductor wafer 10. The microscope could carry objectives ranging in power from 2.5× to 200× magnification. For example, the microscope of the optical system could be a Zeiss Axiotron type and the video camera a Dage MT168 series.
  • Similarly, the present invention could use a scanning electron microscope (SEM) as the imaging system 146. As described in the background section of this application, a conventional SEM focuses an emitted electron beam toward the semiconductor wafer 10.
  • Referring now to FIG. 3, a process for measuring material layer registration in a semiconductor device using the equipment shown in FIGS. 1 and 2 will now be described. After a system initialization at step 200, step 202 begins with the automated imaging system 146 taking a top-down image of a semiconductor wafer 10 at a predetermined step in the fabrication process and at a predetermined area of the circuit which may be user selectable. This image includes features of two or more layers of the wafer combined in a single top-down image. For purposes of simplification, we will assume that two material layers, a first and a second, are imaged. The first individual layer will have at least one predetermined featured reference point in the image.
  • For example, if the printed feature is a circular feature, the reference point might be the radial center of the radius of curvature of the feature or it could be a predetermined location along the edge of the feature. The reference point could also be a centroid of a feature or have some other spatial relationship to one or more features of the layer. Likewise, the second individual layer, which overlies the first will also have a predetermined feature reference point in the image. FIG. 4 is a simplified drawing illustrating respective features 301 and 303 in two overlaid material layers in the aerial image. A predetermined feature reference point for feature 301 can be the center point 305, while a predetermined feature reference point for feature 303 can be a radial center point 307.
  • Although FIG. 4 shows positions of two features, each having an associated reference point, it should be appreciated that additional features and associated reference points can be used in each material layer, as exemplified in the aerial image taken in FIG. 5. Also, although FIG. 3 shows one reference point for each feature, it should be apparent that multiple reference points can be used for each feature of each layer.
  • The degree of alignment of the two layers is represented by the degree of alignment of the feature reference points. Taking the example shown in FIG. 4, if the two featured reference points 305 and 307 are supposed to overlap when the material layer containing feature 301 is properly aligned with the material layer containing feature 303, the degree of non-overlap of the reference points in the X and Y directions of a rectangular coordinate system represents the degree of misalignment of the two layers. That is, the values Δx and Δy in FIG. 4 represent the degree of misalignment of the two layers.
  • Following the capture of a top-down image of at least two overlying material layers in step 202, the process proceeds to step 204 where the feature reference points in the two material layers are located. The reference points can be located by an analysis of the images captured themselves that is, taking FIG. 3 as an example, computer 150 takes the image from image processor 148 and finds the center points 305 and 307 of the respective features 301 and 303 of the two overlapping material layers. Once the reference points are located the process proceeds to step 206, where the relative locations of those reference points in an ideal wafer is used as a basis for comparison with the actual locations of the featured reference points found in step 204.
  • The ideal relationship of the compared feature points can be found in the original design specifications for the circuit portion under examination, or can be found from a previously imaged circuit where the reference points were in perfect alignment. In either case, at step 206 the computer determines whether the featured points, for example, 305 and 307 in FIG. 3, are in the proper relationship, which would indicate proper alignment of the two material layers. If the two featured reference points are not in the alignment they are supposed to be in, as determined from the database or ideal image, the degree of offset of the two is noted in a rectangular coordinate system and represented by a Δx and Δy value from where these two reference points are supposed to be located.
  • In FIG. 4, for example, the reference points 305 and 307 are supposed to exactly overlap so that the degree of offset is easily represented by a Δx and Δy value. This Δx and Δy value represents then the degree of offset between the two material layers.
  • The process then proceeds to step 208 to determine whether any offset that has been determined between the reference feature points is within a tolerable limit. If not, a registration error is indicated in step 206 and the magnitude of that error is noted. This can be in the form of a computer record which is generated, as well as an image presented on the image monitor 152 showing the degree of error on an actual depiction of the image under investigation. Likewise, an offset of this magnitude can be displayed on text screen 154 and recorded on a recording medium by disc drive 158.
  • If the featured reference points are within tolerable limits of step 208, and in any event once step 210 is completed, the process returns to step 212 where the process determines whether there are other areas of interest on the captured image that need examination. If so, the process proceeds from step 212 back to step 202, and another area of the image under consideration is then inspected and the process steps repeated. If at step 212 there are no other areas of interest for examination, the process proceeds to an end step 214.
  • Thus, the invention allows for a determination of a degree of misalignment of overlapped layers of an integrated circuit by actual inspection of an image showing the overlapped areas with a determination of the degree of alignment or misalignment, which is based on reference points provided in each of the two layers. As a consequence, the degree of misregistration of overlying layers can be directly determined rather than inferentially determined in a quick and efficient manner, and can be used whenever overlapping registration is a criticality in material layers of an integrated circuit under fabrication.
  • It should be readily apparent that the present invention can be used for any overlapping material layers at any area or site in a field of an IC as long as the examined area contains features from both layers which are in the obtained images, the locations of which can be identified by associated feature reference points.
  • While the invention has been described in detail with reference to semiconductor wafers, it should be readily apparent that the present invention can be used with other substrates having overlapping material layers as well.
  • While the invention has been described in detail in connection with the preferred embodiments known at the time, it should be readily understood that the invention is not limited to such disclosed embodiments. Rather, the invention can be modified to incorporate any number of variations, alterations, substitutions or equivalent arrangements not described here, but which are commensurate with the spirit and scope of the invention. Accordingly, the invention is not to be seen as limited by the foregoing description, but is only limited by the scope of the appended claims.
  • What is claimed as new and desired to be protected by Letters Patent of the United States is:

Claims (10)

1-39. (canceled)
40. A system for measuring the registration between at least two integrated circuit layers, one residing over the other, the system comprising:
an imaging system for generating a top-down field of the at least two integrated circuit layers, each of the layers having a respective visible feature in the image;
an image processor for digitizing the image;
a computer for processing the digitized image to determine a location of a first feature reference point in the visible feature of one of the layers, and allocation of a second feature reference point in the visible feature of the other of the layers, to indicate a relative location of the visible feature of one of the layers, relative to the visible feature of the other of the layers, wherein at least one of the visible features is a non-metrological structure on the one of the integrated circuit layers and for determining if the relative location is within acceptable design limits for the integrated circuit layers.
41. The system of claim 40, wherein the computer further determines an x-axis value, X1, and a y-axis value, Y1.
42. The system of claim 40, wherein the computer further determines an x-axis value, X2, and a y-axis value, Y2.
43. The system of claim 40, wherein the computer further determines an x-axis value, Δx, and a y-axis value, Δy, wherein:

Δx−X1−X2; and
Δy−Y1−Y2.
44. The system of claim 40, wherein the computer further compares said relative location to a stored data having reference locations and tolerable limits.
45. The system of claim 44, wherein the computer further calculates an offset value.
46. The system of claim 45, wherein the computer further compares the offset value to a predetermined tolerance.
47. The system of claim 40, wherein the imaging system includes a scanning electron microscope.
48. The system of claim 40, wherein the imaging system includes an optical system.
US11/504,072 2000-03-01 2006-08-15 Method for measuring registration of overlapping material layers of an integrated circuit Abandoned US20060274935A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/504,072 US20060274935A1 (en) 2000-03-01 2006-08-15 Method for measuring registration of overlapping material layers of an integrated circuit

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/516,581 US7095885B1 (en) 2000-03-01 2000-03-01 Method for measuring registration of overlapping material layers of an integrated circuit
US11/504,072 US20060274935A1 (en) 2000-03-01 2006-08-15 Method for measuring registration of overlapping material layers of an integrated circuit

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/516,581 Division US7095885B1 (en) 2000-03-01 2000-03-01 Method for measuring registration of overlapping material layers of an integrated circuit

Publications (1)

Publication Number Publication Date
US20060274935A1 true US20060274935A1 (en) 2006-12-07

Family

ID=36821782

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/516,581 Expired - Fee Related US7095885B1 (en) 2000-03-01 2000-03-01 Method for measuring registration of overlapping material layers of an integrated circuit
US11/446,145 Abandoned US20070019859A1 (en) 2000-03-01 2006-06-05 Method for measuring registration
US11/504,072 Abandoned US20060274935A1 (en) 2000-03-01 2006-08-15 Method for measuring registration of overlapping material layers of an integrated circuit

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/516,581 Expired - Fee Related US7095885B1 (en) 2000-03-01 2000-03-01 Method for measuring registration of overlapping material layers of an integrated circuit
US11/446,145 Abandoned US20070019859A1 (en) 2000-03-01 2006-06-05 Method for measuring registration

Country Status (1)

Country Link
US (3) US7095885B1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7734084B2 (en) * 2006-10-20 2010-06-08 Hewlett-Packard Development Company, L.P. Method and system for offset estimation and alignment
US8923601B2 (en) * 2009-04-30 2014-12-30 Hermes Microvision Inc. Method for inspecting overlay shift defect during semiconductor manufacturing and apparatus thereof
US8050490B2 (en) * 2009-04-30 2011-11-01 Hermes Microvision, Inc. Method for inspecting overlay shift defect during semiconductor manufacturing and apparatus thereof
US9754895B1 (en) 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4766311A (en) * 1984-03-20 1988-08-23 Vickers Instruments (Canada) Inc. Method and apparatus for precision SEM measurements
US4772846A (en) * 1986-12-29 1988-09-20 Hughes Aircraft Company Wafer alignment and positioning apparatus for chip testing by voltage contrast electron microscopy
US4938600A (en) * 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4989082A (en) * 1988-07-29 1991-01-29 Westinghouse Electric Corp. Image processing system for comparing a test article with a master article to determine that an object is correctly located on the test article
US5111406A (en) * 1990-01-05 1992-05-05 Nicolet Instrument Corporation Method for determining drill target locations in a multilayer board panel
US5298975A (en) * 1991-09-27 1994-03-29 International Business Machines Corporation Combined scanning force microscope and optical metrology tool
US5479252A (en) * 1993-06-17 1995-12-26 Ultrapointe Corporation Laser imaging system for inspection and analysis of sub-micron particles
US5498500A (en) * 1993-12-27 1996-03-12 Hyundai Electronics Industries Co., Ltd. Overlay measurement mark and method of measuring an overlay error between multi patterns in a semiconductor device using the measurement mark
US5608816A (en) * 1993-12-24 1997-03-04 Matsushita Electric Industrial Co., Ltd. Apparatus for inspecting a wiring pattern according to a micro-inspection and a macro-inspection performed in parallel
US5617340A (en) * 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5701013A (en) * 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US5776645A (en) * 1994-11-01 1998-07-07 International Business Machines Corporation Lithographic print bias/overlay target and applied metrology
US5790254A (en) * 1994-12-20 1998-08-04 International Business Machines Corporation Monitoring of minimum features on a substrate
US5835225A (en) * 1994-11-30 1998-11-10 Micron Technology, Inc. Surface properties detection by reflectance metrology
US5847818A (en) * 1997-07-16 1998-12-08 Winbond Electronics Corp. CD vernier apparatus for SEM CD measurement
US5930382A (en) * 1995-05-15 1999-07-27 Hitachi, Ltd. Wiring pattern inspecting method and system for carrying out the same
US5987160A (en) * 1997-04-02 1999-11-16 Delco Electronics Corporation Method and apparatus for inspecting a photoresist material by inducing and detecting fluorescence of the photoresist material
US6068954A (en) * 1998-09-01 2000-05-30 Micron Technology, Inc. Semiconductor wafer alignment methods

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4766311A (en) * 1984-03-20 1988-08-23 Vickers Instruments (Canada) Inc. Method and apparatus for precision SEM measurements
US4772846A (en) * 1986-12-29 1988-09-20 Hughes Aircraft Company Wafer alignment and positioning apparatus for chip testing by voltage contrast electron microscopy
US4989082A (en) * 1988-07-29 1991-01-29 Westinghouse Electric Corp. Image processing system for comparing a test article with a master article to determine that an object is correctly located on the test article
US4938600A (en) * 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US5111406A (en) * 1990-01-05 1992-05-05 Nicolet Instrument Corporation Method for determining drill target locations in a multilayer board panel
US5298975A (en) * 1991-09-27 1994-03-29 International Business Machines Corporation Combined scanning force microscope and optical metrology tool
US5479252A (en) * 1993-06-17 1995-12-26 Ultrapointe Corporation Laser imaging system for inspection and analysis of sub-micron particles
US5608816A (en) * 1993-12-24 1997-03-04 Matsushita Electric Industrial Co., Ltd. Apparatus for inspecting a wiring pattern according to a micro-inspection and a macro-inspection performed in parallel
US5498500A (en) * 1993-12-27 1996-03-12 Hyundai Electronics Industries Co., Ltd. Overlay measurement mark and method of measuring an overlay error between multi patterns in a semiconductor device using the measurement mark
US5617340A (en) * 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5776645A (en) * 1994-11-01 1998-07-07 International Business Machines Corporation Lithographic print bias/overlay target and applied metrology
US5835225A (en) * 1994-11-30 1998-11-10 Micron Technology, Inc. Surface properties detection by reflectance metrology
US5790254A (en) * 1994-12-20 1998-08-04 International Business Machines Corporation Monitoring of minimum features on a substrate
US5930382A (en) * 1995-05-15 1999-07-27 Hitachi, Ltd. Wiring pattern inspecting method and system for carrying out the same
US5701013A (en) * 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US5987160A (en) * 1997-04-02 1999-11-16 Delco Electronics Corporation Method and apparatus for inspecting a photoresist material by inducing and detecting fluorescence of the photoresist material
US5847818A (en) * 1997-07-16 1998-12-08 Winbond Electronics Corp. CD vernier apparatus for SEM CD measurement
US6068954A (en) * 1998-09-01 2000-05-30 Micron Technology, Inc. Semiconductor wafer alignment methods

Also Published As

Publication number Publication date
US7095885B1 (en) 2006-08-22
US20070019859A1 (en) 2007-01-25

Similar Documents

Publication Publication Date Title
EP0457843B1 (en) Method and apparatus for measuring registration between layers of a semiconductor wafer
JP4272862B2 (en) Position detection method, position detection apparatus, and exposure apparatus
US8804137B2 (en) Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
US6498640B1 (en) Method to measure alignment using latent image grating structures
US7473502B1 (en) Imaging tool calibration artifact and method
US6084679A (en) Universal alignment marks for semiconductor defect capture and analysis
US7336352B2 (en) Position detection apparatus
US20020183989A1 (en) Overlay error model, sampling strategy and associated equipment for implementation
KR100394585B1 (en) Method and apparatus for overlay measurement
US6484060B1 (en) Layout for measurement of overlay error
JPH07321012A (en) Alignment method and manufacture of element using the same
US10191394B2 (en) Distortion detection method, exposure apparatus, exposure method, and device manufacturing method
JPH0244137B2 (en)
US20090127723A1 (en) AIM-Compatible Targets for Use with Methods of Inspecting and Optionally Reworking Summed Photolithography Patterns Resulting from Plurally-Overlaid Patterning Steps During Mass Production of Semiconductor Devices
KR20230052888A (en) A method for producing overlay artifacts over absolute references for semiconductor manufacturing
JP2859855B2 (en) Fine pattern alignment method for semiconductor device
US20060274935A1 (en) Method for measuring registration of overlapping material layers of an integrated circuit
JP3374991B2 (en) Projection optical system adjustment method, exposure method, and exposure apparatus
US6238940B1 (en) Intra-tool defect offset system
JP2005011976A (en) Position detecting method
US6671048B1 (en) Method for determining wafer misalignment using a pattern on a fine alignment target
US6330355B1 (en) Frame layout to monitor overlay performance of chip composed of multi-exposure images
US6379848B1 (en) Reticle for use in photolithography and methods for inspecting and making same
JP4154197B2 (en) Position detection method, position detection apparatus, and exposure apparatus
JPH09211840A (en) Inspection method and inspection device for reticle as well as inspection method and inspection device for pattern

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION