US20060285111A1 - Apparatuses and methods for enhanced critical dimension scatterometry - Google Patents

Apparatuses and methods for enhanced critical dimension scatterometry Download PDF

Info

Publication number
US20060285111A1
US20060285111A1 US11/453,463 US45346306A US2006285111A1 US 20060285111 A1 US20060285111 A1 US 20060285111A1 US 45346306 A US45346306 A US 45346306A US 2006285111 A1 US2006285111 A1 US 2006285111A1
Authority
US
United States
Prior art keywords
mask
radiation
scatterometer
radiation distribution
microstructure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/453,463
Inventor
Chris Raymond
Darren Forman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanometrics Inc
Original Assignee
Accent Optical Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Accent Optical Technologies Inc filed Critical Accent Optical Technologies Inc
Priority to US11/453,463 priority Critical patent/US20060285111A1/en
Assigned to ACCENT OPTICAL TECHNOLOGIES, INC. reassignment ACCENT OPTICAL TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FORMAN, DARREN, RAYMOND, CHRIS
Publication of US20060285111A1 publication Critical patent/US20060285111A1/en
Assigned to ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC. reassignment ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: ACCENT OPTICAL TECHNOLOGIES, INC., ALLOY MERGER CORPORATION
Assigned to NANOMETRICS INCORPORATED reassignment NANOMETRICS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/27Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection ; circuits for computing concentration
    • G01N21/274Calibration, base line adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4792Polarisation of scatter light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers

Definitions

  • the present invention is related to apparatuses and methods for evaluating microstructures on a workpiece, such as a semiconductor wafer, by obtaining a representation of the distribution of radiation returning from the workpiece through a large range of angles of incidence.
  • Semiconductor devices and other microelectronic devices are typically manufactured on a workpiece having a large number of individual dies (e.g., chips). Each wafer undergoes several different procedures to construct the switches, capacitors, conductive interconnects, and other components of a device. For example, a workpiece can be processed using lithography, implanting, etching, deposition, planarization, annealing, and other procedures that are repeated to construct a high density of features.
  • One aspect of manufacturing microelectronic devices is evaluating the workpieces to ensure that the microstructures are within the desired specifications.
  • Scatterometry is one technique for evaluating several parameters of microstructures.
  • scatterometry is used to evaluate film thickness, line spacing, trench depth, trench width, and other aspects of microstructures.
  • Many semiconductor wafers for example, include gratings in the scribe lanes between the individual dies to provide a periodic structure that can be evaluated using existing scatterometry equipment.
  • One existing scatterometry process includes illuminating such periodic structures on a workpiece and obtaining a representation of the scattered radiation returning from the periodic structure. The representation of return radiation is then analyzed to estimate one or more parameters of the microstructure.
  • Several different scatterometers and methods have been developed for evaluating different aspects of microstructures and/or films on different types of substrates.
  • Eldim Corporation of France manufactures devices that measure the photometric and calorimetric characteristics of substrates used in flat panel displays and other products.
  • the Eldim devices use an Optical Fourier Transform (OFT) instrument having an illumination source, a beam splitter aligned with the illumination source, and a first lens between the beam splitter and the sample.
  • the light reflects from the sample, and the first lens also focuses the reflected light in another plane.
  • the system further includes an optical relay system to receive the reflected light and a sensor array to image the reflected light.
  • WO 2005/026707 and U.S. Pat. Nos. 6,804,001; 6,556,284; 5,880,845; and 5,703,686 disclose various generations of scatterometers.
  • the scatterometers set forth in these patents are useful for assessing the photometric and calorimetric properties of flat panel displays, but they may have several drawbacks for assessing parameters of extremely small microstructures on microelectronic workpieces.
  • the scatterometers used to analyze flat panel displays may have relatively large spot sizes that are not useful to measure the properties of a 20-40 ⁇ m grating because such large spot sizes generate reflections from the surrounding areas that result in excessive noise.
  • existing scatterometers that assess the films and surface conditions of flat panel displays typically use relatively long wavelengths of light (e.g., 532 nm).
  • many microstructures on semiconductor wafers have line widths smaller than 70 nm.
  • the relatively long wavelengths used to assess flat panel displays may not be capable of assessing very small microstructures on many microelectronic devices. Therefore, devices designed for assessing flat panel displays may not be well-suited for assessing gratings or other microstructures having much smaller dimensions on microelectronic workpieces.
  • Another challenge of assessing microstructures using scatterometry is processing the data in the representation of the return radiation.
  • Many scatterometers calculate simulated or modeled representations of the return radiation and then use an optimization regression to optimize the fit between the simulated representations and an actual reflectance signal.
  • optimization regressions require a significant amount of processing time using high-power computers because the actual reflectance signals for measurements through a large range of incidence angles contain a significant amount of data that is affected by a large number of variables.
  • the computational time for example, can require several minutes such that the substrates are typically evaluated offline instead of being evaluated in-situ within a process tool.
  • the simulated representations are typically based on data from the zeroth-order diffraction, because the vector of the reflected beam is exactly opposite the angle of incidence, and also because the reflected (zeroth-order) radiation is typically the most intense.
  • Higher order simulations may also be used to solve the inverse problem, either with or without the complementary zeroth-order.
  • the diffracted orders may take different paths through the optical system, necessitating different calibration coefficients for each potential optical path. As a result, it is sometimes useful to decouple the zeroth-order return radiation from the higher orders, and to decouple the higher orders from each other.
  • FIG. 1 is a schematic illustration of a scatterometer in accordance with one embodiment of the invention.
  • FIG. 2A is a schematic view illustrating an optical system for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 2B is a schematic view of a cube-type polarizing beam splitter for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 2C is a schematic view of a CMOS imager for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 3 is a schematic top plan view of the mask illustrated in FIG. 2A .
  • FIG. 4 illustrates one embodiment of the convergent beam formed by the optical system illustrated in FIG. 2A .
  • FIG. 5 is a schematic diagram illustrating a convergent beam in accordance with one embodiment of the invention.
  • FIG. 6 is a schematic illustration of an embodiment of the navigation system and the auto-focus system for use in the scatterometer.
  • FIG. 7 is a schematic illustration of a simulated radiation distribution based on the mask illustrated in FIG. 3 .
  • FIG. 8 illustrates one embodiment for ascertaining the feature parameters of a microstructure in accordance with the invention.
  • FIG. 9 is a schematic top plan view of a mask in accordance with another embodiment of the invention.
  • FIG. 10 is a schematic top plan view of a mask in accordance with another embodiment of the invention.
  • FIG. 11 is a schematic top plan view of a mask in accordance with another embodiment of the invention.
  • FIG. 12 is a schematic top plan view of a mask in accordance with another embodiment of the invention.
  • the present invention is directed toward evaluating microstructures on microelectronic workpieces and other types of substrates. Many applications of the present invention are directed toward scatterometers and methods of using scatterometry to determine several parameters of periodic microstructures, pseudo-periodic structures, and other very small structures having features sizes as small as 100 nm or less. Several specific embodiments of the present invention are particularly useful in the semiconductor industry to determine the width, depth, line edge roughness, wall angle, film thickness, and many other parameters of the features formed in microprocessors, memory devices, and other semiconductor devices.
  • the scatterometers and methods of the invention are not limited to semiconductor applications and can be applied equally well in other applications.
  • a scatterometer comprises an irradiation source, an optic member, and an object lens assembly.
  • the irradiation source can be a laser that produces a beam of radiation at a wavelength.
  • the optic member is aligned with the path of the beam and configured to condition the beam (e.g., shape, randomize, select order, diffuse, converge, diverge, collimate, etc.), and the object lens assembly is positioned between the optic member and a workpiece site.
  • the object lens assembly is configured to (a) simultaneously focus the conditioned beam through a plurality of altitude angles to a spot at an object focal plane, (b) receive radiation scattered from a workpiece, and (c) present a distribution of the scattered radiation at a second focal plane.
  • the radiation distribution can be the intensity, polarimetric, ellipsometric, and/or reflectance distribution of the scattered radiation.
  • the object lens assembly maintains a sine relationship between the altitude angles and corresponding points on the radiation distribution at the second focal plane.
  • the scatterometer further includes a mask positioned between the optic member and the object lens assembly, and a detector positioned to receive at least a portion of the radiation distribution.
  • the mask is aligned with the path of the beam to block a portion of the conditioned beam.
  • the mask is configured to at least partially separate the zeroth-order diffraction and the higher-order diffractions in the radiation distribution at the second focal plane.
  • the detector is configured to produce a representation of the radiation distribution.
  • a scatterometer in accordance with the invention comprises a radiation source configured to produce a beam of radiation having a wavelength and an optical system aligned with the beam of radiation.
  • the optical system includes a first optics assembly, an object lens assembly, and a mask.
  • the first optics assembly is configured to condition the beam of radiation such that beam is diffuse and randomized.
  • the object lens assembly is configured to (a) focus the beam at an area of an object focal plane, and (b) present a radiation distribution of radiation scattered from a microstructure at a second focal plane.
  • the mask is shaped to block a portion of the beam such that a specific diffraction order is at least partially isolated from another diffraction order in the radiation distribution at the second focal plane.
  • the scatterometer further includes (a) a detector positioned to receive the radiation distribution of the scattered radiation and configured to produce a representation of the radiation distribution, and (b) a computer operatively coupled to the detector for receiving a predetermined portion of the representation of the radiation distribution based on the configuration of the mask.
  • the computer includes a database having a plurality of simulated radiation distributions corresponding to different sets of parameters of a microstructure and a computer-operable medium containing instructions that cause the computer to identify a simulated radiation distribution that adequately fits the predetermined portion of the representation of the radiation distribution produced by the detector.
  • a method includes generating a beam having a wavelength and irradiating a microstructure on a workpiece by passing the beam through an object lens assembly that focuses the beam to a focus area at a focal plane.
  • the focus area has a dimension not greater than 50 ⁇ m or in other embodiments at least approximately 10 of the periodic features of the microstructure, and the beam simultaneously has altitude angles of 0° to at least 15° and azimuth angles of 0° to at least 90°.
  • the focus area is not greater than 30 ⁇ m, and the altitude angles are 0° to at least 45°.
  • the altitude angles can be from 0° to at least 70° in other examples.
  • the method further includes detecting an actual radiation distribution corresponding to radiation scattered from the microstructure with the zeroth-order diffraction pattern at least partially separated from the higher-order diffraction patterns.
  • FIG. 1 is a schematic illustration of a scatterometer 10 in accordance with one embodiment of the invention.
  • the scatterometer 10 includes an irradiation source 100 that generates a beam 102 at a desired wavelength.
  • the irradiation source 100 can be a laser system and/or lamp capable of producing (a) a beam 102 at a single wavelength, (b) a plurality of beams at different wavelengths, or (c) any other output having a single wavelength or a plurality of wavelengths.
  • the irradiation source 100 is a laser that produces a beam having a wavelength less than 500 nm, and more preferably in the range of approximately 266 nm-475 nm.
  • the wavelength can be about 375 nm-475 nm, or in some specific examples about 405 nm or 457 nm.
  • the irradiation source 100 can include a plurality of different lasers and/or filters to produce a first beam having a first wavelength of approximately 266 nm and a second beam having a second wavelength of approximately 405 nm, or in another embodiment the first beam can have a wavelength of 405 nm and the second beam can have a wavelength of 457 nm. It will be appreciated that the irradiation source 100 can produce additional wavelengths having shorter or longer wavelengths in the UV spectrum, visible spectrum, and/or another suitable spectrum.
  • the irradiation source 100 can further include a fiber optic cable to transmit the beam 102 through a portion of the apparatus.
  • the scatterometer 10 further includes an optical system 200 between the irradiation source 100 and a workpiece W.
  • the optical system 200 includes a first optics assembly 210 that conditions the beam 102 to form a conditioned beam 212 .
  • the illustrated first optics assembly 210 includes a mask 220 for blocking a portion of the beam 102 to at least partially isolate specific diffraction orders in the output.
  • the mask 220 can separate the zeroth-order diffraction and higher-order diffractions in the output, as explained in greater detail below with reference to Section E.
  • the first optics assembly 210 can also include (a) a beam diffuser/randomizer that diffuses and randomizes the radiation to reduce or eliminate the coherence of the beam 102 , and (b) a beam element that shapes the beam 102 to have a desired cross-sectional dimension, shape, and/or convergence-divergence.
  • the beam element for example, can shape the beam 212 to have a circular, rectilinear, or other suitable cross-sectional shape for presentation to additional optic elements downstream from the first optics assembly 210 .
  • the optical system 200 can further include an object lens assembly 300 that focuses the conditioned beam 212 for presentation to the workpiece W and receives radiation reflected from the workpiece W.
  • the object lens assembly 300 is configured to receive the conditioned beam 212 and form a convergent beam 310 focused at a discrete focus area S on a desired focal plane, such as an object focal plane 320 .
  • the shape of the convergent beam 310 is related to the cross-sectional shape of the conditioned beam 212 , which is based in part on the configuration of the mask 220 . For example, when the conditioned beam 212 has a semicircular cross-sectional area, the convergent beam 310 has a half conical shape.
  • the convergent beam 310 can have a range of incidence angles having altitude angles of 0° to greater than approximately 70° and azimuth angles of 0° to greater than 90° (e.g., 0-360°).
  • the altitude angle is the angle between an incident ray and a reference vector normal to the object focal plane 320
  • the azimuth angle is the angle between an incident plane and a reference vector in a plane parallel to the object focal plane 320 .
  • the large range of incidence angles generates a large number of unique data points that enable accurate evaluations of several parameters of the microstructure.
  • the focus area at the object focal plane 320 preferably has a size and shape suitable for evaluating the particular microstructure.
  • the size of the focal area is less than or equal to the size of the microstructure so that the radiation does not reflect from features outside of the particular microstructure.
  • the object lens assembly 300 is configured to produce a spot size generally less than 40 ⁇ m (e.g., less than 30 ⁇ m).
  • the scatterometer 10 can have larger focus areas in other embodiments directed to assessing larger structures.
  • the object lens assembly 300 is further configured to collect the scattered radiation reflecting or otherwise returning from the workpiece W and present the scattered radiation on a second focal plane 340 .
  • the object lens assembly 300 more particularly, presents the scattered radiation in a manner that provides a radiation distribution of the scattered radiation at the second focal plane 340 .
  • the object lens assembly 300 directs the scattered radiation coming at particular angles from the object focal plane 320 to corresponding points on the second focal plane 340 . Additional aspects of specific embodiments of the object lens assembly 300 are further described below with reference to Section C.
  • the optical system 200 can further include a beam splitter 230 through which the conditioned beam 212 can pass to the object lens assembly 300 and from which a portion of the return beam propagating away from the second focal plane 340 is split and redirected.
  • the optical system 200 can optionally include a second optics assembly 240 that receives the split portion of the return beam from the beam splitter 230 .
  • the second optics assembly 240 is configured to prepare the return beam for imaging by an imaging device. Additional aspects of specific embodiments of the second optics assembly 240 are described below with reference to Section C.
  • the scatterometer 10 further includes a detector 400 positioned to receive the radiation distribution propagating back from the second focal plane 340 .
  • the detector 400 can be a CCD array, CMOS imager, other suitable cameras, or other suitable energy sensors for accurately measuring the radiation distribution.
  • the detector 400 is further configured to provide or otherwise generate a representation of the radiation distribution.
  • the representation of the radiation distribution can be data stored in a database, an image suitable for representation on a display, or other suitable characterizations of the radiation distribution.
  • the scatterometer 10 can further include a navigation system 500 and an auto-focus system 600 .
  • the navigation system 500 can include a light source 510 that illuminates a portion of the workpiece W and optics 520 that view the workpiece W.
  • the navigation system 500 can have a low magnification capability for locating the general region of the microstructure on the workpiece (e.g., global alignment), and a high magnification capability for precisely identifying the location of the microstructure.
  • Several embodiments of the navigation system can use the irradiation source 100 and components of the optical system 200 .
  • the navigation system 500 provides information to move the object lens assembly 300 and/or a workpiece site 510 to accurately position the focus area of the object lens assembly 300 at the desired microstructure on the workpiece W.
  • the scatterometer 10 may not include the navigation system 500 .
  • the auto-focus system 600 can include a focus array 610 , and the optical system 200 can include an optional beam splitter 250 that directs radiation returning from the workpiece W to the focus array 610 .
  • the auto-focus system 600 is operatively coupled to the object lens assembly 300 and/or the workpiece site 510 to accurately position the microstructure on the workpiece W at the object focal plane 320 of the object lens assembly 300 or another plane.
  • the navigation system 500 and the auto-focus system 600 enable the scatterometer 10 to evaluate extremely small features of very small microstructures on semiconductor devices or other types of microelectronic devices. In other embodiments, the scatterometer 10 may not include the auto-focus system 600 .
  • the scatterometer 10 further includes a calibration system for monitoring the intensity of the beam 102 and maintaining the accuracy of the other components.
  • the calibration system (a) monitors the intensity, phase, wavelength, or other property of the beam 102 in real time, (b) provides an accurate reference reflectance for the detector 400 to ensure the accuracy of the scatterometer 10 , and/or (c) provides angular calibration of the system.
  • the calibration system includes a detector 700 and a beam splitter 702 that directs a portion of the initial beam 102 to the detector 700 .
  • the detector 700 monitors changes in the intensity of the beam 102 in real time to continuously maintain the accuracy of the measured radiation distribution.
  • the detector 700 can also or alternatively measure phase changes or a differential intensity.
  • the calibration system for example, can use the polarity of the return radiation to calibrate the system.
  • the calibration system can further include a calibration unit 704 having one or more calibration members for calibrating the detector 400 .
  • the calibration unit 704 includes a first calibration member 710 having a first reflectance of the wavelength of the beam and a second calibration member 720 having a second reflectance of the wavelength of the beam.
  • the first calibration member 710 can have a very high reflectance
  • the second calibration member 720 can have a very low reflectance to provide two data points for calibrating the detector 400 .
  • the second calibration member 720 can be eliminated and the second relectance can be measured from free space.
  • the scatterometer 10 further includes a computer 800 operatively coupled to several of the components.
  • the computer 800 is coupled to the irradiation source 100 , the detector 400 , the navigation system 500 , the auto-focus system 600 , and the reference detector 700 .
  • the computer 800 is programmed to operate the irradiation source 100 to produce at least a first beam having a first wavelength and, in several applications, a second beam having a second wavelength, as described above.
  • the computer 800 can also control the source 100 to control the output intensity of the beam.
  • the computer 800 further includes modules to operate the navigation system 500 and the auto-focus system 600 to accurately position the focus area of the convergent beam 310 at a desired location on the wafer W and in precise focus.
  • the computer 800 further includes a computer-operable medium for processing the measured radiation distribution to provide an evaluation of the microstructure on the workpiece W.
  • the computer 800 can include a database having a plurality of simulated radiation distributions corresponding to known parameters of the microstructure.
  • the computer 800 can include computer-operable media to process the measured radiation distribution in conjunction with the database of simulated radiation distributions in a manner that selects the simulated radiation distribution that best fits the measured radiation distribution. Based upon the selected simulated radiation distribution, the computer stores and/or presents the parameters of the microstructure corresponding to those of the simulated radiation distribution, or an extrapolation or interpolation of such parameters.
  • the computer 800 can scan or otherwise acquire data from pixels of the detector only where there is a high sensitivity to changes in the parameter(s). Such a selective input to the computer reduces the amount of data and increases the quality of the data for processing in the computer 800 .
  • Several aspects of the computer 800 and methods for processing the measured radiation distribution are set forth below in greater detail with reference to Section E.
  • FIG. 2A is a schematic diagram illustrating one specific embodiment of the optical system 200 in accordance with the invention.
  • the first optics assembly 210 includes a beam conditioner 214 , a field stop 216 , and an illumination lens 218 .
  • the beam conditioner 214 is configured to produce a conditioned beam 212 having diffused and randomized radiation.
  • the beam conditioner 214 can be a fiber optic line that transmits the beam from the irradiation source ( FIG. 1 ) and an actuator that moves the fiber optic line to randomize the laser beam.
  • the actuator can move the beam conditioner 214 in such a way that it does not repeat its movement over successive iterations to effectively randomize the radiation.
  • the field stop 216 is positioned in the first focal plane of the illumination lens 218 , and the field stop 216 can have an aperture in a desired shape to influence the spot size and spot shape in conjunction with the illumination lens 218 .
  • the illumination lens 218 collimates the radiation for presentation to the object lens assembly 300 .
  • the illustrated first optics assembly 210 further includes a mask 220 for blocking a portion of the conditioned beam 212 to at least partially isolate specific diffraction orders in the radiation distribution at the second focal plane 340 and the detector 400 .
  • the mask 220 can at least partially separate the zeroth-order diffraction pattern and higher-order diffraction patterns in the radiation distribution at the second focal plan 340 and the detector 400 .
  • the illustrated mask 220 is positioned between the illumination lens 218 and the beam splitter 230 , but in other embodiments the mask 220 can be positioned anywhere between the irradiation source 100 ( FIG. 1 ) and the workpiece W.
  • FIG. 3 is a schematic top plan view of the mask 220 illustrated in FIG. 2A .
  • the illustrated mask 220 includes a generally circular area 222 (illustrated in part with broken lines) and an aperture 226 having a semicircular shape within the circular area 222 .
  • the circular area 222 can correspond to the cross-sectional area of the conditioned beam 212 .
  • the mask 220 is aligned with the conditioned beam 212 such that a semicircular section 224 of the circular area 222 blocks a first portion of the beam 212 and the aperture 226 allows a second portion of the beam 212 to pass through the mask 220 .
  • the portion of the conditioned beam 212 that passes through the aperture 226 has a semicircular cross-sectional shape corresponding to the shape of the aperture 226 .
  • the illustrated mask 220 blocks approximately 50% of the cross-sectional area of the conditioned beam 212 .
  • the illustrated mask 220 has particular utility with a single-periodic microstructure M having the relative orientation illustrated in FIG. 3 and double-periodic microstructures.
  • the first optics assembly 210 can include other masks with one or more apertures with different shapes and sizes to selectively block portions of the conditioned beam 212 .
  • the object lens assembly 300 illustrated in FIG. 2A receives the conditioned beam 212 from the first optics assembly 210 .
  • the object lens assembly 300 can be achromatic to accommodate a plurality of beams at different wavelengths, or it can have a plurality of individual assemblies of lenses that are each optimized for a specific wavelength.
  • Such individual lens assemblies can be mounted on a turret that rotates each lens assembly in the path of the beam according to the wavelength of the particular beam, or such lenses may be mounted in separate, fixed positions that correspond to the incident beam paths of the respective wavelengths. In either case, the object lens assembly 300 is useful for applications that use different wavelengths of radiation to obtain information regarding the radiation returning from the workpiece.
  • the object lens assembly 300 can also include reflective lenses that are useful for laser beams in the UV spectrum. Certain types of glass may filter UV radiation. As such, when the beam has a short wavelength in the UV spectrum, the object lens assembly 300 and other optic members can be formed from reflective materials that reflect the UV radiation. In another embodiment, the first optics assembly 210 or the object lens assembly 300 may have a polarizing lens that polarizes the radiation for the convergent beam 310 .
  • the illustrated object lens assembly 300 includes a divergent lens 302 , a first convergent lens 304 , and a second convergent lens 306 .
  • the first convergent lens 304 can have a first maximum convergence angle
  • the second convergent lens 306 can have a second maximum convergence angle.
  • the object lens assembly 300 (a) focuses the conditioned beam 212 to form the convergent beam 310 , and (b) presents the return radiation from the workpiece W on the second focal plane 340 .
  • the location of the second focal plane 340 depends upon the particular configurations of the lenses 302 , 304 , and 306 .
  • the second focal plane 340 is shown as coinciding with the location of the first convergent lens 304 .
  • FIG. 4 illustrates one embodiment of the convergent beam 310 formed by the optical system 200 illustrated in FIG. 2A .
  • the convergent beam 310 illustrated in FIG. 2A has a half frusto-conical configuration that results in a semicircular focus area S.
  • the semicircular focus area S is smaller than the area of the microstructure under evaluation, but it generally covers at least 8-10 of the periodic structures of the microstructure M.
  • the semicircular focus area S has a radius of approximately 5-20 ⁇ m (e.g., 10-15 ⁇ m).
  • the focus area S is not limited to these ranges or to a semicircular shape in other embodiments.
  • the configuration of the convergent beam 310 and the shape of the focus area S are based on the cross-sectional shape of the conditioned beam 212 , which is determined at least in part by the configuration of the aperture 226 in the mask 220 . As such, the configuration of the convergent beam 310 and the shape of the focus area S may be different in additional embodiments with other masks.
  • the convergent beam 310 simultaneously illuminates a microstructure M through a wide range of incidence angles having large ranges of altitude angles ⁇ and azimuth angles ⁇ . Each incidence angle has an altitude angle ⁇ and an azimuth angle ⁇ .
  • the object lens assembly is generally configured to focus the beam to an area at the object focal plane through at least (a) a 15° range of altitude angles and (b) a 90° range of azimuth angles simultaneously.
  • the incidence angles can be simultaneously focused through altitude angles ⁇ of 0° to at least 45°, and more preferably from 0° to greater than 70° (e.g., 0° to 88°), and azimuth angles ⁇ of 0° to greater than approximately 90° (e.g., 0° to 360°).
  • the object lens assembly 300 can form a beam having a large range of incidence angles ( ⁇ , ⁇ ) to capture a significant amount of data in a single measurement of the workpiece W. This is expected to enhance the utility and throughput of scatterometry for measuring critical dimensions in submicron microstructures in real time and in-situ in a process tool.
  • the object lens assembly 300 is configured such that the angle ( ⁇ x , ⁇ y ) of rays within the convergent beam 310 will pass through corresponding points (x, y) in the second focal plane 340 .
  • radiation passing through any given point (x, y) in the second focal plane 340 toward the workpiece W will strike the object focal plane 320 at a particular corresponding angle ( ⁇ x , ⁇ y ), and similarly radiation reflecting from the object focal plane 320 at a particular angle ( ⁇ x , ⁇ y ) will pass through a unique point (x, y) on the second focal plane 340 .
  • the reflected radiation passing through the second focal plane 340 propagates to the beam splitter 230 where it is directed toward the second optics assembly 240 .
  • the relationship between the altitude angle ⁇ and the point on the second focal plane 340 through which a ray of the convergent beam 310 passes can be represented by a sine relationship.
  • the second optics assembly 240 includes a relay lens 242 , an output beam splitter 244 , and an image-forming lens 246 .
  • the relay lens 242 and the output beam splitter 244 present the reflected and/or diffracted radiation (i.e., return radiation) from the beam splitter 230 to the image-forming lens 246 , and the image-forming lens 246 “maps” the angular distribution of reflectance and/or diffraction (i.e., the radiation distribution) from the second focal plane 340 to the imaging array of the detector 400 .
  • the image-forming lens 246 preferably presents the image to the detector 400 such that the pixels of the imager in the detector 400 can be mapped to corresponding areas in the second focal plane 340 .
  • the second optics assembly 240 can further include a polarizing beam splitter 248 to separate the return radiation into the x- and y-polarized components, where x and y refer to orthogonally polarized light in a generalized coordinate system.
  • the polarizing beam splitter 248 is positioned between the output beam splitter 244 and the image-forming lens 246 .
  • the beam splitter 248 is positioned at a conjugate of the focal spot on the wafer along a path between the image-forming lens 246 and the detector 400 (shown in dashed lines).
  • the polarizing beam splitter 248 can be located between the relay lens 242 and the output beam splitter 244 (shown in dotted lines).
  • the polarizing beam splitter 248 is generally located to maintain or improve the spatial resolution of the original image of the focal spot on the workpiece.
  • the location of the polarizing beam splitter 248 can also be selected to minimize the alteration to the original optical path. It is expected that the locations along the optical path between the relay lens 242 and the image-forming lens 246 will be the desired locations for the polarizing beam splitter 248 .
  • the polarizing beam splitter 248 provides the separate x- and y-polarized components of the return radiation to improve the calibration of the scatterometer 10 and/or provide additional data for determining the parameter(s) of the microstructure on the workpiece. For example, because the optics may perturb the polarization of the input and output radiation, the polarizing beam splitter 248 provides the individual x- and y-polarized components over the large range of incidence angles. The individual x- and y-polarized components obtained in this system can accordingly be used to calibrate the scatterometer 10 to compensate for such perturbations caused by the optical elements. Additionally, the x- and y-polarized components can be used for obtaining additional data that can enhance the precision and accuracy of processing the data.
  • FIG. 2B is a schematic view of a cube-type polarizing beam splitter 248 for use in the scatterometer 10 shown in FIG. 2A .
  • the cube-type polarizing beam splitter 248 receives a return radiation beam 249 and splits it into a x-polarized component beam 249 a and an y-polarized component beam 249 b .
  • the cube-type polarizing beam splitter 248 can be a crystal with birefringence properties, such as calcite, KDP or quartz.
  • the x- and y-polarized component beams 249 a - b exit from the cube-type polarizing beam splitter 248 along at least substantially parallel paths.
  • the x- and y-polarized beams 249 a and 249 b are also spaced apart from each other such that they form separate images on the detector 400 .
  • the size of the polarizing beam splitter 248 can be increased. For example, as shown in dashed lines in FIG. 2B , a larger polarizing beam splitter 248 results in at least substantially parallel x- and y-polarized component beams 249 a - b that are spaced apart from each another by a larger distance than the polarizing beam splitter 248 shown in solid lines 248 .
  • polarizing beam splitters can alter the x- and y-polarized beams, and thus the size of polarizing beam splitter 248 is generally limited.
  • the individual x- and y-polarized component beams 249 a - b impinge upon pixels of the detector 400 in a manner that they can be mapped to corresponding areas in the second focal plane 340 shown in FIG. 2A .
  • FIGS. 2 A-B use a single camera in the detector 400 to simultaneously measure both of the x- and y-polarized components of the return radiation 249 .
  • This system eliminates the problems of properly calibrating two separate cameras and registering the images from two separate cameras to process the data from the x- and y-polarized components.
  • This system also eliminates the problems associated with serially polarizing the return radiation beam using a mechanically operated device because the polarizing beam splitter 248 can be fixed relative to the return beam 249 and the detector 400 .
  • the detector 400 can have several different embodiments depending upon the particular application.
  • the detector is a two-dimensional array of sensors, such as a CCD array, a CMOS imager array, or another suitable type of “camera” or energy sensor that can measure the intensity, color or other property of the scattered radiation from the workpiece W corresponding to the distribution at the second focal plane 340 .
  • the detector 400 is preferably a CMOS imager because it is possible to read data from only selected pixels with high repeatability instead of having to read data from an entire frame. This enables localized or selected data reading, which is expected to (a) reduce the amount of data that needs to be processed and (b) eliminate data that does not have a meaningful contrast. Additional aspects of using CMOS images for image processing are described in more detail below.
  • the x- or y-polarized components can be measured with a single CMOS imager to determine certain characteristics that are otherwise undetectable from non-polarized light. As such, using a CMOS imager and polarizing the reflected radiation can optimize the response to increase the resolution and accuracy of the scatterometer 10 .
  • FIG. 2C is a schematic view showing a CMOS imager assembly for use in the detector 400 in accordance with an embodiment of the invention.
  • the CMOS imager assembly includes a die 410 having an image sensor 412 , focal optics 420 , and packaging 430 defining an enclosed compartment 432 between the die 410 and the focal optics 420 .
  • the focal optics 420 typically have curved surfaces or other configurations such that they are not merely a plate having parallel, flat surfaces.
  • the CMOS imager assembly does not have a glass cover or other optical member with parallel, flat surfaces between the image sensor 412 and the focal optics 420 . As such, the CMOS imager assembly illustrated in FIG.
  • the polarizing beam splitter 248 is just upstream of the CMOS imager assembly 400 relative to the return radiation beam 249 .
  • the CMOS imager assembly 400 illustrated in FIG. 2C is expected to provide several advantages for use in scatterometers.
  • the lack of a cover or other flat optical member between the image sensor 412 and the focal optics 420 is expected to reduce perturbations in the return radiation beam 249 at the image sensor 412 .
  • a glass member with parallel, flat surfaces between the focal optics 420 and the image sensor 412 can alter the return radiation just before it reaches the image sensor 412 .
  • the CMOS imager assembly illustrated in FIG. 2C is expected to eliminate distortion or interference caused by a glass member with parallel surfaces.
  • the computer 800 can use several different processes for determining one or more parameters of the microstructure based on the measured radiation distribution from the detector 400 .
  • the computer 800 compares a predetermined portion of the measured radiation distribution with one or more simulated radiation distributions corresponding to selected parameters of the features and materials of the microstructure (e.g., height, width, line edge roughness, roundness of edge corners, spacing, film thickness, refraction index, reflection index, and/or other physical properties).
  • the computer 800 compares only the portion of the measured radiation distribution that corresponds to the zeroth-order diffraction of the radiation reflected from the workpiece W rather than comparing the entire measured radiation distribution.
  • the computer 800 determines which portion of the measured radiation distribution to compare with the simulated radiation distributions based on the specific configuration of the mask. After the comparison, the computer 800 stores and/or provides an output of one or more parameters of the microstructure.
  • FIG. 7 is a schematic illustration of a simulated radiation distribution 912 based on the mask 220 illustrated in FIG. 3 .
  • the illustrated radiation distribution 912 includes a first interference pattern 914 corresponding to zeroth-order diffraction, a second interference pattern 916 corresponding to a first-order diffraction, and a third interference pattern 918 corresponding to a second-order diffraction.
  • the edges of the illustrated first and second interference patterns 914 and 916 and the edges of the illustrated second and third interference patterns 916 and 918 are positioned proximate to each other, the interference patterns 914 , 916 , and 918 can be spaced apart from each other or at least partially overlap in other applications.
  • the zeroth-order interference pattern is at least partially separated from the higher-order diffraction patterns.
  • the spacing of the interference patterns 914 , 916 , and 918 is based on the configuration of the mask 220 and the period of the elements in the microstructure M. Specifically, there is an inverse relationship between the period of the elements in the microstructure M and the spacing of the interference patterns 914 , 916 , and 918 in a radiation distribution. As such, a larger period between the elements of a microstructure causes the interference patterns to overlap or be spaced closer together.
  • the interference patterns 914 , 916 , and 918 of the simulated radiation distribution 912 are unique to each set of features parameters, and thus changing one or more of the feature parameters will produce a different simulated radiation distribution.
  • the computer 800 ascertains the parameters of the microstructure by selecting and/or determining a simulated radiation distribution, such as the simulated radiation distribution 912 illustrated in FIG. 4 , that best fits the measured radiation distribution.
  • the computer 800 may compare only the portions of the measured and simulated radiation distributions that correspond to the zeroth-order diffraction. In additional embodiments, however, the computer 800 may compare portions of the measured and simulated radiation distributions that include one or more higher-order diffractions, optionally complemented by the zeroth-order diffraction.
  • FIG. 8 illustrates one embodiment for ascertaining the feature parameters of the microstructure.
  • the computer 800 includes a database 830 including a large number of predetermined simulated reference radiation distributions 832 corresponding to different sets of feature parameters.
  • the computer 800 further includes a computer-operable medium 840 that contains instructions that cause the computer 800 to select a simulated radiation distribution 832 from the database 830 that adequately fits a measured radiation distribution within a desired tolerance.
  • the computer-operable medium 840 can be software and/or hardware that evaluates the fit between the stored simulated radiation distributions 832 and the measured radiation distribution in a manner that quickly selects the simulated radiation distribution 832 having the best fit with the measured radiation distribution or at least having an adequate fit within a predetermined tolerance.
  • the computer 800 can extrapolate or interpolate between the simulated distributions. Once the computer has selected a simulated radiation distribution with an adequate fit or the best fit, the computer selects the feature parameters associated with the selected simulated distribution.
  • the computer calculates a simulated radiation distribution and performs a regression optimization to best fit the measured radiation distribution with the simulated radiation distribution in real time.
  • regressions are widely used, they are time consuming and they may not reach a desired result because the regression may not converge to within a desired tolerance.
  • the computer 800 may perform further processing or different processing such as finite element models for evaluating non-periodic or pseudo-periodic structures.
  • the computer 800 may also be able to solve for the refraction index and reflectivity index of the particular materials by determining the film thickness. Therefore, the enhanced data in the measured radiation distribution enables the computer 800 to more accurately determine the feature parameters of the microstructure and may enable more feature structures to be monitored (e.g., line edge roughness, refraction index, reflectivity index, etc.).
  • the mask 220 at least partially separates the zeroth-order diffraction pattern and the higher-order diffraction patterns in the radiation distribution measured by the detector 400 .
  • the computer 800 can process less data in selecting and/or determining a simulated radiation distribution that best fits the measured radiation distribution because the computer 800 need only compare the portions of the simulated and measured radiation distributions that include the zeroth-order diffraction patterns.
  • An advantage of this feature is that the computer 800 may ascertain parameters of the microstructure M more quickly and, as such, evaluate workpieces in-situ within a process tool.
  • Another advantage of this feature is that the comparison of only or at least primarily the zeroth-order diffraction patterns in the simulated and measured radiation distributions is expected to be more accurate because higher-order diffractions are difficult to calibrate.
  • Another advantage of at least partially separating the zeroth-order and higher-order diffraction patterns in the radiation distribution is that the data representing the zeroth-order diffraction is de-coupled from higher-order diffractions. As a result, much if not all of the data associated with the zeroth-order diffraction can be used to accurately compare the measured and simulated radiation distributions.
  • the scatterometer 10 can evaluate a microstructure having elements with a larger period. Specifically, because the period of elements in a microstructure is inversely related to the spacing of the diffraction-order interference patterns, a larger period between the elements of a microstructure causes the interference patterns of different diffraction orders to overlap or be spaced closer together.
  • the mask 220 separates the interference patterns such that the scatterometer 10 can evaluate a microstructure M having elements with a larger period, while maintaining the sometimes-necessary order segregation on the detector. The mask 220 accordingly increases the applications for the scatterometer 10 .
  • FIG. 9 is a schematic top plan view of a mask 1020 in accordance with another embodiment of the invention.
  • the illustrated mask 1020 includes a generally circular area 1022 (illustrated with a broken line) having four quadrants 1024 (identified individually as 1024 a - d ) and a plurality of apertures 1026 (identified individually as 1026 a - b ) in the circular area 1022 .
  • the circular area 1022 can correspond to the cross-sectional area of the conditioned beam 212 ( FIG. 1 ).
  • the apertures 1026 include a first aperture 1026 a in a first quadrant 1024 a and a second aperture 1026 b in a second quadrant 1024 b .
  • the illustrated first and second apertures 1026 a - b are positioned within the first and second quadrants 1024 a - b , respectfully, such that if the mask 1020 were folded along axis A-A, the first and second apertures 1026 a - b would not overlap.
  • the first and second apertures 1026 a - b allow portions of the conditioned beam 212 to pass through the mask 1020 while the remainder of the beam 212 is blocked by the mask 1020 .
  • the combined area of the first and second apertures 1026 a - b is approximately equal to the area of a single quadrant 1024 , and the mask 1020 accordingly blocks approximately 75% of the cross-sectional area of the conditioned beam 212 .
  • the combined area of the first and second apertures 1026 a - b can be greater than or less than the area of a single quadrant 1024 .
  • the mask 1020 is configured to separate the zeroth-order diffraction and higher-order diffractions in the radiation distribution pattern at the detector 400 .
  • the illustrated mask 1020 has particular utility with a single-periodic microstructure M having the relative orientation illustrated in FIG. 9 .
  • the first quadrant 1024 a may include the second aperture 1026 b in lieu of the first aperture 1026 a
  • the second quadrant 1024 b may include the first aperture 1026 a in lieu of the second aperture 1026 b such that the mask is a mirror image relative to the axis A-A of the mask 1020 illustrated in FIG. 9
  • the third and fourth quadrants 1024 c - d may include the first and second apertures 1022 a - b in lieu of the first and second quadrants 1026 a - b such that the mask is a mirror image relative to an axis B-B of either of the two masks described above.
  • FIG. 10 is a schematic top plan view of a mask 1120 in accordance with another embodiment of the invention.
  • the illustrated mask 1120 includes a generally circular area 1122 (illustrated with a broken line) having four quadrants 1124 (identified individually as 1124 a - d ) and a plurality of arcuate apertures 1126 (identified individually as 1126 a - d ) in corresponding quadrants 1124 a - d .
  • a first quadrant 1124 a includes a first arcuate aperture 1126 a
  • a second quadrant 1124 b includes a second arcuate aperture 1126 b
  • a third quadrant 1124 c includes a third arcuate aperture 1126 c
  • a fourth quadrant 1124 d includes a fourth arcuate aperture 1126 d .
  • the illustrated apertures 1226 are positioned at different locations within their respective quadrants 1224 .
  • the second aperture 1126 b is positioned radially inward of the first aperture 1126 a
  • the third aperture 1126 c is positioned radially inward of the second aperture 1126 b
  • the fourth aperture 1126 d is positioned radially inward of the third aperture 1126 c .
  • the four arcuate apertures 1126 are arranged such that the combined area of the apertures 1126 is at least approximately equal to the area of a single quadrant 1124 . In other embodiments, however, two or more of the apertures 1126 can be positioned at the same location within their respective quadrants 1124 , and/or the combined area of the apertures 1126 can be less than or greater than the area of a single quadrant 1124 .
  • one of the quadrants 1124 may include more than one of the apertures 1126 .
  • the first quadrant 1124 a can include the first aperture 1126 a and the fourth aperture 1126 d , and the fourth quadrant 1124 d may not include an aperture.
  • the mask 1120 has particular utility with double-periodic microstructures.
  • FIG. 11 is a schematic top plan view of a mask 1220 in accordance with another embodiment of the invention.
  • the illustrated mask 1220 includes a generally circular area 1222 (illustrated with a broken line) having four quadrants 1224 (identified individually as 1224 a - d ) and a plurality of apertures 1226 (identified individually as 1226 a - d ) in corresponding quadrants 1224 .
  • the combined area of the apertures 1226 is approximately equal to the area of a single quadrant 1224 , but in other embodiments the combined area of the apertures 1226 can be greater than or less than the area of a single quadrant 1224 .
  • the illustrated mask 1220 has particular utility with double-periodic microstructures.
  • FIG. 12 is a schematic top plan view of a mask 1320 in accordance with another embodiment of the invention.
  • the illustrated mask 1320 includes a generally circular area 1322 (illustrated with a broken line) having four quadrants 1324 (identified individually as 1324 a - d ) and an aperture 1326 in a first quadrant 1324 a .
  • the area of the aperture 1326 can be greater than or less than the area of the first quadrant 1324 a .
  • the illustrated mask 1320 has particular utility with a double-periodic microstructures.

Abstract

Apparatuses and methods for evaluating microstructures on workpieces are disclosed herein. In one embodiment, a scatterometer comprises an irradiation source, an optic member, and an object lens assembly. The irradiation source can be a laser that produces a beam of radiation at a wavelength. The optic member is aligned with the path of the beam and configured to condition the beam (e.g., shape, randomize, select order, diffuse, converge, diverge, collimate, etc.), and the object lens assembly is positioned between the optic member and a workpiece site. The object lens assembly is configured to (a) simultaneously focus the conditioned beam through a plurality of altitude angles to a spot at an object focal plane, (b) receive radiation scattered from a workpiece, and (c) present a distribution of the scattered radiation at a second focal plane. The object lens assembly maintains a sine relationship between the altitude angles and corresponding points on the radiation distribution at the second focal plane. The scatterometer further includes a mask positioned between the optic member and the object lens assembly, and a detector positioned to receive at least a portion of the radiation distribution. The mask is aligned with the path of the beam to block a portion of the conditioned beam. The mask is configured to at least partially separate the zeroth-order diffraction and the higher-order diffractions in the radiation distribution at the second focal plane. The detector is configured to produce a representation of the radiation distribution.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. patent application Ser. No. 11/361,670, entitled “Apparatus and Method for Enhanced Critical Dimension Scatterometry,” filed on Feb. 24, 2006, which claims the benefit of U.S. Provisional Patent Application No. 60/656,712, filed Feb. 25, 2005, both of which are incorporated by reference herein.
  • TECHNICAL FIELD
  • The present invention is related to apparatuses and methods for evaluating microstructures on a workpiece, such as a semiconductor wafer, by obtaining a representation of the distribution of radiation returning from the workpiece through a large range of angles of incidence.
  • BACKGROUND
  • Semiconductor devices and other microelectronic devices are typically manufactured on a workpiece having a large number of individual dies (e.g., chips). Each wafer undergoes several different procedures to construct the switches, capacitors, conductive interconnects, and other components of a device. For example, a workpiece can be processed using lithography, implanting, etching, deposition, planarization, annealing, and other procedures that are repeated to construct a high density of features. One aspect of manufacturing microelectronic devices is evaluating the workpieces to ensure that the microstructures are within the desired specifications.
  • Scatterometry is one technique for evaluating several parameters of microstructures. With respect to semiconductor devices, scatterometry is used to evaluate film thickness, line spacing, trench depth, trench width, and other aspects of microstructures. Many semiconductor wafers, for example, include gratings in the scribe lanes between the individual dies to provide a periodic structure that can be evaluated using existing scatterometry equipment. One existing scatterometry process includes illuminating such periodic structures on a workpiece and obtaining a representation of the scattered radiation returning from the periodic structure. The representation of return radiation is then analyzed to estimate one or more parameters of the microstructure. Several different scatterometers and methods have been developed for evaluating different aspects of microstructures and/or films on different types of substrates.
  • Eldim Corporation of France manufactures devices that measure the photometric and calorimetric characteristics of substrates used in flat panel displays and other products. The Eldim devices use an Optical Fourier Transform (OFT) instrument having an illumination source, a beam splitter aligned with the illumination source, and a first lens between the beam splitter and the sample. The first lens focuses the light from the beam splitter to a spot size on the wafer throughout a large range of angles of incidence (e.g., Φ=0° to 360° and Θ=0° to 88°). The light reflects from the sample, and the first lens also focuses the reflected light in another plane. The system further includes an optical relay system to receive the reflected light and a sensor array to image the reflected light. International Publication No. WO 2005/026707 and U.S. Pat. Nos. 6,804,001; 6,556,284; 5,880,845; and 5,703,686 disclose various generations of scatterometers. The scatterometers set forth in these patents are useful for assessing the photometric and calorimetric properties of flat panel displays, but they may have several drawbacks for assessing parameters of extremely small microstructures on microelectronic workpieces.
  • One challenge of using scatterometry to evaluate very small microstructures is obtaining a useful representation of the radiation returning from such microstructures. For example, the scatterometers used to analyze flat panel displays may have relatively large spot sizes that are not useful to measure the properties of a 20-40 μm grating because such large spot sizes generate reflections from the surrounding areas that result in excessive noise. Moreover, existing scatterometers that assess the films and surface conditions of flat panel displays typically use relatively long wavelengths of light (e.g., 532 nm). In contrast to flat panel displays, many microstructures on semiconductor wafers have line widths smaller than 70 nm. As a result, the relatively long wavelengths used to assess flat panel displays may not be capable of assessing very small microstructures on many microelectronic devices. Therefore, devices designed for assessing flat panel displays may not be well-suited for assessing gratings or other microstructures having much smaller dimensions on microelectronic workpieces.
  • Another challenge of assessing microstructures using scatterometry is processing the data in the representation of the return radiation. Many scatterometers calculate simulated or modeled representations of the return radiation and then use an optimization regression to optimize the fit between the simulated representations and an actual reflectance signal. Such optimization regressions require a significant amount of processing time using high-power computers because the actual reflectance signals for measurements through a large range of incidence angles contain a significant amount of data that is affected by a large number of variables. The computational time, for example, can require several minutes such that the substrates are typically evaluated offline instead of being evaluated in-situ within a process tool. Moreover, the simulated representations are typically based on data from the zeroth-order diffraction, because the vector of the reflected beam is exactly opposite the angle of incidence, and also because the reflected (zeroth-order) radiation is typically the most intense. Higher order simulations may also be used to solve the inverse problem, either with or without the complementary zeroth-order. The diffracted orders may take different paths through the optical system, necessitating different calibration coefficients for each potential optical path. As a result, it is sometimes useful to decouple the zeroth-order return radiation from the higher orders, and to decouple the higher orders from each other.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic illustration of a scatterometer in accordance with one embodiment of the invention.
  • FIG. 2A is a schematic view illustrating an optical system for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 2B is a schematic view of a cube-type polarizing beam splitter for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 2C is a schematic view of a CMOS imager for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 3 is a schematic top plan view of the mask illustrated in FIG. 2A.
  • FIG. 4 illustrates one embodiment of the convergent beam formed by the optical system illustrated in FIG. 2A.
  • FIG. 5 is a schematic diagram illustrating a convergent beam in accordance with one embodiment of the invention.
  • FIG. 6 is a schematic illustration of an embodiment of the navigation system and the auto-focus system for use in the scatterometer.
  • FIG. 7 is a schematic illustration of a simulated radiation distribution based on the mask illustrated in FIG. 3.
  • FIG. 8 illustrates one embodiment for ascertaining the feature parameters of a microstructure in accordance with the invention.
  • FIG. 9 is a schematic top plan view of a mask in accordance with another embodiment of the invention.
  • FIG. 10 is a schematic top plan view of a mask in accordance with another embodiment of the invention.
  • FIG. 11 is a schematic top plan view of a mask in accordance with another embodiment of the invention.
  • FIG. 12 is a schematic top plan view of a mask in accordance with another embodiment of the invention.
  • DETAILED DESCRIPTION
  • A. Overview
  • The present invention is directed toward evaluating microstructures on microelectronic workpieces and other types of substrates. Many applications of the present invention are directed toward scatterometers and methods of using scatterometry to determine several parameters of periodic microstructures, pseudo-periodic structures, and other very small structures having features sizes as small as 100 nm or less. Several specific embodiments of the present invention are particularly useful in the semiconductor industry to determine the width, depth, line edge roughness, wall angle, film thickness, and many other parameters of the features formed in microprocessors, memory devices, and other semiconductor devices. The scatterometers and methods of the invention, however, are not limited to semiconductor applications and can be applied equally well in other applications.
  • One aspect of the invention is directed toward scatterometers for evaluating microstructures on workpieces. In one embodiment, a scatterometer comprises an irradiation source, an optic member, and an object lens assembly. The irradiation source can be a laser that produces a beam of radiation at a wavelength. The optic member is aligned with the path of the beam and configured to condition the beam (e.g., shape, randomize, select order, diffuse, converge, diverge, collimate, etc.), and the object lens assembly is positioned between the optic member and a workpiece site. The object lens assembly is configured to (a) simultaneously focus the conditioned beam through a plurality of altitude angles to a spot at an object focal plane, (b) receive radiation scattered from a workpiece, and (c) present a distribution of the scattered radiation at a second focal plane. For example, the radiation distribution can be the intensity, polarimetric, ellipsometric, and/or reflectance distribution of the scattered radiation. The object lens assembly maintains a sine relationship between the altitude angles and corresponding points on the radiation distribution at the second focal plane. The scatterometer further includes a mask positioned between the optic member and the object lens assembly, and a detector positioned to receive at least a portion of the radiation distribution. The mask is aligned with the path of the beam to block a portion of the conditioned beam. The mask is configured to at least partially separate the zeroth-order diffraction and the higher-order diffractions in the radiation distribution at the second focal plane. The detector is configured to produce a representation of the radiation distribution.
  • Another embodiment of a scatterometer in accordance with the invention comprises a radiation source configured to produce a beam of radiation having a wavelength and an optical system aligned with the beam of radiation. The optical system includes a first optics assembly, an object lens assembly, and a mask. The first optics assembly is configured to condition the beam of radiation such that beam is diffuse and randomized. The object lens assembly is configured to (a) focus the beam at an area of an object focal plane, and (b) present a radiation distribution of radiation scattered from a microstructure at a second focal plane. The mask is shaped to block a portion of the beam such that a specific diffraction order is at least partially isolated from another diffraction order in the radiation distribution at the second focal plane. The scatterometer further includes (a) a detector positioned to receive the radiation distribution of the scattered radiation and configured to produce a representation of the radiation distribution, and (b) a computer operatively coupled to the detector for receiving a predetermined portion of the representation of the radiation distribution based on the configuration of the mask. The computer includes a database having a plurality of simulated radiation distributions corresponding to different sets of parameters of a microstructure and a computer-operable medium containing instructions that cause the computer to identify a simulated radiation distribution that adequately fits the predetermined portion of the representation of the radiation distribution produced by the detector.
  • Another aspect of the invention is directed toward several methods for evaluating microstructures on workpieces. In one embodiment, a method includes generating a beam having a wavelength and irradiating a microstructure on a workpiece by passing the beam through an object lens assembly that focuses the beam to a focus area at a focal plane. The focus area has a dimension not greater than 50 μm or in other embodiments at least approximately 10 of the periodic features of the microstructure, and the beam simultaneously has altitude angles of 0° to at least 15° and azimuth angles of 0° to at least 90°. In several applications, the focus area is not greater than 30 μm, and the altitude angles are 0° to at least 45°. The altitude angles can be from 0° to at least 70° in other examples. The method further includes detecting an actual radiation distribution corresponding to radiation scattered from the microstructure with the zeroth-order diffraction pattern at least partially separated from the higher-order diffraction patterns.
  • Various embodiments of the invention are described in this section to provide specific details for a thorough understanding and enabling description of these embodiments. A person skilled in the art, however, will understand that the invention may be practiced without several of these details or additional details can be added to the invention. Well-known structures and functions have not been shown or described in detail to avoid unnecessarily obscuring the description of the embodiments of the invention. Where the context permits, singular or plural terms may also include the plural or singular term, respectively. Moreover, unless the word “or” is expressly limited to mean only a single item exclusive from the other items in reference to a list of two or more items, then the use of “or” in such a list is to be interpreted as including (a) any single item in the list, (b) all of the items in the list, or (c) any combination of items in the list.
  • B. Embodiments of Scatterometers and Methods for Evaluating Microstructures on Workpieces
  • FIG. 1 is a schematic illustration of a scatterometer 10 in accordance with one embodiment of the invention. In this embodiment, the scatterometer 10 includes an irradiation source 100 that generates a beam 102 at a desired wavelength. The irradiation source 100 can be a laser system and/or lamp capable of producing (a) a beam 102 at a single wavelength, (b) a plurality of beams at different wavelengths, or (c) any other output having a single wavelength or a plurality of wavelengths. In many applications directed toward assessing microstructures on semiconductor workpieces, the irradiation source 100 is a laser that produces a beam having a wavelength less than 500 nm, and more preferably in the range of approximately 266 nm-475 nm. For example, the wavelength can be about 375 nm-475 nm, or in some specific examples about 405 nm or 457 nm. In a different embodiment, the irradiation source 100 can include a plurality of different lasers and/or filters to produce a first beam having a first wavelength of approximately 266 nm and a second beam having a second wavelength of approximately 405 nm, or in another embodiment the first beam can have a wavelength of 405 nm and the second beam can have a wavelength of 457 nm. It will be appreciated that the irradiation source 100 can produce additional wavelengths having shorter or longer wavelengths in the UV spectrum, visible spectrum, and/or another suitable spectrum. The irradiation source 100 can further include a fiber optic cable to transmit the beam 102 through a portion of the apparatus.
  • The scatterometer 10 further includes an optical system 200 between the irradiation source 100 and a workpiece W. In one embodiment, the optical system 200 includes a first optics assembly 210 that conditions the beam 102 to form a conditioned beam 212. The illustrated first optics assembly 210 includes a mask 220 for blocking a portion of the beam 102 to at least partially isolate specific diffraction orders in the output. For example, the mask 220 can separate the zeroth-order diffraction and higher-order diffractions in the output, as explained in greater detail below with reference to Section E. The first optics assembly 210 can also include (a) a beam diffuser/randomizer that diffuses and randomizes the radiation to reduce or eliminate the coherence of the beam 102, and (b) a beam element that shapes the beam 102 to have a desired cross-sectional dimension, shape, and/or convergence-divergence. The beam element, for example, can shape the beam 212 to have a circular, rectilinear, or other suitable cross-sectional shape for presentation to additional optic elements downstream from the first optics assembly 210.
  • The optical system 200 can further include an object lens assembly 300 that focuses the conditioned beam 212 for presentation to the workpiece W and receives radiation reflected from the workpiece W. The object lens assembly 300 is configured to receive the conditioned beam 212 and form a convergent beam 310 focused at a discrete focus area S on a desired focal plane, such as an object focal plane 320. The shape of the convergent beam 310 is related to the cross-sectional shape of the conditioned beam 212, which is based in part on the configuration of the mask 220. For example, when the conditioned beam 212 has a semicircular cross-sectional area, the convergent beam 310 has a half conical shape. As explained in more detail below with reference to Section C, the convergent beam 310 can have a range of incidence angles having altitude angles of 0° to greater than approximately 70° and azimuth angles of 0° to greater than 90° (e.g., 0-360°). The altitude angle is the angle between an incident ray and a reference vector normal to the object focal plane 320, and the azimuth angle is the angle between an incident plane and a reference vector in a plane parallel to the object focal plane 320. The large range of incidence angles generates a large number of unique data points that enable accurate evaluations of several parameters of the microstructure.
  • The focus area at the object focal plane 320 preferably has a size and shape suitable for evaluating the particular microstructure. For example, when the microstructure is a grating or other structure on a workpiece having a maximum dimension of approximately 10-40 μm, then the focus area is also approximately 10-40 μm. In one embodiment, the size of the focal area is less than or equal to the size of the microstructure so that the radiation does not reflect from features outside of the particular microstructure. In many applications, therefore, the object lens assembly 300 is configured to produce a spot size generally less than 40 μm (e.g., less than 30 μm). The scatterometer 10 can have larger focus areas in other embodiments directed to assessing larger structures.
  • The object lens assembly 300 is further configured to collect the scattered radiation reflecting or otherwise returning from the workpiece W and present the scattered radiation on a second focal plane 340. The object lens assembly 300, more particularly, presents the scattered radiation in a manner that provides a radiation distribution of the scattered radiation at the second focal plane 340. In one embodiment, the object lens assembly 300 directs the scattered radiation coming at particular angles from the object focal plane 320 to corresponding points on the second focal plane 340. Additional aspects of specific embodiments of the object lens assembly 300 are further described below with reference to Section C.
  • The optical system 200 can further include a beam splitter 230 through which the conditioned beam 212 can pass to the object lens assembly 300 and from which a portion of the return beam propagating away from the second focal plane 340 is split and redirected. The optical system 200 can optionally include a second optics assembly 240 that receives the split portion of the return beam from the beam splitter 230. The second optics assembly 240 is configured to prepare the return beam for imaging by an imaging device. Additional aspects of specific embodiments of the second optics assembly 240 are described below with reference to Section C.
  • The scatterometer 10 further includes a detector 400 positioned to receive the radiation distribution propagating back from the second focal plane 340. The detector 400 can be a CCD array, CMOS imager, other suitable cameras, or other suitable energy sensors for accurately measuring the radiation distribution. The detector 400 is further configured to provide or otherwise generate a representation of the radiation distribution. For example, the representation of the radiation distribution can be data stored in a database, an image suitable for representation on a display, or other suitable characterizations of the radiation distribution. Several embodiments of the detector 400 are described below in greater detail with reference to Section D.
  • The scatterometer 10 can further include a navigation system 500 and an auto-focus system 600. The navigation system 500 can include a light source 510 that illuminates a portion of the workpiece W and optics 520 that view the workpiece W. As explained in more detail below, the navigation system 500 can have a low magnification capability for locating the general region of the microstructure on the workpiece (e.g., global alignment), and a high magnification capability for precisely identifying the location of the microstructure. Several embodiments of the navigation system can use the irradiation source 100 and components of the optical system 200. The navigation system 500 provides information to move the object lens assembly 300 and/or a workpiece site 510 to accurately position the focus area of the object lens assembly 300 at the desired microstructure on the workpiece W. In other embodiments, the scatterometer 10 may not include the navigation system 500.
  • The auto-focus system 600 can include a focus array 610, and the optical system 200 can include an optional beam splitter 250 that directs radiation returning from the workpiece W to the focus array 610. The auto-focus system 600 is operatively coupled to the object lens assembly 300 and/or the workpiece site 510 to accurately position the microstructure on the workpiece W at the object focal plane 320 of the object lens assembly 300 or another plane. The navigation system 500 and the auto-focus system 600 enable the scatterometer 10 to evaluate extremely small features of very small microstructures on semiconductor devices or other types of microelectronic devices. In other embodiments, the scatterometer 10 may not include the auto-focus system 600.
  • The scatterometer 10 further includes a calibration system for monitoring the intensity of the beam 102 and maintaining the accuracy of the other components. The calibration system (a) monitors the intensity, phase, wavelength, or other property of the beam 102 in real time, (b) provides an accurate reference reflectance for the detector 400 to ensure the accuracy of the scatterometer 10, and/or (c) provides angular calibration of the system. In one embodiment, the calibration system includes a detector 700 and a beam splitter 702 that directs a portion of the initial beam 102 to the detector 700. The detector 700 monitors changes in the intensity of the beam 102 in real time to continuously maintain the accuracy of the measured radiation distribution. The detector 700 can also or alternatively measure phase changes or a differential intensity. The calibration system, for example, can use the polarity of the return radiation to calibrate the system.
  • The calibration system can further include a calibration unit 704 having one or more calibration members for calibrating the detector 400. In one embodiment, the calibration unit 704 includes a first calibration member 710 having a first reflectance of the wavelength of the beam and a second calibration member 720 having a second reflectance of the wavelength of the beam. The first calibration member 710 can have a very high reflectance, and the second calibration member 720 can have a very low reflectance to provide two data points for calibrating the detector 400. In other embodiments, the second calibration member 720 can be eliminated and the second relectance can be measured from free space.
  • The scatterometer 10 further includes a computer 800 operatively coupled to several of the components. In one embodiment, the computer 800 is coupled to the irradiation source 100, the detector 400, the navigation system 500, the auto-focus system 600, and the reference detector 700. The computer 800 is programmed to operate the irradiation source 100 to produce at least a first beam having a first wavelength and, in several applications, a second beam having a second wavelength, as described above. The computer 800 can also control the source 100 to control the output intensity of the beam. The computer 800 further includes modules to operate the navigation system 500 and the auto-focus system 600 to accurately position the focus area of the convergent beam 310 at a desired location on the wafer W and in precise focus.
  • In several embodiments, the computer 800 further includes a computer-operable medium for processing the measured radiation distribution to provide an evaluation of the microstructure on the workpiece W. For example, the computer 800 can include a database having a plurality of simulated radiation distributions corresponding to known parameters of the microstructure. The computer 800 can include computer-operable media to process the measured radiation distribution in conjunction with the database of simulated radiation distributions in a manner that selects the simulated radiation distribution that best fits the measured radiation distribution. Based upon the selected simulated radiation distribution, the computer stores and/or presents the parameters of the microstructure corresponding to those of the simulated radiation distribution, or an extrapolation or interpolation of such parameters. In another embodiment, the computer 800 can scan or otherwise acquire data from pixels of the detector only where there is a high sensitivity to changes in the parameter(s). Such a selective input to the computer reduces the amount of data and increases the quality of the data for processing in the computer 800. Several aspects of the computer 800 and methods for processing the measured radiation distribution are set forth below in greater detail with reference to Section E.
  • C. Embodiments of Optics and Object Lens Assemblies
  • FIG. 2A is a schematic diagram illustrating one specific embodiment of the optical system 200 in accordance with the invention. In this embodiment, the first optics assembly 210 includes a beam conditioner 214, a field stop 216, and an illumination lens 218. The beam conditioner 214 is configured to produce a conditioned beam 212 having diffused and randomized radiation. The beam conditioner 214 can be a fiber optic line that transmits the beam from the irradiation source (FIG. 1) and an actuator that moves the fiber optic line to randomize the laser beam. The actuator can move the beam conditioner 214 in such a way that it does not repeat its movement over successive iterations to effectively randomize the radiation. The field stop 216 is positioned in the first focal plane of the illumination lens 218, and the field stop 216 can have an aperture in a desired shape to influence the spot size and spot shape in conjunction with the illumination lens 218. In general, the illumination lens 218 collimates the radiation for presentation to the object lens assembly 300.
  • The illustrated first optics assembly 210 further includes a mask 220 for blocking a portion of the conditioned beam 212 to at least partially isolate specific diffraction orders in the radiation distribution at the second focal plane 340 and the detector 400. For example, the mask 220 can at least partially separate the zeroth-order diffraction pattern and higher-order diffraction patterns in the radiation distribution at the second focal plan 340 and the detector 400. The illustrated mask 220 is positioned between the illumination lens 218 and the beam splitter 230, but in other embodiments the mask 220 can be positioned anywhere between the irradiation source 100 (FIG. 1) and the workpiece W.
  • FIG. 3 is a schematic top plan view of the mask 220 illustrated in FIG. 2A. Referring to both FIGS. 2A and 3, the illustrated mask 220 includes a generally circular area 222 (illustrated in part with broken lines) and an aperture 226 having a semicircular shape within the circular area 222. The circular area 222 can correspond to the cross-sectional area of the conditioned beam 212. The mask 220 is aligned with the conditioned beam 212 such that a semicircular section 224 of the circular area 222 blocks a first portion of the beam 212 and the aperture 226 allows a second portion of the beam 212 to pass through the mask 220. The portion of the conditioned beam 212 that passes through the aperture 226 has a semicircular cross-sectional shape corresponding to the shape of the aperture 226. As a result, the illustrated mask 220 blocks approximately 50% of the cross-sectional area of the conditioned beam 212. The illustrated mask 220 has particular utility with a single-periodic microstructure M having the relative orientation illustrated in FIG. 3 and double-periodic microstructures. In additional embodiments, the first optics assembly 210 can include other masks with one or more apertures with different shapes and sizes to selectively block portions of the conditioned beam 212.
  • The object lens assembly 300 illustrated in FIG. 2A receives the conditioned beam 212 from the first optics assembly 210. The object lens assembly 300 can be achromatic to accommodate a plurality of beams at different wavelengths, or it can have a plurality of individual assemblies of lenses that are each optimized for a specific wavelength. Such individual lens assemblies can be mounted on a turret that rotates each lens assembly in the path of the beam according to the wavelength of the particular beam, or such lenses may be mounted in separate, fixed positions that correspond to the incident beam paths of the respective wavelengths. In either case, the object lens assembly 300 is useful for applications that use different wavelengths of radiation to obtain information regarding the radiation returning from the workpiece.
  • The object lens assembly 300 can also include reflective lenses that are useful for laser beams in the UV spectrum. Certain types of glass may filter UV radiation. As such, when the beam has a short wavelength in the UV spectrum, the object lens assembly 300 and other optic members can be formed from reflective materials that reflect the UV radiation. In another embodiment, the first optics assembly 210 or the object lens assembly 300 may have a polarizing lens that polarizes the radiation for the convergent beam 310.
  • The illustrated object lens assembly 300 includes a divergent lens 302, a first convergent lens 304, and a second convergent lens 306. The first convergent lens 304 can have a first maximum convergence angle, and the second convergent lens 306 can have a second maximum convergence angle. In operation, the object lens assembly 300 (a) focuses the conditioned beam 212 to form the convergent beam 310, and (b) presents the return radiation from the workpiece W on the second focal plane 340. The location of the second focal plane 340 depends upon the particular configurations of the lenses 302, 304, and 306. For purposes of illustration, the second focal plane 340 is shown as coinciding with the location of the first convergent lens 304.
  • FIG. 4 illustrates one embodiment of the convergent beam 310 formed by the optical system 200 illustrated in FIG. 2A. The convergent beam 310 illustrated in FIG. 2A has a half frusto-conical configuration that results in a semicircular focus area S. The semicircular focus area S is smaller than the area of the microstructure under evaluation, but it generally covers at least 8-10 of the periodic structures of the microstructure M. In several particular applications for the semiconductor industry, the semicircular focus area S has a radius of approximately 5-20 μm (e.g., 10-15 μm). The focus area S, however, is not limited to these ranges or to a semicircular shape in other embodiments. The configuration of the convergent beam 310 and the shape of the focus area S are based on the cross-sectional shape of the conditioned beam 212, which is determined at least in part by the configuration of the aperture 226 in the mask 220. As such, the configuration of the convergent beam 310 and the shape of the focus area S may be different in additional embodiments with other masks.
  • The convergent beam 310 simultaneously illuminates a microstructure M through a wide range of incidence angles having large ranges of altitude angles Θ and azimuth angles Φ. Each incidence angle has an altitude angle Θ and an azimuth angle Φ. The object lens assembly is generally configured to focus the beam to an area at the object focal plane through at least (a) a 15° range of altitude angles and (b) a 90° range of azimuth angles simultaneously. For example, the incidence angles can be simultaneously focused through altitude angles Θ of 0° to at least 45°, and more preferably from 0° to greater than 70° (e.g., 0° to 88°), and azimuth angles Φ of 0° to greater than approximately 90° (e.g., 0° to 360°). As a result, the object lens assembly 300 can form a beam having a large range of incidence angles (Θ, Φ) to capture a significant amount of data in a single measurement of the workpiece W. This is expected to enhance the utility and throughput of scatterometry for measuring critical dimensions in submicron microstructures in real time and in-situ in a process tool.
  • The object lens assembly 300 is configured such that the angle (Θx, Φy) of rays within the convergent beam 310 will pass through corresponding points (x, y) in the second focal plane 340. As a result, radiation passing through any given point (x, y) in the second focal plane 340 toward the workpiece W will strike the object focal plane 320 at a particular corresponding angle (Θx, Φy), and similarly radiation reflecting from the object focal plane 320 at a particular angle (Θx, Φy) will pass through a unique point (x, y) on the second focal plane 340. The reflected radiation passing through the second focal plane 340 propagates to the beam splitter 230 where it is directed toward the second optics assembly 240.
  • In several embodiments, the relationship between the altitude angle Θ and the point on the second focal plane 340 through which a ray of the convergent beam 310 passes can be represented by a sine relationship. In one embodiment, for example, the relationship can be represented by the following equation:
    X=F sin Θ
    in which
      • F=a constant;
      • X=the distance from the center of the second focal plane 340; and
      • Θ=the altitude angle.
        For example, FIG. 5 is a schematic diagram illustrating a convergent beam 310 having a first ray 310 a with a first altitude angle Θ1 and a second ray 310 b with a second altitude angle Θ2. The first ray 310 a passes through the second focal plane 340 at a distance X1 or sin Θ1 from the center of the focal plane 340, and the second ray 310 b passes through the second focal plane 340 at a distance X2 or sin Θ2 from the center of the focal plane 340. The relationship between the distance X and the altitude angle Θ advantageously enables the scatterometer 10 to use masks with linear edges or shapes to separate different orders of diffractions. Masks with linear edges or shapes are advantageously more precise and easier to manufacture. The relationship between the distance X and the altitude angle Θ also allows a linear relationship between the pixels on the image sensor and the altitude angles. As such, the optics enable good sampling of the return radiation even at the peripheral regions of an image sensor. The advantage to this implementation is that an adequate number of pixels can be sampled throughout the entire image plane. More specifically, for critical sampling of the image plane, the sampling frequency should be twice the highest spatial frequency in the plane. If the highest spatial frequency does not depend on the position in the image plane, then the number of pixels to be averaged (to effectively make a larger pixel of the correct dimensions for critical sampling) does not depend on the position in the image plane. For any other distribution, the number of pixels required to be averaged will depend on position. Thus, unless a sine relationship or another suitable relationship between the pixels on the image sensor and the altitude angle is maintained, then the fixed number of pixels available could result in some regions of the image plane being sampled with fewer than the optimal number of pixels. In other embodiments, the relationship between the altitude angle Θ and the distance X on the second focal plane 340 can be represented by a different equation.
  • Referring back to FIG. 2A, the second optics assembly 240 includes a relay lens 242, an output beam splitter 244, and an image-forming lens 246. The relay lens 242 and the output beam splitter 244 present the reflected and/or diffracted radiation (i.e., return radiation) from the beam splitter 230 to the image-forming lens 246, and the image-forming lens 246 “maps” the angular distribution of reflectance and/or diffraction (i.e., the radiation distribution) from the second focal plane 340 to the imaging array of the detector 400. In a particular embodiment, the image-forming lens 246 preferably presents the image to the detector 400 such that the pixels of the imager in the detector 400 can be mapped to corresponding areas in the second focal plane 340.
  • The second optics assembly 240 can further include a polarizing beam splitter 248 to separate the return radiation into the x- and y-polarized components, where x and y refer to orthogonally polarized light in a generalized coordinate system. In one embodiment, the polarizing beam splitter 248 is positioned between the output beam splitter 244 and the image-forming lens 246. In another embodiment, the beam splitter 248 is positioned at a conjugate of the focal spot on the wafer along a path between the image-forming lens 246 and the detector 400 (shown in dashed lines). In still another embodiment, the polarizing beam splitter 248 can be located between the relay lens 242 and the output beam splitter 244 (shown in dotted lines). The polarizing beam splitter 248 is generally located to maintain or improve the spatial resolution of the original image of the focal spot on the workpiece. The location of the polarizing beam splitter 248 can also be selected to minimize the alteration to the original optical path. It is expected that the locations along the optical path between the relay lens 242 and the image-forming lens 246 will be the desired locations for the polarizing beam splitter 248.
  • The polarizing beam splitter 248 provides the separate x- and y-polarized components of the return radiation to improve the calibration of the scatterometer 10 and/or provide additional data for determining the parameter(s) of the microstructure on the workpiece. For example, because the optics may perturb the polarization of the input and output radiation, the polarizing beam splitter 248 provides the individual x- and y-polarized components over the large range of incidence angles. The individual x- and y-polarized components obtained in this system can accordingly be used to calibrate the scatterometer 10 to compensate for such perturbations caused by the optical elements. Additionally, the x- and y-polarized components can be used for obtaining additional data that can enhance the precision and accuracy of processing the data.
  • FIG. 2B is a schematic view of a cube-type polarizing beam splitter 248 for use in the scatterometer 10 shown in FIG. 2A. The cube-type polarizing beam splitter 248 receives a return radiation beam 249 and splits it into a x-polarized component beam 249 a and an y-polarized component beam 249 b. The cube-type polarizing beam splitter 248 can be a crystal with birefringence properties, such as calcite, KDP or quartz. The x- and y-polarized component beams 249 a-b exit from the cube-type polarizing beam splitter 248 along at least substantially parallel paths. The x- and y-polarized beams 249 a and 249 b are also spaced apart from each other such that they form separate images on the detector 400. To increase the distance between the x- and y-polarized component beams 249 a-b, the size of the polarizing beam splitter 248 can be increased. For example, as shown in dashed lines in FIG. 2B, a larger polarizing beam splitter 248 results in at least substantially parallel x- and y-polarized component beams 249 a-b that are spaced apart from each another by a larger distance than the polarizing beam splitter 248 shown in solid lines 248. However, large cube-type polarizing beam splitters can alter the x- and y-polarized beams, and thus the size of polarizing beam splitter 248 is generally limited. As with the non-polarized return radiation, the individual x- and y-polarized component beams 249 a-b impinge upon pixels of the detector 400 in a manner that they can be mapped to corresponding areas in the second focal plane 340 shown in FIG. 2A.
  • One advantage of several embodiments of scatterometers including cube-type polarizing beam splitters it that they provide fast, high-precision measurements of the x- and y-polarized components with good accuracy. The system illustrated in FIGS. 2A-B use a single camera in the detector 400 to simultaneously measure both of the x- and y-polarized components of the return radiation 249. This system eliminates the problems of properly calibrating two separate cameras and registering the images from two separate cameras to process the data from the x- and y-polarized components. This system also eliminates the problems associated with serially polarizing the return radiation beam using a mechanically operated device because the polarizing beam splitter 248 can be fixed relative to the return beam 249 and the detector 400.
  • D. Embodiments of Detectors
  • The detector 400 can have several different embodiments depending upon the particular application. In general, the detector is a two-dimensional array of sensors, such as a CCD array, a CMOS imager array, or another suitable type of “camera” or energy sensor that can measure the intensity, color or other property of the scattered radiation from the workpiece W corresponding to the distribution at the second focal plane 340. The detector 400 is preferably a CMOS imager because it is possible to read data from only selected pixels with high repeatability instead of having to read data from an entire frame. This enables localized or selected data reading, which is expected to (a) reduce the amount of data that needs to be processed and (b) eliminate data that does not have a meaningful contrast. Additional aspects of using CMOS images for image processing are described in more detail below. The x- or y-polarized components can be measured with a single CMOS imager to determine certain characteristics that are otherwise undetectable from non-polarized light. As such, using a CMOS imager and polarizing the reflected radiation can optimize the response to increase the resolution and accuracy of the scatterometer 10.
  • FIG. 2C is a schematic view showing a CMOS imager assembly for use in the detector 400 in accordance with an embodiment of the invention. In this example, the CMOS imager assembly includes a die 410 having an image sensor 412, focal optics 420, and packaging 430 defining an enclosed compartment 432 between the die 410 and the focal optics 420. The focal optics 420 typically have curved surfaces or other configurations such that they are not merely a plate having parallel, flat surfaces. Additionally, the CMOS imager assembly does not have a glass cover or other optical member with parallel, flat surfaces between the image sensor 412 and the focal optics 420. As such, the CMOS imager assembly illustrated in FIG. 2C does not have any flat optics in the compartment 432 between the image sensor 412 and the focal optics 420. In this embodiment, the polarizing beam splitter 248 is just upstream of the CMOS imager assembly 400 relative to the return radiation beam 249.
  • The CMOS imager assembly 400 illustrated in FIG. 2C is expected to provide several advantages for use in scatterometers. In several embodiments, for example, the lack of a cover or other flat optical member between the image sensor 412 and the focal optics 420 is expected to reduce perturbations in the return radiation beam 249 at the image sensor 412. More specifically, a glass member with parallel, flat surfaces between the focal optics 420 and the image sensor 412 can alter the return radiation just before it reaches the image sensor 412. By eliminating such glass members with parallel, flat surfaces, the CMOS imager assembly illustrated in FIG. 2C is expected to eliminate distortion or interference caused by a glass member with parallel surfaces.
  • E. Computational Analyses
  • The computer 800 can use several different processes for determining one or more parameters of the microstructure based on the measured radiation distribution from the detector 400. In general, the computer 800 compares a predetermined portion of the measured radiation distribution with one or more simulated radiation distributions corresponding to selected parameters of the features and materials of the microstructure (e.g., height, width, line edge roughness, roundness of edge corners, spacing, film thickness, refraction index, reflection index, and/or other physical properties). In many applications, the computer 800 compares only the portion of the measured radiation distribution that corresponds to the zeroth-order diffraction of the radiation reflected from the workpiece W rather than comparing the entire measured radiation distribution. Because the configuration of the mask 220 affects the separation of the diffraction orders in the measured radiation distribution, the computer 800 determines which portion of the measured radiation distribution to compare with the simulated radiation distributions based on the specific configuration of the mask. After the comparison, the computer 800 stores and/or provides an output of one or more parameters of the microstructure.
  • FIG. 7 is a schematic illustration of a simulated radiation distribution 912 based on the mask 220 illustrated in FIG. 3. The illustrated radiation distribution 912 includes a first interference pattern 914 corresponding to zeroth-order diffraction, a second interference pattern 916 corresponding to a first-order diffraction, and a third interference pattern 918 corresponding to a second-order diffraction. Although the edges of the illustrated first and second interference patterns 914 and 916 and the edges of the illustrated second and third interference patterns 916 and 918 are positioned proximate to each other, the interference patterns 914, 916, and 918 can be spaced apart from each other or at least partially overlap in other applications. In either case, the zeroth-order interference pattern is at least partially separated from the higher-order diffraction patterns. The spacing of the interference patterns 914, 916, and 918 is based on the configuration of the mask 220 and the period of the elements in the microstructure M. Specifically, there is an inverse relationship between the period of the elements in the microstructure M and the spacing of the interference patterns 914, 916, and 918 in a radiation distribution. As such, a larger period between the elements of a microstructure causes the interference patterns to overlap or be spaced closer together. In either case, the interference patterns 914, 916, and 918 of the simulated radiation distribution 912 are unique to each set of features parameters, and thus changing one or more of the feature parameters will produce a different simulated radiation distribution.
  • Referring back to FIG. 1, in operation, after the detector 400 measures the radiation distribution, the computer 800 ascertains the parameters of the microstructure by selecting and/or determining a simulated radiation distribution, such as the simulated radiation distribution 912 illustrated in FIG. 4, that best fits the measured radiation distribution. When comparing the measured radiation distribution to the simulated radiation distributions, the computer 800 may compare only the portions of the measured and simulated radiation distributions that correspond to the zeroth-order diffraction. In additional embodiments, however, the computer 800 may compare portions of the measured and simulated radiation distributions that include one or more higher-order diffractions, optionally complemented by the zeroth-order diffraction.
  • FIG. 8 illustrates one embodiment for ascertaining the feature parameters of the microstructure. In this embodiment, the computer 800 includes a database 830 including a large number of predetermined simulated reference radiation distributions 832 corresponding to different sets of feature parameters. The computer 800 further includes a computer-operable medium 840 that contains instructions that cause the computer 800 to select a simulated radiation distribution 832 from the database 830 that adequately fits a measured radiation distribution within a desired tolerance. The computer-operable medium 840 can be software and/or hardware that evaluates the fit between the stored simulated radiation distributions 832 and the measured radiation distribution in a manner that quickly selects the simulated radiation distribution 832 having the best fit with the measured radiation distribution or at least having an adequate fit within a predetermined tolerance. In the case where a plurality of the simulated radiation distributions 832 have an adequate fit with the measured radiation distribution, the computer 800 can extrapolate or interpolate between the simulated distributions. Once the computer has selected a simulated radiation distribution with an adequate fit or the best fit, the computer selects the feature parameters associated with the selected simulated distribution.
  • In an alternative embodiment, the computer calculates a simulated radiation distribution and performs a regression optimization to best fit the measured radiation distribution with the simulated radiation distribution in real time. Although such regressions are widely used, they are time consuming and they may not reach a desired result because the regression may not converge to within a desired tolerance.
  • In still other embodiments, the computer 800 may perform further processing or different processing such as finite element models for evaluating non-periodic or pseudo-periodic structures. The computer 800 may also be able to solve for the refraction index and reflectivity index of the particular materials by determining the film thickness. Therefore, the enhanced data in the measured radiation distribution enables the computer 800 to more accurately determine the feature parameters of the microstructure and may enable more feature structures to be monitored (e.g., line edge roughness, refraction index, reflectivity index, etc.).
  • One feature of the scatterometer 10 described above with reference to FIGS. 1-8 is that the mask 220 at least partially separates the zeroth-order diffraction pattern and the higher-order diffraction patterns in the radiation distribution measured by the detector 400. As a result, the computer 800 can process less data in selecting and/or determining a simulated radiation distribution that best fits the measured radiation distribution because the computer 800 need only compare the portions of the simulated and measured radiation distributions that include the zeroth-order diffraction patterns. An advantage of this feature is that the computer 800 may ascertain parameters of the microstructure M more quickly and, as such, evaluate workpieces in-situ within a process tool. Another advantage of this feature is that the comparison of only or at least primarily the zeroth-order diffraction patterns in the simulated and measured radiation distributions is expected to be more accurate because higher-order diffractions are difficult to calibrate.
  • Another advantage of at least partially separating the zeroth-order and higher-order diffraction patterns in the radiation distribution is that the data representing the zeroth-order diffraction is de-coupled from higher-order diffractions. As a result, much if not all of the data associated with the zeroth-order diffraction can be used to accurately compare the measured and simulated radiation distributions.
  • Another advantage of the scatterometer 10 illustrated in FIGS. 1-8 is that the scatterometer 10 can evaluate a microstructure having elements with a larger period. Specifically, because the period of elements in a microstructure is inversely related to the spacing of the diffraction-order interference patterns, a larger period between the elements of a microstructure causes the interference patterns of different diffraction orders to overlap or be spaced closer together. The mask 220 separates the interference patterns such that the scatterometer 10 can evaluate a microstructure M having elements with a larger period, while maintaining the sometimes-necessary order segregation on the detector. The mask 220 accordingly increases the applications for the scatterometer 10.
  • G. Additional Embodiments of Masks
  • FIG. 9 is a schematic top plan view of a mask 1020 in accordance with another embodiment of the invention. The illustrated mask 1020 includes a generally circular area 1022 (illustrated with a broken line) having four quadrants 1024 (identified individually as 1024 a-d) and a plurality of apertures 1026 (identified individually as 1026 a-b) in the circular area 1022. The circular area 1022 can correspond to the cross-sectional area of the conditioned beam 212 (FIG. 1). The apertures 1026 include a first aperture 1026 a in a first quadrant 1024 a and a second aperture 1026 b in a second quadrant 1024 b. The illustrated first and second apertures 1026 a-b are positioned within the first and second quadrants 1024 a-b, respectfully, such that if the mask 1020 were folded along axis A-A, the first and second apertures 1026 a-b would not overlap. The first and second apertures 1026 a-b allow portions of the conditioned beam 212 to pass through the mask 1020 while the remainder of the beam 212 is blocked by the mask 1020. In the illustrated embodiment, the combined area of the first and second apertures 1026 a-b is approximately equal to the area of a single quadrant 1024, and the mask 1020 accordingly blocks approximately 75% of the cross-sectional area of the conditioned beam 212. In other embodiments, however, the combined area of the first and second apertures 1026 a-b can be greater than or less than the area of a single quadrant 1024. In either case, the mask 1020 is configured to separate the zeroth-order diffraction and higher-order diffractions in the radiation distribution pattern at the detector 400. The illustrated mask 1020 has particular utility with a single-periodic microstructure M having the relative orientation illustrated in FIG. 9.
  • In other embodiments, the first quadrant 1024 a may include the second aperture 1026 b in lieu of the first aperture 1026 a, and the second quadrant 1024 b may include the first aperture 1026 a in lieu of the second aperture 1026 b such that the mask is a mirror image relative to the axis A-A of the mask 1020 illustrated in FIG. 9. In either case in additional embodiments, the third and fourth quadrants 1024 c-d may include the first and second apertures 1022 a-b in lieu of the first and second quadrants 1026 a-b such that the mask is a mirror image relative to an axis B-B of either of the two masks described above.
  • FIG. 10 is a schematic top plan view of a mask 1120 in accordance with another embodiment of the invention. The illustrated mask 1120 includes a generally circular area 1122 (illustrated with a broken line) having four quadrants 1124 (identified individually as 1124 a-d) and a plurality of arcuate apertures 1126 (identified individually as 1126 a-d) in corresponding quadrants 1124 a-d. Specifically, a first quadrant 1124 a includes a first arcuate aperture 1126 a, a second quadrant 1124 b includes a second arcuate aperture 1126 b, a third quadrant 1124 c includes a third arcuate aperture 1126 c, and a fourth quadrant 1124 d includes a fourth arcuate aperture 1126 d. The illustrated apertures 1226 are positioned at different locations within their respective quadrants 1224. For example, the second aperture 1126 b is positioned radially inward of the first aperture 1126 a, the third aperture 1126 c is positioned radially inward of the second aperture 1126 b, and the fourth aperture 1126 d is positioned radially inward of the third aperture 1126 c. Further, the four arcuate apertures 1126 are arranged such that the combined area of the apertures 1126 is at least approximately equal to the area of a single quadrant 1124. In other embodiments, however, two or more of the apertures 1126 can be positioned at the same location within their respective quadrants 1124, and/or the combined area of the apertures 1126 can be less than or greater than the area of a single quadrant 1124. In additional embodiments, one of the quadrants 1124 may include more than one of the apertures 1126. For example, the first quadrant 1124 a can include the first aperture 1126 a and the fourth aperture 1126 d, and the fourth quadrant 1124 d may not include an aperture. In either case, the mask 1120 has particular utility with double-periodic microstructures.
  • FIG. 11 is a schematic top plan view of a mask 1220 in accordance with another embodiment of the invention. The illustrated mask 1220 includes a generally circular area 1222 (illustrated with a broken line) having four quadrants 1224 (identified individually as 1224 a-d) and a plurality of apertures 1226 (identified individually as 1226 a-d) in corresponding quadrants 1224. In the illustrated embodiment, the combined area of the apertures 1226 is approximately equal to the area of a single quadrant 1224, but in other embodiments the combined area of the apertures 1226 can be greater than or less than the area of a single quadrant 1224. The illustrated mask 1220 has particular utility with double-periodic microstructures.
  • FIG. 12 is a schematic top plan view of a mask 1320 in accordance with another embodiment of the invention. The illustrated mask 1320 includes a generally circular area 1322 (illustrated with a broken line) having four quadrants 1324 (identified individually as 1324 a-d) and an aperture 1326 in a first quadrant 1324 a. In other embodiments, the area of the aperture 1326 can be greater than or less than the area of the first quadrant 1324 a. In either case, the illustrated mask 1320 has particular utility with a double-periodic microstructures.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. For example, many of the elements of one embodiment can be combined with other embodiments in addition to or in lieu of the elements of the other embodiments. Accordingly, the invention is not limited except as by the appended claims.

Claims (44)

1. A scatterometer for evaluating microstructures on a workpiece, comprising:
an irradiation source for producing a beam of radiation at a wavelength;
a optic member aligned with the path of the beam, the optic member being configured to condition the beam;
an object lens assembly aligned with the path of the beam and positioned between the optic member and a workpiece site, the object lens assembly being configured to (a) receive the conditioned beam, (b) simultaneously focus the conditioned beam through a plurality of altitude angles to a spot at an object focal plane, (c) receive return radiation in the wavelength scattered from a workpiece, and (d) present a radiation distribution of the return radiation at a second focal plane, wherein the object lens assembly maintains a sine relationship between the altitude angles and corresponding points on the radiation distribution at the second focal plane;
a mask positioned between the optic member and the object lens assembly and aligned with the path of the beam to block a portion of the conditioned beam, the mask being configured to at least partially separate the zeroth-order diffraction and the higher-order diffractions in the radiation distribution at the second focal plane; and
a detector positioned to receive the radiation distribution and configured to produce a representation of the radiation distribution.
2. The scatterometer of claim 1 wherein the mask comprises a quadrant-shaped aperture through which a section of the conditioned beam can pass.
3. The scatterometer of claim 1 wherein the mask comprises an arcuate aperture through which a section of the conditioned beam can pass.
4. The scatterometer of claim 1 wherein the mask comprises a semicircular aperture through which a section of the conditioned beam can pass.
5. The scatterometer of claim 1 wherein the mask comprises (a) a circular area having quadrants, (b) a first aperture in a first quadrant, and (c) a second aperture in a second quadrant.
6. The scatterometer of claim 1 wherein the mask comprises (a) a circular area having quadrants, and (b) a plurality of arcuate apertures in corresponding quadrants.
7. The scatterometer of claim 1 wherein the mask comprises an aperture sized such that the mask blocks at least 75 percent of the cross-sectional area of the conditioned beam.
8. The scatterometer of claim 1 wherein the mask comprises an aperture sized such that the mask blocks at least 50 percent of the cross-sectional area of the conditioned beam.
9. The scatterometer of claim 1 wherein the mask includes an aperture with a straight edge.
10. The scatterometer of claim 1 wherein the object lens assembly is configured to focus the conditioned beam to a spot size not greater than 50 μm.
11. The scatterometer of claim 1 wherein the irradiation source comprises a laser configured to generate a first beam having a first wavelength and a second beam having a second wavelength different than the first wavelength.
12. The scatterometer of claim 1, further comprising a computer operatively coupled to the detector for receiving a predetermined portion of the representation of the radiation distribution based on the configuration of the mask, wherein the computer includes a database having a plurality of simulated radiation distributions corresponding to different sets of parameters of a microstructure and a computer-operable medium containing instructions that cause the computer to identify a simulated radiation distribution that adequately fits the predetermined portion of the representation of the radiation distribution produced by the detector.
13. The scatterometer of claim 1 wherein the first wavelength is between approximately 200 nm and approximately 475 nm.
14. The scatterometer of claim 1 wherein the first wavelength is between approximately 375 nm and approximately 475 nm.
15. The scatterometer of claim 1 wherein the sine relationship between the altitude angles and the corresponding points on the radiation distribution is represented by the following formula: X=F sin Θ;
wherein F is a constant;
wherein X is a displacement in the radiation distribution at the second focal plane; and
wherein Θ is the altitude angle.
16. A scatterometer for evaluating microstructures on a workpiece, comprising:
a radiation source configured to produce a beam of radiation having a first wavelength;
an optical system having a first optics assembly, an object lens assembly, and a mask, wherein the first optics assembly is configured to condition the beam of radiation such that beam is diffuse and randomized, and wherein the object lens assembly is configured to (a) focus the beam at an area of an object focal plane and (b) present a radiation distribution of return radiation scattered from a microstructure in a second focal plane; and
a detector positioned to receive the radiation distribution and configured to produce a representation of the radiation distribution;
wherein the mask is shaped to block a portion of the beam such that a specific diffraction order is at least partially isolated from other diffraction orders in the representation of the radiation distribution.
17. The scatterometer of claim 16 wherein the mask is configured to block a portion of the beam such that the zeroth-order diffraction is at least partially separated from the higher-order diffractions in the representation of the radiation distribution.
18. The scatterometer of claim 16 wherein the first optics assembly comprises a beam conditioner, and wherein the mask is aligned with the beam and positioned between the beam conditioner and the object lens assembly.
19. The scatterometer of claim 16 wherein the mask comprises an aperture through which a section of the beam can pass.
20. The scatterometer of claim 16 wherein the mask comprises an aperture sized such that the mask blocks at least 75 percent of the cross-sectional area of the beam.
21. The scatterometer of claim 16 wherein the mask comprises an aperture sized such that the mask blocks at least 50 percent of the cross-sectional area of the beam.
22. The scatterometer of claim 16, further comprising a computer operatively coupled to the detector for receiving a predetermined portion of the representation of the radiation distribution based on the configuration of the mask, wherein the computer includes a database having a plurality of simulated radiation distributions corresponding to different sets of parameters of a microstructure and a computer-operable medium containing instructions that cause the computer to identify a simulated radiation distribution that adequately fits the predetermined portion of the representation of the radiation distribution produced by the detector.
23. A scatterometer for evaluating microstructures on workpieces, comprising:
a radiation source configured to produce a beam of radiation having a first wavelength;
an optical system having a first optics assembly, an object lens assembly, and a mask, wherein the first optics assembly is configured to condition the beam of radiation such that beam is diffuse and randomized, wherein the object lens assembly is configured to (a) focus the beam at an area of an object focal plane and (b) present a radiation distribution of return radiation scattered from a microstructure in a second focal plane, and wherein the mask is shaped to block a portion of the beam such that the zeroth-order diffraction is at least partially separated from the higher-order diffractions in the radiation distribution at the second focal plane;
a detector positioned to receive the radiation distribution of the return radiation and configured to produce a representation of the radiation distribution; and
a computer operatively coupled to the detector for receiving a predetermined portion of the representation of the radiation distribution based on the configuration of the mask, wherein the computer includes a database having a plurality of simulated radiation distributions corresponding to different sets of parameters of a microstructure and a computer-operable medium containing instructions that cause the computer to identify a simulated radiation distribution that adequately fits the predetermined portion of the representation of the radiation distribution produced by the detector.
24. The scatterometer of claim 23 wherein the first optics assembly comprises a beam conditioner, and wherein the mask is aligned with the beam and positioned between the beam conditioner and the object lens assembly.
25. The scatterometer of claim 23 wherein the mask comprises an aperture through which a section of the beam can pass, and wherein the aperture is shaped so that the zeroth-order diffraction is at least partially isolated from the higher-order diffractions in the radiation distribution at the second focal plane.
26. The scatterometer of claim 23 wherein the mask comprises an aperture sized such that the mask blocks at least 75 percent of the cross-sectional area of the beam.
27. The scatterometer of claim 23 wherein the mask comprises an aperture sized such that the mask blocks at least 50 percent of the cross-sectional area of the beam.
28. A scatterometer for evaluating microstructures on workpieces, comprising:
an irradiation source for producing a first beam of radiation at a first wavelength;
a first optic member aligned with the path of the beam, the first optic member being configured to condition the beam;
an object lens assembly aligned with the path of the beam and positioned between the first optic member and a workpiece site, the object lens assembly being configured to (a) focus the beam at an area of an object focal plane and (b) present an radiation distribution of return radiation scattered from a microstructure at a second focal plane;
means for at least partially separating the zeroth-order diffraction and the higher-order diffractions in the radiation distribution at the second focal plane; and
a detector positioned to receive the radiation distribution and configured to produce a representation of the radiation distribution.
29. The scatterometer of claim 28 wherein the means for at least partially separating the zeroth-order diffraction and the higher-order diffractions comprise a mask positioned to block a portion of the conditioned beam.
30. The scatterometer of claim 28 wherein the means for at least partially separating the zeroth-order diffraction and the higher-order diffractions comprise a mask aligned with the beam and positioned between the first optic member and the object lens assembly.
31. The scatterometer of claim 28 wherein the means for at least partially separating the zeroth-order diffraction and the higher-order diffractions comprise a mask configured to block at least 50 percent of the cross-sectional area of the beam.
32. A method of evaluating a microstructure on a workpiece, the method comprising:
generating a beam having a wavelength;
irradiating a microstructure on a workpiece by passing the beam through an object lens assembly that focuses the beam to a focus area at a focal plane, wherein the focus area has a dimension not greater than 50 μm, and wherein the beam simultaneously is focused through angles of incidence having (a) altitude angles of 0° to at least 15° and (b) azimuth angles of 0° to at least 90°; and
detecting an actual radiation distribution corresponding to radiation scattered from the microstructure with the zeroth-order diffraction at least partially separated from the higher-order diffractions.
33. The method of claim 32 wherein irradiating the microstructure comprises blocking a portion of the beam with a mask.
34. The method of claim 32 wherein irradiating the microstructure comprises passing a portion of the beam through an aperture in a mask with the aperture sized so that the zeroth-order diffraction is at least partially isolated from the higher-order diffractions in the detected radiation distribution.
35. The method of claim 32 wherein irradiating the microstructure comprises passing a portion of the beam through a plurality of apertures in a mask.
36. The method of claim 32 wherein irradiating the microstructure comprises blocking at least 75 percent of the cross-sectional area of the beam.
37. The method of claim 32 wherein irradiating the microstructure comprises blocking at least 50 percent of the cross-sectional area of the beam.
38. The method of claim 32, further comprising:
providing a database having a plurality of simulated radiation distributions corresponding to different sets of parameters of the microstructure; and
identifying a simulated radiation distribution that adequately fits a predetermined portion of the representation of the detected radiation distribution corresponding to the zeroth-order diffraction.
39. The method of claim 32 wherein irradiating the microstructure comprises passing a portion of the beam through a quadrant-shaped aperture in a mask.
40. The method of claim 32 wherein irradiating the microstructure comprises passing a portion of the beam through an arcuate aperture in a mask.
41. The method of claim 32 wherein irradiating the microstructure comprises passing a portion of the beam through a semicircular aperture in a mask.
42. A method of evaluating a microstructure on a workpiece, the method comprising:
providing a workpiece having a microstructure in an area not greater than 50 μm, wherein a critical dimension of a feature in the microstructure is less than approximately 90 nm;
generating a beam of radiation having a wavelength;
passing the beam through (a) a mask that blocks a portion of the beam, and (b) a lens that focuses the beam to a focus area at a focal plane, wherein the focus area has a dimension not greater than 50 μm, and wherein the beam is focused through a range of angles of incidence having simultaneously (a) altitude angles of 0° to at least 15° and (b) azimuth angles of 0° to at least 90°;
detecting a radiation distribution of return radiation scattered from the microstructure with the zeroth-order diffraction at least partially separated from the higher-order diffractions;
providing a database having a plurality of simulated radiation distributions corresponding to different sets of parameters of the microstructure; and
identifying a simulated radiation distribution that adequately fits a predetermined portion of the representation of the detected radiation distribution corresponding to the zeroth-order diffraction.
43. The method of claim 42 wherein passing the beam through the mask comprises passing the beam through a plurality of apertures in the mask.
44. The method of claim 42 wherein passing the beam through the mask comprises blocking at least 75 percent of the cross-sectional area of the beam with the mask.
US11/453,463 2005-02-25 2006-06-14 Apparatuses and methods for enhanced critical dimension scatterometry Abandoned US20060285111A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/453,463 US20060285111A1 (en) 2005-02-25 2006-06-14 Apparatuses and methods for enhanced critical dimension scatterometry

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US65671205P 2005-02-25 2005-02-25
US11/361,670 US20060285110A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/453,463 US20060285111A1 (en) 2005-02-25 2006-06-14 Apparatuses and methods for enhanced critical dimension scatterometry

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/361,670 Continuation-In-Part US20060285110A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry

Publications (1)

Publication Number Publication Date
US20060285111A1 true US20060285111A1 (en) 2006-12-21

Family

ID=36440961

Family Applications (8)

Application Number Title Priority Date Filing Date
US11/361,308 Abandoned US20060273263A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,673 Active US7502101B2 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,670 Abandoned US20060285110A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,669 Abandoned US20060289788A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,309 Active 2026-04-23 US7511293B2 (en) 2005-02-25 2006-02-24 Scatterometer having a computer system that reads data from selected pixels of the sensor array
US11/361,677 Abandoned US20060289790A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,710 Active US7615752B2 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/453,463 Abandoned US20060285111A1 (en) 2005-02-25 2006-06-14 Apparatuses and methods for enhanced critical dimension scatterometry

Family Applications Before (7)

Application Number Title Priority Date Filing Date
US11/361,308 Abandoned US20060273263A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,673 Active US7502101B2 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,670 Abandoned US20060285110A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,669 Abandoned US20060289788A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,309 Active 2026-04-23 US7511293B2 (en) 2005-02-25 2006-02-24 Scatterometer having a computer system that reads data from selected pixels of the sensor array
US11/361,677 Abandoned US20060289790A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,710 Active US7615752B2 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry

Country Status (5)

Country Link
US (8) US20060273263A1 (en)
EP (1) EP1864080B1 (en)
AT (1) ATE475862T1 (en)
DE (1) DE602006015785D1 (en)
WO (7) WO2006091913A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060243912A1 (en) * 2005-02-25 2006-11-02 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20080018897A1 (en) * 2006-07-20 2008-01-24 Nanometrics Incorporated Methods and apparatuses for assessing overlay error on workpieces
US20100201963A1 (en) * 2009-02-11 2010-08-12 Asml Netherlands B.V. Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method
RU2481555C1 (en) * 2011-10-20 2013-05-10 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Optic measuring system, and measuring method of critical size of nanostructures on flat surface
US20140204216A1 (en) * 2011-07-14 2014-07-24 Francois ANTIER Radioactivity detection method
WO2014139881A1 (en) * 2013-03-14 2014-09-18 Carl Zeiss Smt Gmbh Optical waveguide for guiding illumination light
US20190369018A1 (en) * 2018-05-30 2019-12-05 Canon Kabushiki Kaisha Measurement apparatus

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7733100B2 (en) 2005-08-26 2010-06-08 Dcg Systems, Inc. System and method for modulation mapping
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
GB2444961A (en) * 2006-12-22 2008-06-25 Thales Holdings Uk Plc Imager with detector elements sensitive to radiation of different polarisations
US8269983B2 (en) 2007-09-24 2012-09-18 Koninklijke Philips Electronics N.V. Apparatus for observing the surface of a sample
EP2040061A1 (en) 2007-09-24 2009-03-25 Koninklijke Philips Electronics N.V. An apparatus and method for observing the surface of a sample
US20090153882A1 (en) * 2007-12-14 2009-06-18 Thomas Geiler Measuring Dimensional Parameters of Structures
NL1036597A1 (en) * 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
EP2169466B1 (en) 2008-09-30 2017-06-07 ASML Holding N.V. Inspection apparatus and method for sphero-chromatic aberration correction
US7961306B2 (en) * 2009-03-30 2011-06-14 Tokyo Electron Limited Optimizing sensitivity of optical metrology measurements
US8030632B2 (en) * 2009-03-30 2011-10-04 Tokyo Electron Limted Controlling angle of incidence of multiple-beam optical metrology tools
US8030631B2 (en) * 2009-03-30 2011-10-04 Tokyo Electron Limited Apparatus for controlling angle of incidence of multiple illumination beams
SG166089A1 (en) * 2009-05-01 2010-11-29 Dcg Systems Inc Systems and method for laser voltage imaging state mapping
WO2012103897A1 (en) * 2011-01-31 2012-08-09 Biametrics Marken Und Rechte Gmbh Method and device for determining optical properties by simultaneous measurement of intensities at thin layers using light of several wavelengths
DE102011004375B3 (en) * 2011-02-18 2012-05-31 Carl Zeiss Smt Gmbh Device for guiding electromagnetic radiation in projection exposure system for semiconductor lithography, has actuator to mechanically manipulate optical fiber so that intensity profile at exit end emerging radiation is homogenized
US8559008B2 (en) * 2011-04-07 2013-10-15 Nanometrics Incorporated Ellipsometer focusing system
CN102506773B (en) * 2011-09-28 2016-03-09 上海华虹宏力半导体制造有限公司 Detect the method for wafer surface roughness
US8982362B2 (en) * 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
US9322640B2 (en) 2012-08-07 2016-04-26 Samsing Electronics Co., Ltd. Optical measuring system and method of measuring critical size
US9879977B2 (en) 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
EP3081974B1 (en) * 2013-12-09 2020-06-03 Nikon Corporation Optical apparatus, measuring apparatus, measuring method, screening apparatus, and screening method
US9797938B2 (en) * 2014-03-28 2017-10-24 International Business Machines Corporation Noise modulation for on-chip noise measurement
US10088456B2 (en) * 2014-03-31 2018-10-02 Texas Instruments Incorporated Scanning acoustic microscopy system and method
US9885671B2 (en) 2014-06-09 2018-02-06 Kla-Tencor Corporation Miniaturized imaging apparatus for wafer edge
JP6359350B2 (en) * 2014-06-13 2018-07-18 株式会社キーエンス Three-dimensional shape measuring apparatus, measurement data processing unit, measurement data processing method, and computer program
US9645097B2 (en) 2014-06-20 2017-05-09 Kla-Tencor Corporation In-line wafer edge inspection, wafer pre-alignment, and wafer cleaning
US9709510B2 (en) * 2014-06-26 2017-07-18 Kla-Tencor Corp. Determining a configuration for an optical element positioned in a collection aperture during wafer inspection
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
KR102287272B1 (en) * 2014-12-04 2021-08-06 삼성전자주식회사 Test Apparatus and Control Method thereof
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
EP4220134A1 (en) * 2014-12-23 2023-08-02 Apple Inc. Optical inspection system and method including accounting for variations of optical path length within a sample
CN105807144B (en) * 2014-12-31 2019-01-18 清华大学 Electrometer
CN105807145B (en) * 2014-12-31 2019-01-18 清华大学 Electrometer
CN107923939B (en) * 2015-09-02 2021-11-19 株式会社日立高新技术 Circuit inspection method and sample inspection device
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
WO2017086948A1 (en) * 2015-11-18 2017-05-26 Intel Corporation High power terahertz impulse for fault isolation
JP6999268B2 (en) 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド Methods and equipment for X-ray scatterometry
US9921152B2 (en) 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9728470B1 (en) 2016-05-10 2017-08-08 Infineon Technologies Austria Ag Semiconductor structure and methods
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
JP7137226B2 (en) 2016-09-26 2022-09-14 ケーダブリュ アソシエイツ リミテッド ライアビリティ カンパニー Estimation of arc position in 3D
CN109844917B (en) 2016-10-13 2023-07-04 科磊股份有限公司 Metering system and method for process control
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10962623B1 (en) 2017-05-17 2021-03-30 Heathkit Company, Inc. Accurate and model-based measurement and management systems and methods
DE102017209254A1 (en) * 2017-05-31 2018-12-06 Feinmetall Gmbh Contact head for an electrical test device, test device
JP7426237B2 (en) * 2017-06-16 2024-02-01 テクトロニクス・インコーポレイテッド Test and measurement equipment, systems and methods related to augmented reality
JP7119310B2 (en) * 2017-08-31 2022-08-17 富士電機株式会社 Semiconductor test equipment
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US10761116B2 (en) * 2018-01-12 2020-09-01 KW Associates LLC Sensing and control of position of an electrical discharge
CN108318454B (en) * 2018-03-28 2022-11-04 山东大学 Small-angle laser scattering instrument with temperature and pressure controllable sample cell and characterization method
US10866118B2 (en) 2018-06-18 2020-12-15 Allegro Microsystems, Llc High resolution magnetic field sensors
US10578679B2 (en) * 2018-06-18 2020-03-03 Allegro Microsystems, Llc Magnetic field sensors having virtual signals
US10908229B2 (en) 2018-06-18 2021-02-02 Allegro Microsystems, Llc Regulation of coefficients used in magnetic field sensor virtual signal generation
US10598739B2 (en) * 2018-06-18 2020-03-24 Allegro Microsystems, Llc Magnetic field sensors having virtual signals
US11181490B2 (en) * 2018-07-05 2021-11-23 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US11703464B2 (en) 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US10872403B2 (en) 2018-08-10 2020-12-22 Micron Technology, Inc. System for predicting properties of structures, imager system, and related methods
US10816464B2 (en) 2019-01-23 2020-10-27 Applied Materials, Inc. Imaging reflectometer
US11385167B2 (en) 2019-10-01 2022-07-12 Onto Innovation Inc. Beamsplitter based ellipsometer focusing system
WO2021104631A1 (en) 2019-11-28 2021-06-03 Ev Group E. Thallner Gmbh Apparatus and method for measuring a substrate
US11243273B2 (en) 2020-03-16 2022-02-08 KW Associates LLC Estimation or control of lengths and positions of one or more transversely localized electric current segments flowing between two conductive bodies
US11150078B1 (en) 2020-03-26 2021-10-19 Applied Materials, Inc. High sensitivity image-based reflectometry
US11156566B2 (en) 2020-03-26 2021-10-26 Applied Materials, Inc. High sensitivity image-based reflectometry
US11417010B2 (en) 2020-05-19 2022-08-16 Applied Materials, Inc. Image based metrology of surface deformations
US11762043B2 (en) 2021-03-11 2023-09-19 Allegro Microsystems, Llc High resolution magnetic field sensors
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
IL294457B2 (en) * 2022-06-30 2023-12-01 Nova Ltd Systems and methods for optical measuring of properties of samples using polarized optical beams

Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US499014A (en) * 1893-06-06 doane
US4360269A (en) * 1979-11-07 1982-11-23 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for inspecting defects in a periodic pattern
US4428673A (en) * 1980-06-26 1984-01-31 Hajime Industries Ltd. Light diffusion device
US4598997A (en) * 1982-02-15 1986-07-08 Rca Corporation Apparatus and method for detecting defects and dust on a patterned surface
US4710642A (en) * 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US5146213A (en) * 1987-05-18 1992-09-08 Christian Brunel Electroluminescent display with memory effect and half-tones
US5241369A (en) * 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5438414A (en) * 1993-01-22 1995-08-01 The Johns Hopkins University Integrated dual imaging detector
US5638353A (en) * 1995-05-24 1997-06-10 Nec Corporation Optical head device
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5703686A (en) * 1995-01-06 1997-12-30 Eldim Device for colorimetric measurement of a display screen
US5706091A (en) * 1995-04-28 1998-01-06 Nikon Corporation Apparatus for detecting a mark pattern on a substrate
US5734470A (en) * 1995-01-24 1998-03-31 Massachusetts Institute Of Technology Device and method for time-resolved optical measurements
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5880845A (en) * 1996-05-31 1999-03-09 Eldim Apparatus for measuring the photometric and colorimetrics characteristics of an object
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6134012A (en) * 1996-07-24 2000-10-17 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US6137570A (en) * 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US20020015518A1 (en) * 2000-07-14 2002-02-07 Ryoichi Matsuoka Semiconductor wafer pattern shape evaluation method and device
US20020035717A1 (en) * 2000-07-14 2002-03-21 Ryoichi Matsuoka Navigation method and device for pattern observation of semiconductor device
US20020039184A1 (en) * 2000-10-03 2002-04-04 Sandusky John V. Differential numerical aperture methods and device
US20020097400A1 (en) * 1996-01-02 2002-07-25 Jung Wayne D. Apparatus and method for measuring optical characteristics of an object
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6449036B1 (en) * 1997-04-25 2002-09-10 Baumer Optronic Gmbh Sensor unit, process and device for inspecting the surface of an object
US20030030799A1 (en) * 2001-08-09 2003-02-13 Jianhui Chen Coaxial illumination system
US20030071996A1 (en) * 2001-10-16 2003-04-17 Wang David Y. Measurement system with separate optimized beam paths
US6556284B1 (en) * 1998-04-20 2003-04-29 Eldim System for measuring the luminance characteristics of objects, in particular objects with luminance dependent on emitting direction
US6556290B2 (en) * 2000-07-27 2003-04-29 Hitachi, Ltd. Defect inspection method and apparatus therefor
US20040027477A1 (en) * 2002-06-28 2004-02-12 Hiroyuki Tamura Camera module and method for manufacturing the same
US20040085537A1 (en) * 2000-12-18 2004-05-06 Dominique Ausserre Device for ellipsometric two-dimensional display of a sample, display method and ellipsometric measurement method with spatial resolution
US6734419B1 (en) * 2001-06-28 2004-05-11 Amkor Technology, Inc. Method for forming an image sensor package with vision die in lens housing
US6804001B1 (en) * 1999-10-26 2004-10-12 Eldim Device for measuring spatial distribution of the spectral emission of an object
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6890773B1 (en) * 2002-04-19 2005-05-10 Advanced Micro Devices, Inc. Dynamic maintenance of manufacturing system components
US6895075B2 (en) * 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US20050104985A1 (en) * 2002-03-20 2005-05-19 Takashi Abe Solid-state imaging device and its drive method
US6940592B2 (en) * 2001-10-09 2005-09-06 Applied Materials, Inc. Calibration as well as measurement on the same workpiece during fabrication
US7035375B2 (en) * 2003-11-05 2006-04-25 Jordan Valley Applied Radiation Ltd. X-ray scattering with a polychromatic source
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US20060126057A1 (en) * 2001-07-13 2006-06-15 Rudolph Technologies, Inc. Metrology system with spectroscopic ellipsometer and photoacoustic measurements
US20060148163A1 (en) * 2004-12-30 2006-07-06 Karsten Wieczorek Method of forming gate insulation layers of different characteristics
US7110099B2 (en) * 2001-10-10 2006-09-19 Accent Optical Technologies, Inc. Determination of center of focus by cross-section analysis
US20060243912A1 (en) * 2005-02-25 2006-11-02 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060244969A1 (en) * 2005-04-07 2006-11-02 Ryan Tom W Apparatus and methods for scatterometry of optical devices
US20070064247A1 (en) * 2003-09-17 2007-03-22 Commissariat A L'energie Atomique Use of optical fourier transform for dimensional control in microelectronics
US7295303B1 (en) * 2004-03-25 2007-11-13 Kla-Tencor Technologies Corporation Methods and apparatus for inspecting a sample

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
JP2943132B2 (en) * 1994-10-27 1999-08-30 三井化学株式会社 Irrigation hose
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization

Patent Citations (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US499014A (en) * 1893-06-06 doane
US4360269A (en) * 1979-11-07 1982-11-23 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for inspecting defects in a periodic pattern
US4428673A (en) * 1980-06-26 1984-01-31 Hajime Industries Ltd. Light diffusion device
US4598997A (en) * 1982-02-15 1986-07-08 Rca Corporation Apparatus and method for detecting defects and dust on a patterned surface
US4710642A (en) * 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US5146213A (en) * 1987-05-18 1992-09-08 Christian Brunel Electroluminescent display with memory effect and half-tones
US5241369A (en) * 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5438414A (en) * 1993-01-22 1995-08-01 The Johns Hopkins University Integrated dual imaging detector
US5703686A (en) * 1995-01-06 1997-12-30 Eldim Device for colorimetric measurement of a display screen
US5734470A (en) * 1995-01-24 1998-03-31 Massachusetts Institute Of Technology Device and method for time-resolved optical measurements
US5706091A (en) * 1995-04-28 1998-01-06 Nikon Corporation Apparatus for detecting a mark pattern on a substrate
US5638353A (en) * 1995-05-24 1997-06-10 Nec Corporation Optical head device
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US20020097400A1 (en) * 1996-01-02 2002-07-25 Jung Wayne D. Apparatus and method for measuring optical characteristics of an object
US5880845A (en) * 1996-05-31 1999-03-09 Eldim Apparatus for measuring the photometric and colorimetrics characteristics of an object
US6134012A (en) * 1996-07-24 2000-10-17 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US6449036B1 (en) * 1997-04-25 2002-09-10 Baumer Optronic Gmbh Sensor unit, process and device for inspecting the surface of an object
US6556284B1 (en) * 1998-04-20 2003-04-29 Eldim System for measuring the luminance characteristics of objects, in particular objects with luminance dependent on emitting direction
US6137570A (en) * 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6804001B1 (en) * 1999-10-26 2004-10-12 Eldim Device for measuring spatial distribution of the spectral emission of an object
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US20020035717A1 (en) * 2000-07-14 2002-03-21 Ryoichi Matsuoka Navigation method and device for pattern observation of semiconductor device
US20020015518A1 (en) * 2000-07-14 2002-02-07 Ryoichi Matsuoka Semiconductor wafer pattern shape evaluation method and device
US6556290B2 (en) * 2000-07-27 2003-04-29 Hitachi, Ltd. Defect inspection method and apparatus therefor
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US20020039184A1 (en) * 2000-10-03 2002-04-04 Sandusky John V. Differential numerical aperture methods and device
US6750968B2 (en) * 2000-10-03 2004-06-15 Accent Optical Technologies, Inc. Differential numerical aperture methods and device
US20040246481A1 (en) * 2000-10-03 2004-12-09 Accent Optical Technologies, Inc. Differential numerical aperture methods
US20040085537A1 (en) * 2000-12-18 2004-05-06 Dominique Ausserre Device for ellipsometric two-dimensional display of a sample, display method and ellipsometric measurement method with spatial resolution
US6734419B1 (en) * 2001-06-28 2004-05-11 Amkor Technology, Inc. Method for forming an image sensor package with vision die in lens housing
US20060126057A1 (en) * 2001-07-13 2006-06-15 Rudolph Technologies, Inc. Metrology system with spectroscopic ellipsometer and photoacoustic measurements
US20030030799A1 (en) * 2001-08-09 2003-02-13 Jianhui Chen Coaxial illumination system
US6940592B2 (en) * 2001-10-09 2005-09-06 Applied Materials, Inc. Calibration as well as measurement on the same workpiece during fabrication
US7110099B2 (en) * 2001-10-10 2006-09-19 Accent Optical Technologies, Inc. Determination of center of focus by cross-section analysis
US20030071996A1 (en) * 2001-10-16 2003-04-17 Wang David Y. Measurement system with separate optimized beam paths
US20050104985A1 (en) * 2002-03-20 2005-05-19 Takashi Abe Solid-state imaging device and its drive method
US6890773B1 (en) * 2002-04-19 2005-05-10 Advanced Micro Devices, Inc. Dynamic maintenance of manufacturing system components
US20040027477A1 (en) * 2002-06-28 2004-02-12 Hiroyuki Tamura Camera module and method for manufacturing the same
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6895075B2 (en) * 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US20070064247A1 (en) * 2003-09-17 2007-03-22 Commissariat A L'energie Atomique Use of optical fourier transform for dimensional control in microelectronics
US7035375B2 (en) * 2003-11-05 2006-04-25 Jordan Valley Applied Radiation Ltd. X-ray scattering with a polychromatic source
US7295303B1 (en) * 2004-03-25 2007-11-13 Kla-Tencor Technologies Corporation Methods and apparatus for inspecting a sample
US20060148163A1 (en) * 2004-12-30 2006-07-06 Karsten Wieczorek Method of forming gate insulation layers of different characteristics
US20060243912A1 (en) * 2005-02-25 2006-11-02 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060278834A1 (en) * 2005-02-25 2006-12-14 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060285110A1 (en) * 2005-02-25 2006-12-21 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060289790A1 (en) * 2005-02-25 2006-12-28 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060289788A1 (en) * 2005-02-25 2006-12-28 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060289789A1 (en) * 2005-02-25 2006-12-28 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060273263A1 (en) * 2005-02-25 2006-12-07 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060244969A1 (en) * 2005-04-07 2006-11-02 Ryan Tom W Apparatus and methods for scatterometry of optical devices

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060243912A1 (en) * 2005-02-25 2006-11-02 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060278834A1 (en) * 2005-02-25 2006-12-14 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060289789A1 (en) * 2005-02-25 2006-12-28 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US7502101B2 (en) 2005-02-25 2009-03-10 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US7511293B2 (en) 2005-02-25 2009-03-31 Nanometrics Incorporated Scatterometer having a computer system that reads data from selected pixels of the sensor array
US7615752B2 (en) 2005-02-25 2009-11-10 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US20080018897A1 (en) * 2006-07-20 2008-01-24 Nanometrics Incorporated Methods and apparatuses for assessing overlay error on workpieces
KR101129332B1 (en) * 2009-02-11 2012-03-26 에이에스엠엘 네델란즈 비.브이. Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method
EP2219078A1 (en) * 2009-02-11 2010-08-18 ASML Netherlands BV Inspection apparatus for lithography
JP2010192894A (en) * 2009-02-11 2010-09-02 Asml Netherlands Bv Inspection device, lithographic apparatus, lithography processing cell, and inspection method
US20100201963A1 (en) * 2009-02-11 2010-08-12 Asml Netherlands B.V. Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method
US8705007B2 (en) 2009-02-11 2014-04-22 Asml Netherlands B.V. Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method
US9128065B2 (en) 2009-02-11 2015-09-08 Asml Netherlands B.V. Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method
US20140204216A1 (en) * 2011-07-14 2014-07-24 Francois ANTIER Radioactivity detection method
RU2481555C1 (en) * 2011-10-20 2013-05-10 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Optic measuring system, and measuring method of critical size of nanostructures on flat surface
WO2014139881A1 (en) * 2013-03-14 2014-09-18 Carl Zeiss Smt Gmbh Optical waveguide for guiding illumination light
US9671548B2 (en) 2013-03-14 2017-06-06 Carl Zeiss Smt Gmbh Optical waveguide for guiding illumination light
US10254466B2 (en) 2013-03-14 2019-04-09 Carl Zeiss Smt Gmbh Optical waveguide for guiding illumination light
US20190369018A1 (en) * 2018-05-30 2019-12-05 Canon Kabushiki Kaisha Measurement apparatus
US10656086B2 (en) * 2018-05-30 2020-05-19 Canon Kabushiki Kaisha Measurement apparatus

Also Published As

Publication number Publication date
WO2006093800A9 (en) 2007-03-01
US20060278834A1 (en) 2006-12-14
US20060289788A1 (en) 2006-12-28
WO2006091781A1 (en) 2006-08-31
DE602006015785D1 (en) 2010-09-09
US7502101B2 (en) 2009-03-10
WO2006091783A1 (en) 2006-08-31
ATE475862T1 (en) 2010-08-15
EP1864080A1 (en) 2007-12-12
US20060285110A1 (en) 2006-12-21
WO2006091782A1 (en) 2006-08-31
WO2006091840B1 (en) 2006-11-16
WO2006091859A1 (en) 2006-08-31
WO2006091783B1 (en) 2006-10-19
US20060289789A1 (en) 2006-12-28
US20060289790A1 (en) 2006-12-28
US20060273263A1 (en) 2006-12-07
WO2006091840A2 (en) 2006-08-31
US7615752B2 (en) 2009-11-10
WO2006091781B1 (en) 2006-11-09
WO2006091913A1 (en) 2006-08-31
US20060243912A1 (en) 2006-11-02
EP1864080B1 (en) 2010-07-28
WO2006091840A9 (en) 2006-12-28
WO2006091782B1 (en) 2006-11-23
WO2006091840A3 (en) 2006-10-19
US7511293B2 (en) 2009-03-31
WO2006093800A1 (en) 2006-09-08

Similar Documents

Publication Publication Date Title
US20060285111A1 (en) Apparatuses and methods for enhanced critical dimension scatterometry
US10234271B2 (en) Method and system for spectroscopic beam profile metrology including a detection of collected light according to wavelength along a third dimension of a hyperspectral detector
US10101676B2 (en) Spectroscopic beam profile overlay metrology
KR100697277B1 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
JP2017026638A (en) Inspection apparatus and method
JP5816297B2 (en) Method for characterizing structures on a mask and device for performing the method
US20080018897A1 (en) Methods and apparatuses for assessing overlay error on workpieces
JP2023512258A (en) Overlay metrology of bonded wafers

Legal Events

Date Code Title Description
AS Assignment

Owner name: ACCENT OPTICAL TECHNOLOGIES, INC., OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAYMOND, CHRIS;FORMAN, DARREN;REEL/FRAME:017983/0461

Effective date: 20060606

AS Assignment

Owner name: ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC.,CALI

Free format text: MERGER;ASSIGNORS:ALLOY MERGER CORPORATION;ACCENT OPTICAL TECHNOLOGIES, INC.;REEL/FRAME:019469/0299

Effective date: 20060721

Owner name: ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC., CAL

Free format text: MERGER;ASSIGNORS:ALLOY MERGER CORPORATION;ACCENT OPTICAL TECHNOLOGIES, INC.;REEL/FRAME:019469/0299

Effective date: 20060721

AS Assignment

Owner name: NANOMETRICS INCORPORATED, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC.;REEL/FRAME:019543/0863

Effective date: 20070618

Owner name: NANOMETRICS INCORPORATED,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC.;REEL/FRAME:019543/0863

Effective date: 20070618

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION