US20060289790A1 - Apparatus and method for enhanced critical dimension scatterometry - Google Patents

Apparatus and method for enhanced critical dimension scatterometry Download PDF

Info

Publication number
US20060289790A1
US20060289790A1 US11/361,677 US36167706A US2006289790A1 US 20060289790 A1 US20060289790 A1 US 20060289790A1 US 36167706 A US36167706 A US 36167706A US 2006289790 A1 US2006289790 A1 US 2006289790A1
Authority
US
United States
Prior art keywords
scatterometer
radiation
wavelength
detector
angles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/361,677
Inventor
Chris Raymond
Steve Hummel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanometrics Inc
Original Assignee
Accent Optical Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Accent Optical Technologies Inc filed Critical Accent Optical Technologies Inc
Priority to US11/361,677 priority Critical patent/US20060289790A1/en
Assigned to ACCENT OPTICAL TECHNOLOGIES, INC. reassignment ACCENT OPTICAL TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUMMEL, STEVE, RAYMOND, CHRIS
Publication of US20060289790A1 publication Critical patent/US20060289790A1/en
Assigned to ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC. reassignment ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: ACCENT OPTICAL TECHNOLOGIES, INC., ALLOY MERGER CORPORATION
Assigned to NANOMETRICS INCORPORATED reassignment NANOMETRICS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/27Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection ; circuits for computing concentration
    • G01N21/274Calibration, base line adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4792Polarisation of scatter light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers

Definitions

  • the present invention is related to evaluating microstructures on workpieces, such as semiconductor wafers, using apparatus and methods that can obtain a representation of the distribution of radiation returning from the workpiece through a large range of angles of incidence.
  • Semiconductor devices and other microelectronic devices are typically manufactured on a workpiece having a large number of individual dies (e.g., chips). Each wafer undergoes several different procedures to construct the switches, capacitors, conductive interconnects and other components of a device. For example, a workpiece can be processed using lithography, implanting, etching, deposition, planarization, annealing, and other procedures that are repeated to construct a high density of features.
  • One aspect of manufacturing microelectronic devices is evaluating the workpieces to ensure that the microstructures are within the desired specifications.
  • Scatterometry is one technique for evaluating several parameters of microstructures.
  • scatterometry is used to evaluate film thickness, line spacing, trench depth, trench width, and other aspects of microstructures.
  • Many semiconductor wafers for example, include gratings in the scribe lanes between the individual dies to provide a periodic structure that can be evaluated using existing scatterometry equipment.
  • One existing scatterometry process includes illuminating such periodic structures on a workpiece and obtaining a representation of the scattered radiation returning from the periodic structure. The representation of return radiation is then analyzed to estimate one or more parameters of the microstructure.
  • Several different scatterometers and methods have been developed for evaluating different aspects of microstructures and/or films on different types of substrates.
  • Eldim Corporation of France manufactures devices that measure the photometric and calorimetric characteristics of substrates used in flat panel displays and other products.
  • the Eldim devices use an Optical Fourier Transform (OFT) instrument having an illumination source, a beam splitter aligned with the illumination source, and a first lens between the beam splitter and the sample.
  • the light reflects from the sample, and the first lens also focuses the reflected light in another plane.
  • the system further includes an optical relay system to receive the reflected light and a sensor array to image the reflected light.
  • WO 2005/026707 and U.S. Pat. Nos. 6,804,001; 6,556,284; 5,880,845; and 5,703,686 disclose various generations of scatterometers.
  • the scatterometers set forth in these patents are useful for assessing the photometric and calorimetric properties of flat panel displays, but they may have several drawbacks for assessing parameters of extremely small microstructures on microelectronic workpieces.
  • One challenge of scatterometry is properly locating very small microstructures on a workpiece. This is not particularly difficult for analyzing the pixels of a flat panel display because measuring the photometric and colorimetric properties of such substrates merely requires locating the illumination spot on relatively large pixel areas instead of very small periodic structures.
  • systems used to analyze flat panel displays may not include navigation systems capable of locating very small microstructures on the order of 20-40 ⁇ m.
  • the devices used to analyze flat panel displays may have relatively large spot sizes that are not useful to measure the properties of a 20-40 ⁇ m grating because such large spot sizes generate reflections from the surrounding areas that result in excessive noise. Therefore, devices designed for assessing flat panel displays may not be well-suited for assessing gratings or other microstructures having much smaller dimensions on microelectronic workpieces.
  • Another challenge of assessing microstructures using scatterometry is processing the data in the representation of the return radiation.
  • Many scatterometers calculate simulated or modeled representations of the return radiation and then use an optimization regression to optimize the fit between the simulated representations and an actual reflectance signal.
  • optimization regressions require a significant amount of processing time using high-power computers because the actual reflectance signals for measurements through a large range of incidence angles contain a significant amount of data that is affected by a large number of variables.
  • the computational time for example, can require several minutes such that the substrates are typically evaluated offline instead of being evaluated in-situ within a process tool. Therefore, many conventional scatterometers may not be well-suited for evaluating microstructures on microelectronic workpieces.
  • Yet another challenge of assessing microstructures using scatterometry is calibrating the scatterometer.
  • One difficulty of calibrating scatterometers is that the return radiation can have both p- and s-polarized components when the input path is off-axis relative to the microfeature (e.g., a grating). This increases the complexity of fitting the output to a model because the p- and s-polarized components must be treated separately. This is also challenging because the p- and s-polarized components change for each off-axis azimuth angle, and thus proper calibration requires measurements and calculations for several different azimuth angles in more sophisticated applications.
  • Calibrating scatterometers that operate over a large number of azimuth angles is also difficult because it is challenging to measure the p- and s-polarized components.
  • One existing system for measuring p- and s-polarized components is a two-camera system that splits the output beam into separate p- and s-polarized beams which propagate at a non-parallel angle relative to each other. Such systems have one camera to detect the p-polarized component and another camera to detect the s-polarized component. The use of two cameras, however, is undesirable because the additional camera increases the cost and form factor of the scatterometer. This may prevent such two-camera scatterometers from fitting into many integrated tool sets where metrology is desired.
  • Another system for measuring the p- and s-polarized components uses a single camera and a polarizer that alternates between the p- and s-polarized components. This system may have problems because the serial presentation of the p- and s-polarized components to the detector requires more time to obtain the measurements.
  • the polarizer is a mechanical device that moves between p- and s-polarizing states, and as such it may lack the precision and accuracy to obtain meaningful measurements. Such mechanical devices may wear out and further denigrate the precision and accuracy of the calibration. Therefore, obtaining images of p- and s-polarized components for calibrating scatterometers or other uses presents a significant challenge in scatterometry.
  • Still another challenge of scatterometry is noise or inconsequential data in the measurements.
  • the data in many areas of the resulting image may not be meaningful. Therefore, there is a need to improve the process of operating scatterometers that simultaneously obtain measurements for a large range of altitude and azimuth angles.
  • FIG. 1 is a schematic view illustrating a scatterometer in accordance with an embodiment of the invention.
  • FIG. 2 is a schematic isometric view illustrating a portion of a three-dimensional convergence beam for irradiating microstructures on a workpiece in accordance with an embodiment of the invention.
  • FIG. 3A is a schematic view illustrating an optical system for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 3B is a schematic view of a cube-type polarizing beam splitter for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 3C is a schematic view of a CMOS imager for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 4 is a schematic view illustrating an optical system and an auto-focus system for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 5A is a simulated radiation distribution for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 5B is a measured radiation distribution provide by a scatterometer in accordance with an embodiment of the invention.
  • FIG. 6 is a schematic view illustrating a portion of a computer system and a computational method for ascertaining parameters of microstructures using a scatterometer in accordance with an embodiment of the invention.
  • FIG. 7 is a flow chart illustrating a method for determining one or more parameters of a microfeature using a scatterometer in accordance with an embodiment of the invention.
  • FIG. 8 is a flow chart of a procedure for developing a predetermined sensitivity record used in a method for assessing a parameter of a microfeature on a workpiece in accordance with an embodiment of the invention.
  • FIGS. 9A-9C are images illustrating additional aspects of developing a predetermined sensitivity record, acquiring a measured selected radiation distribution, and fitting the measured selected radiation distribution to a modeled selected radiation distribution.
  • the present invention is directed toward evaluating microstructures on microelectronic workpieces and other types of substrates. Many applications of the present invention are directed toward scatterometers and methods of using scatterometry to determine several parameters of periodic microstructures, pseudo-periodic structures, and other very small structures having features sizes as small as 100 nm or less. Several specific embodiments of the present invention are particularly useful in the semiconductor industry to determine the width, depth, line edge roughness, wall angle, film thickness, and many other parameters of the features formed in microprocessors, memory devices, and other semiconductor devices.
  • the scatterometers and methods of the invention are not limited to semiconductor applications and can be applied equally well in other applications.
  • the scatterometer comprises an irradiation source, a first optics assembly, and an object lens assembly.
  • the irradiation source can be a laser that produces a first beam of radiation at a wavelength.
  • the first optics assembly is aligned with the path of the beam and configured to condition the beam (e.g., shape, randomize, select order, diffuse, converge, diverge, collimate, etc.), and the object lens assembly is positioned between the first optics assembly and a workpiece site.
  • the object lens assembly is configured to focus the conditioned beam to a spot at an object focal plane.
  • the object lens assembly or another optical assembly of the scatterometer is also configured to (a) receive radiation scattered from a workpiece and (b) present a distribution of the scattered radiation at a second focal plane.
  • the radiation distribution can be the intensity, polarimetric, ellipsometric and/or reflectance distribution of the scattered radiation.
  • the scatterometer of this embodiment can further include a detector, a navigation system, and an auto-focus system.
  • the detector is positioned to receive at least a portion of the radiation distribution and configured to produce a representation of the radiation distribution.
  • the navigation system is operatively coupled to the lens assembly or a support structure holding the workpiece, and it is configured to identify and locate the desired microstructure on the workpiece.
  • the auto-focus system is operatively coupled to one of the lens assembly or the workpiece site, and it is configured to position the microstructure at a desired focal position (e.g., the object focal plane).
  • a scatterometer in accordance with the invention comprises a laser configured to produce a beam of radiation having a first wavelength, an optical system having a first optics assembly configured to condition the beam of radiation, and a lens assembly.
  • the lens assembly is configured to focus the beam at an area of an object focal plane or other desired focal plane having a small spot size such that the beam has angles of incidence through a range of altitude angles of at least approximately 0° to 45° and azimuth angles of at least approximately 0° to 90°.
  • the altitude angle ( ⁇ ) is the angle between the illumination ray and a reference vector normal to the object focal plane
  • the azimuth angle ( ⁇ ) is the angle between the incident plane and a reference vector in a plane parallel to the focal plane.
  • the beam more preferably has angles of incidence through altitude angles of 0° to greater than 70° and azimuth angles of 0° to 360°.
  • the scatterometer is further configured to collect and present the radiation scattered from the microstructure at a second focal plane.
  • the lens assembly itself presents the scattered radiation at the second focal plane, but in other embodiments the optical system has another optic member that presents the radiation distribution at the second focal plane.
  • the scatterometer of this invention further includes a detector positioned to receive the radiation distribution and configured to produce a representation of the radiation distribution.
  • the scatterometer also includes a computer operatively coupled to the detector to receive the representation of the radiation distribution.
  • the computer includes a database and a computer-operable medium.
  • the database has a plurality of simulated radiation distributions corresponding to different sets of parameters of the microstructure.
  • the computer-operable medium contains instructions that cause the computer to identify a simulated radiation distribution that adequately fits the representation of the measured radiation distribution.
  • Another embodiment of the invention is a scatterometer for evaluating a microstructure on a workpiece comprising an irradiation system, an optical system, and a detector.
  • the irradiation system includes a laser and/or a lamp, and the irradiation system is configured to produce a first beam of radiation having a first wavelength and a second beam of radiation having a second wavelength.
  • the optical system has a first unit configured to condition the first and second beams, and a second unit configured to (a) focus the first and second beams at an area of an object focal plane having a small spot size, and (b) present a distribution of scattered radiation returning from a microstructure at a second focal plane.
  • the detector is positioned to receive the radiation distribution, and the detector is configured to produce a representation of the radiation distribution.
  • the optical system has a first optics assembly configured to condition the beam of radiation such that the beam is a diffuse and randomized beam.
  • the optical system also includes an object lens assembly configured to (a) focus the beam at an area of an object focal plane and (b) present scattered radiation returning from a microstructure in a radiation distribution at a second focal plane.
  • the detector is positioned to receive the radiation distribution of the scattered radiation and configured to produce a representation of the radiation distribution.
  • the calibration unit includes a first calibration member having a first reflectivity of the wavelength and a second calibration member having a second reflectivity different than the first reflectivity.
  • the first and second calibration members are located to be irradiated by the beam during a setup procedure to determine a reference reflectance or other reference radiation distribution.
  • the second calibration unit can be eliminated such that the second reflectance is from free space.
  • the computer is operatively coupled to the detector and includes a computer-operable medium that determines the reference reflectance using a first reflectance from the first calibration member and a second reflectance from the second calibration member or free space.
  • Still another embodiment of a scatterometer in accordance with the invention comprises a laser and/or lamp configured to produce a beam of radiation having a wavelength and an optical system.
  • the optical system has a first optics assembly including an object lens assembly configured to focus the beam to an area at an object focal plane and present return radiation scattered from a microstructure in a radiation distribution at a second focal plane.
  • the optical system further includes a second optics assembly having a polarizing beam splitter configured to present separate images of p- and s-polarized components of the return radiation.
  • the scatterometer further includes a detector having a single array positioned to simultaneously receive the separate images of the p- and s-polarized components of the return radiation. The detector is also configured to produce a representation of the p- and s-polarized components of the return radiation.
  • a scatterometer in accordance with the invention comprises a laser and/or lamp configured to produce a beam of radiation having a wavelength and an optical system having a first optics assembly.
  • the first optics assembly includes an object lens assembly configured to focus the beam at an area on an object focal plane and present return radiation scattered from a microstructure in a radiation distribution at a second focal plane.
  • the scatterometer further includes a detector comprising a CMOS imager have a die with an image sensor, focal optics, and packaging that defines an enclosed compartment in which the focal optics and the image sensor are fixed with respect to each other without a cover having parallel, fiat surfaces between the image sensor and the focal optics.
  • the present invention is also directed toward several methods for evaluating a microstructure on a workpiece.
  • One embodiment of such a method comprises generating a laser beam or a beam from a lamp having a wavelength and irradiating a microstructure on a workpiece by passing the beam through a lens assembly that focuses the beam to a focus area at a focal plane.
  • the focus area can have a dimension not greater than 50 ⁇ m or in other embodiments approximately at least 10 of the periodic features of the microstructure, and the beam simultaneously has altitude angles of 0° to at least 15° and azimuth angles of 0° to greater than 90°.
  • the focus area is not greater than 30 ⁇ m, and the altitude angles are 0° to about at least 45°.
  • the altitude angles can be from 0° to at least 70° in other examples.
  • the method further includes detecting an actual radiation distribution corresponding to radiation scattered from the microstructure.
  • the procedure of irradiating a microstructure comprises irradiating the focus area with a laser beam having a first wavelength and irradiating the focus area with a laser beam having a second wavelength different than the first wavelength.
  • the first and second wavelengths can be in a range of approximately 200 nm-475 nm, and more specifically a first wavelength can be from 200 nm-300 nm and a second wavelength can be from 375 nm-475 nm.
  • the first wavelength can be approximately 266 nm and the second wavelength can be approximately 405 nm, or in another embodiment the first wavelength can be about 244 nm and the second wavelength about 457 nm.
  • the workpieces can be irradiated with one or more beams having one or more wavelengths less than 500 nm, but longer wavelengths may be used in other embodiments.
  • a third wavelength of 633 nm may be used.
  • Another aspect in accordance with another embodiment of the invention includes calibrating the detector by providing a first calibration member having a first reflectivity and a second calibration member having a second reflectivity. The system can be calibrated by determining a reference reflectance using a first reflectance from the first calibration member and a second reflectance from the second calibration member. Other embodiments can use only a single calibration member and obtain a second reflectance measurement from free space.
  • the magnitude and phase of the scattered radiation is measured by the detection system to perform an ellipsometric style measurement described above.
  • the ellipsometric measurement in this embodiment can be performed by positioning polarizers, wave plates, or other phase modifying optical devices in the incident and/or detection optical system assemblies.
  • an automated workpiece transport system is incorporated with the optical system and method to enable automatic high speed measurements across several workpieces without the need for moving or otherwise handling the workpieces manually.
  • the optical system maintains a sine relationship between pixels on the image detector and the altitude illumination angle theta.
  • the advantage to this implementation is that an adequate number of pixels can be sampled throughout the entire image plane. More specifically, for critical sampling of the image plane, the sampling frequency should be twice the highest spatial frequency in the plane. If the highest spatial frequency does not depend on the position in the image plane, then the number of pixels to be averaged (to effectively make a larger pixel of the correct dimensions for critical sampling) does not depend on the position in the image plane.
  • the number of pixels required to be averaged will depend on position. Thus, unless a sine relationship or another suitable relationship between the pixels on the image sensor and the altitude angle is maintained, then the fixed number of pixels available could result in some regions of the image plane being sampled with fewer than the optimal number of pixels.
  • FIG. 1 is a schematic illustration of a scatterometer 10 in accordance with an embodiment of the invention.
  • the scatterometer 10 includes an irradiation source 100 that generates a beam 102 at a desired wavelength.
  • the irradiation source 100 can be a laser system and/or lamp capable of producing (a) a beam 102 at a single wavelength, (b) a plurality of beams at different wavelengths, or (c) any other output having a single wavelength or a plurality of wavelengths.
  • the irradiation source 100 is a laser that produces a beam having a wavelength less than 500 nm, and more preferably in the range of approximately 266 nm-475 nm.
  • the wavelength can be about 375 nm-475 nm, or in some specific examples about 405 nm or 457 nm.
  • the irradiation source 100 can include a plurality of different lasers and/or filters to produce a first beam having a first wavelength of approximately 266 nm and a second beam having a second wavelength of approximately 405 nm, or in another embodiment the first beam can have a wavelength of 405 nm and the second beam can have a wavelength of 457 nm. It will be appreciated that the irradiation source 100 can produce additional wavelengths having shorter or longer wavelengths in the UV spectrum, visible spectrum, and/or other suitable spectrum.
  • the irradiation source 100 can further include a fiber optic cable to transmit the beam 102 through a portion of the apparatus.
  • the scatterometer 10 further includes an optical system 200 between the irradiation source 100 and a workpiece W.
  • the optical system 200 includes a first optics assembly 210 that conditions the beam 102 to form a conditioned beam 212 .
  • the first optics assembly 210 can include a beam diffuser/randomizer that diffuses and randomizes the radiation to reduce or eliminate the coherence of the beam 102 .
  • the first optics assembly 210 can also include a beam element that shapes the beam to have a desired cross-sectional dimension, shape, and/or convergence-divergence.
  • the beam element for example, can shape the beam 212 to have a circular, rectilinear, or other suitable cross-sectional shape for presentation to additional optic elements downstream from the first optics assembly 210 .
  • the optical system 200 can further include an object lens assembly 300 that focuses the conditioned beam 212 for presentation to the workpiece W and receives radiation reflected from the workpiece W.
  • the object lens assembly 300 is configured to receive the conditioned beam 212 and form a convergent beam 310 focused at a discrete focus area S on a desired focal plane, such as an object focal plane 320 .
  • the convergent beam 310 can have a conical shape when the conditioned beam 212 has a circular cross-section, but in other embodiments the convergent beam 310 can have other shapes. For example, when the conditioned beam 212 has a rectilinear cross-section, the convergent beam 310 has a pyramidal shape.
  • the convergent beam 310 can have a range of incidence angles having altitude angles of 0° to greater than approximately 70° and azimuth angles of 0° to greater than 90° and more preferably 0-360°.
  • the altitude angle is the angle between an incident ray and a reference vector normal to the object focal plane 320
  • the azimuth angle is the angle between an incident plane and a reference vector in a plane parallel to the object focal plane 320 .
  • the large range of incidence angles generates a large number of unique data points that enable accurate evaluations of several parameters of the microstructure.
  • the focus area at the object focal plane 320 preferably has a size and shape suitable for evaluating the particular microstructure.
  • the microstructure is a grating or other structure on a workpiece having a maximum dimension of approximately 10-40 ⁇ m
  • the focus area is also approximately 10-40 ⁇ m.
  • the size of the focal area is preferably not greater than the size of the microstructure so that the radiation does not reflect from features outside of the particular microstructure.
  • the object lens assembly 300 is configured to produce a spot size generally less than 40 ⁇ m, and more preferably not greater than 30 ⁇ m.
  • the scatterometer 10 can have larger focus areas in other embodiments directed to assessing larger structures.
  • the object lens assembly 300 is further configured to collect the scattered radiation reflecting or otherwise returning from the workpiece W and present the scattered radiation on a second focal plane 340 .
  • the object lens assembly 300 more particularly, presents the scattered radiation in a manner that provides a radiation distribution of the scattered radiation at the second focal plane 340 .
  • the object lens assembly 300 directs the scattered radiation coming at particular angles from the object focal plane 320 to corresponding points on the second focal plane 340 . Additional aspects of specific embodiments of the object lens assembly 300 are further described below with reference to Section C.
  • the optical system 200 can further include a beam splitter 220 through which the conditioned beam 212 can pass to the object lens assembly 300 and from which a portion of the return beam propagating away from the second focal plane 340 is split and redirected.
  • the optical system 200 can optionally include a second optics assembly 230 that receives the split portion of the return beam from the beam splitter 220 .
  • the second optics assembly 230 is configured to prepare the return beam for imaging by an imaging device. Additional aspects of specific embodiments of the second optics assembly 230 are described below with reference to Section C.
  • the scatterometer 10 further includes a detector 400 positioned to receive the radiation distribution propagating back from the second focal plane 340 .
  • the detector 400 can be a CCD array, CMOS imager, other suitable cameras, or other suitable energy sensors for accurately measuring the radiation distribution.
  • the detector 400 is further configured to provide or otherwise generate a representation of the radiation distribution.
  • the representation of the radiation distribution can be data stored in a database, an image suitable for representation on a display, or other suitable characterizations of the radiation distribution.
  • the scatterometer 10 can further include a navigation system 500 and an auto-focus system 600 .
  • the navigation system 500 can include a light source 510 that illuminates a portion of the workpiece W and optics 520 that view the workpiece W.
  • the navigation system 500 can have a low magnification capability for locating the general region of the microstructure on the workpiece (e.g., global alignment), and a high magnification capability for precisely identifying the location of the microstructure.
  • Several embodiments of the navigation system can use the irradiation source 100 and components of the optical system 200 .
  • the navigation system 500 provides information to move the object lens assembly 300 and/or a workpiece site 510 to accurately position the focus area of the object lens assembly 300 at the desired microstructure on the workpiece W.
  • the auto-focus system 600 can include a focus array 610 , and the optical system 200 can include an optional beam splitter 240 that directs radiation returning from the workpiece W to the focus array 610 .
  • the auto-focus system 600 is operatively coupled to the object lens assembly 300 and/or the workpiece site 510 to accurately position the microstructure on the workpiece W at the object focal plane 320 of the object lens assembly 300 or another plane.
  • the navigation system 500 and the auto-focus system 600 enable the scatterometer 10 to evaluate extremely small features of very small microstructures on semiconductor devices or other types of microelectronic devices.
  • the scatterometer 10 further includes a calibration system for monitoring the intensity of the beam 102 and maintaining the accuracy of the other components.
  • the calibration system (a) monitors the intensity, phase, wavelength or other beam property of the beam 102 in real time, (b) provides an accurate reference reflectance for the detector 400 to ensure the accuracy of the scatterometer, and/or (c) provides angular calibration of the system.
  • the calibration system includes a detector 700 and a beam splitter 702 that directs a portion of the initial beam 102 to the detector 700 .
  • the detector 700 monitors changes in the intensity of the beam 102 in real-time to continuously maintain the accuracy of the measured radiation distribution.
  • the detector 700 can also or alternatively measure phase changes or a differential intensity.
  • the calibration system for example, can use the polarity of the return radiation to calibrate the system.
  • the calibration system can further include a calibration unit 704 having one or more calibration members for calibrating the detector 400 .
  • the calibration unit 704 includes a first calibration member 710 having a first reflectance of the wavelength of the beam and a second calibration member 720 having a second reflectance of the wavelength of the beam.
  • the first calibration member 710 can have a very high reflectance
  • the second calibration member 720 can have a very low reflectance to provide two data points for calibrating the detector 400 .
  • the second calibration member 720 can be eliminated and the second reflectance can be measured from free space.
  • the scatterometer 10 further includes a computer 800 operatively coupled to several of the components.
  • the computer 800 is coupled to the irradiation source 100 , the detector 400 , the navigation system 500 , the auto-focus system 600 , and the reference detector 700 .
  • the computer 800 is programmed to operate the irradiation source 100 to produce at least a first beam having a first wavelength and preferably to also produce a second beam having a second wavelength, as described above.
  • the computer 800 can also control the source 100 to control the output intensity of the beam.
  • the computer 800 further includes modules to operate the navigation system 500 and auto-focus system 600 to accurately position the focus area of the convergent beam 310 at a desired location on the wafer W and in precise focus.
  • the computer 800 further includes a computer-operable medium for processing the measured radiation distribution to provide an evaluation of the microstructure on the workpiece W.
  • the computer 800 can include a database having a plurality of simulated radiation distributions corresponding to known parameters of the microstructure.
  • the computer 800 can include computer-operable media to process the measured radiation distribution in conjunction with the database of simulated radiation distributions in a manner that selects the simulated radiation distribution that best fits the measured radiation distribution. Based upon the selected simulated radiation distribution, the computer stores and/or presents the parameters of the microstructure corresponding to those of the simulated radiation distribution, or an extrapolation or interpolation of such parameters.
  • the computer 800 can scan or otherwise acquire data from pixels of the detector only where there is a high sensitivity to changes in the parameter(s). Such a selective input to the computer reduces the amount of data and increases the quality of the data for processing in the computer 800 .
  • Several aspects of the computer 800 and methods for processing the measured radiation distribution are set forth below in greater detail with reference to Section G.
  • the scatterometer 10 can have several different embodiments of optics assemblies and lens assemblies for optimizing the scatterometer for use with specific types of microstructures.
  • the object lens assembly 300 can be achromatic to accommodate a plurality of beams at different wavelengths, or it can have a plurality of individual assemblies of lenses that are each optimized for a specific wavelength. Such individual lens assemblies can be mounted on a turret that rotates each lens assembly in the path of the beam according to the wavelength of the particular beam, or such lenses may be mounted in separate, fixed positions that correspond to the incident beam paths of the respective wavelengths. In either case, the object lens assembly 300 is useful for applications that use different wavelengths of radiation to obtain information regarding the radiation returning from the workpiece.
  • the object lens assembly 300 can also include reflective lenses that are useful for laser beams in the UV spectrum. Certain types of glass may filter UV radiation. As such, when the beam has a short wavelength in the UV spectrum, the object lens assembly 300 and other optic members can be formed from reflective materials that reflect the UV radiation. In another embodiment, the first optics assembly 210 or the object lens assembly 300 may have a polarizing lens that polarizes the radiation for the convergent beam 310 ( FIG. 1 ).
  • FIG. 2 illustrates one embodiment of the convergent beam 310 explained above with reference to FIG. 1 formed by an embodiment of the object lens assembly 300 .
  • the convergent beam 310 illustrated in FIG. 2 has a frusto-conical configuration that results in a focus area S.
  • the focus area S is smaller than the area of the microstructure under evaluation, but it generally covers at least 8-10 of the periodic structures of the microfeature. In several particular applications for the semiconductor industry, the focus area S is approximately 10-40 ⁇ m in diameter, and more preferably approximately 20-30 ⁇ m in diameter.
  • the focus area S is not limited to these ranges in other embodiments.
  • the focus area S may not necessarily be circular, and thus the convergent beam 310 is typically configured such that the focus area S has a maximum dimension less than 30 ⁇ m (e.g., approximately 50 nm to approximately 30 ⁇ m).
  • the convergent beam 310 simultaneously illuminates a microfeature M through a wide range of incidence angles having large ranges of altitude angles ⁇ and azimuth angles ⁇ . Each incidence angle has an altitude angle ⁇ and an azimuth angle ⁇ .
  • the object lens assembly is generally configured to focus the beam to an area at the object focal plane through at least (a) a 15° range of altitude angles and (b) a 90° range of azimuth angles simultaneously.
  • the incidence angles can be simultaneously focused through altitude angles ⁇ of 0° to at least 45°, and more preferably from 0° to greater than 70° (e.g., 0° to 88°), and azimuth angles ⁇ of 0° to greater than approximately 90°, and more preferably throughout the entire range of 0° to 360°.
  • the object lens assembly 300 can form a conical beam having a large range of incidence angles ( ⁇ , ⁇ ) to capture a significant amount of data in a single measurement of the workpiece W. This is expected to enhance the utility and throughput of scatterometry for measuring critical dimensions in submicron microstructures in real time and in-situ in a process tool.
  • FIG. 3 is a schematic diagram illustrating a specific embodiment of the optical system 200 in accordance with the invention.
  • the first optics assembly 210 includes a beam conditioner 214 that produces a conditioned beam 212 including diffused and randomized radiation.
  • the beam conditioner 214 can be a fiber optic line that transmits the beam from the irradiation source (not shown in FIG. 3 ) and an actuator that moves the fiber optic line to randomize the laser beam.
  • the actuator can move the beam conditioner 214 in such a way that it does not repeat its movement over successive iterations to effectively randomize the radiation.
  • the beam conditioner 214 can further include or alternatively be an order sorter for removing undesired diffraction orders from the output.
  • the beam conditioner 214 may form a conditioned beam that provides a limited input to the object lens assembly 300 so that only a single, specific diffraction illuminates pre-selected parts of the detector.
  • the beam conditioner 214 may include a carousel of apertures placed at the input of the optical system 200 so that different input apertures may be selected according to the desired diffraction order of the conditioned beam 212 .
  • the first optics assembly 210 can further include a field stop 216 and an illumination lens 218 .
  • the field stop 216 is positioned in the first focal plane of the illumination lens 218 , and the field stop 216 can have an aperture in a desired shape to influence the spot size and spot shape in conjunction with the illumination lens 218 .
  • the illumination lens 218 collimates the radiation for presentation to the object lens assembly 300 .
  • the embodiment of the object lens 300 illustrated in FIG. 3 can include a plurality of separate lenses.
  • the object lens assembly 300 can include a divergent lens 302 , a first convergent lens 304 , and a second convergent lens 306 .
  • the first convergent lens 304 can have a first maximum convergence angle
  • the second convergent lens 306 can have a second maximum convergence angle (see FIG. 4 ).
  • the object lens assembly 300 (a) focuses the conditioned beam 212 to form the convergent beam 310 and (b) presents the return radiation from the workpiece W on the second focal plane 340 .
  • the location of the second focal plane 340 depends upon the particular configurations of the lenses 302 , 304 and 306 .
  • the second focal plane 340 is shown as coinciding with the location of the first convergent lens 304 .
  • the object lens assembly 300 is configured such that the angle ( ⁇ x , ⁇ y ) of rays within the convergent beam 310 will pass through corresponding points (x, y) in the second focal plane 340 .
  • the angle ( ⁇ x , ⁇ y ) of rays within the convergent beam 310 will pass through corresponding points (x, y) in the second focal plane 340 .
  • radiation passing through any given point (x, y) in the second focal plane 340 toward the workpiece W will pass through the object focal plane 320 at a particular corresponding angle ( ⁇ x , ⁇ y ), and similarly radiation reflecting from the object focal plane 320 at a particular angle ( ⁇ x , ⁇ y ) will pass through a unique point (x, y) on the second focal plane 340 .
  • the reflected radiation passing through the second focal plane 340 propagates to the beam splitter 220 where it is directed toward the second optics assembly 230 .
  • the second optics assembly 230 includes a relay lens 232 , an output beam splitter 234 , and an image-forming lens 236 .
  • the relay lens 232 and output beam splitter 234 present the reflected and/or diffracted radiation (i.e., return radiation) from the beam splitter 220 to the image-forming lens 236 , and the image-forming lens 236 “maps” the angular distribution of reflectance and/or diffraction (i.e., the radiation distribution) from the second focal plane 340 to the imaging array of the detector 400 .
  • the image-forming lens 236 preferably presents the image to the detector 400 such that the pixels of the imager in the detector 400 can be mapped to corresponding areas in the second focal plane 340 .
  • the second optics assembly 230 can further include a polarizing beam splitter 238 to separate the return radiation into the p- and s-polarized components.
  • the polarizing beam splitter 238 is positioned between the output beam splitter 234 and the image-forming lens 236 .
  • the beam splitter 238 is positioned at a conjugate of the focal spot on the wafer along a path between the image-forming lens 236 and the detector 400 (shown in dashed lines).
  • the polarizing beam splitter 238 can be located between the relay lens 232 and the output beam splitter 234 (shown in dotted lines).
  • the polarizing beam splitter 238 is generally located to maintain or improve the spatial resolution of the original image of the focal spot on the workpiece.
  • the location of the polarizing beam splitter 238 can also be selected to minimize the alteration to the original optical path. It is expected that the locations along the optical path between the relay lens 232 and the image-forming lens 236 will be the desired locations for the polarizing beam splitter 238 .
  • the polarizing beam splitter 238 provides the separate p- and s-polarized components of the return radiation to improve the calibration of the scatterometer 10 and/or provide additional data for determining the parameter(s) of the microfeature on the workpiece. For example, because the optics may perturb the polarization of the input and output radiation, the polarizing beam splitter 238 provides the individual p- and s-polarized components over the large range of incidence angles. The individual p- and s-polarized components obtained in this system can accordingly be used to calibrate the scatterometer 10 to compensate for such perturbations caused by the optical elements. Additionally, the p- and s-polarized components can be used for obtaining additional data that can enhance the precision and accuracy of processing the data.
  • FIG. 3B is a schematic view of a cube-type polarizing beam splitter for use in the scatterometer 10 shown in FIGS. 2 and 3 A.
  • the cube-type polarizing beam splitter 238 receives a return radiation beam 239 and splits it into a p-polarized component beam 239 a and an s-polarized component beam 239 b .
  • the cube-type polarizing beam splitter 238 can be a crystal with birefringence properties, such as calcite, KDP or quartz.
  • the p- and s-polarized component beams 239 a - b exit from the cube-type polarizing beam splitter 238 along at least substantially parallel paths.
  • the p- and s-polarized beams 239 a and 239 b are also spaced apart from each other such that they form separate images on the detector 400 .
  • the size of the polarizing beam splitter 238 can be increased. For example, as shown in dashed lines in FIG. 3B , a larger polarizing beam splitter 238 results in at least substantially parallel p- and s-polarized component beams 239 a - b that are spaced apart from each another by a larger distance than the polarizing beam splitter 238 shown in solid lines 238 .
  • polarizing beam splitters can alter the p- and s-polarized beams, and thus the size of polarizing beam splitter 238 is generally limited.
  • the individual p- and s-polarized component beams 239 a - b impinge upon pixels of the detector 400 in a manner that they can be mapped to corresponding areas in the second focal plane 340 shown in FIG. 3A .
  • FIGS. 3 A-B use a single camera in the detector 400 to simultaneously measure both of the p- and s-polarized components of the return radiation 239 .
  • This system eliminates the problems of properly calibrating two separate cameras and registering the images from two separate cameras to process the data from the p- and s-polarized components.
  • This system also eliminates the problems associated with serially polarizing the return radiation beam using a mechanically operated device because the polarizing beam splitter 238 can be fixed relative to the return beam 239 and the detector 400 .
  • Another aspect of several embodiments of the optics is that a sine relationship or another suitable relationship is maintained between the pixels on the image sensor and the altitude angles of the beam. This allows a linear relationship between pixels on the image sensor and altitude angles. As such, the optics enable good sampling of the return radiation even at the peripheral regions of an image sensor.
  • the detector 400 can have several different embodiments depending upon the particular application.
  • the detector is a two-dimensional array of sensors, such as a CCD array, a CMOS imager array, or another suitable type of “camera” or energy sensor that can measure the intensity, color or other property of the scattered radiation from the workpiece W corresponding to the distribution at the second focal plane 340 .
  • the detector 400 is preferably a CMOS imager because it is possible to read data from only selected pixels with high repeatability instead of having to read data from an entire frame. This enables localized or selected data reading, which is expected to (a) reduce the amount of data that needs to be processed and (b) eliminate data that does not have a meaningful contrast. Additional aspects of using CMOS images for image processing are described in more detail below.
  • the p- or s-polarized components can be measured with a single CMOS imager to determine certain characteristics that are otherwise undetectable from non-polarized light. As such, using a CMOS imager and polarizing the reflected radiation can optimize the response to increase the resolution and accuracy of the scatterometer 10 .
  • FIG. 3C is a schematic view showing a CMOS imager assembly for use in the detector 400 in accordance with an embodiment of the invention.
  • the CMOS imager assembly includes a die 410 having an image sensor 412 , focal optics 420 , and packaging 430 defining an enclosed compartment 432 between the die 410 and the focal optics 420 .
  • the focal optics 420 typically have curved surfaces or other configurations such that they are not merely a plate having parallel, flat surfaces.
  • the CMOS imager assembly does not have a glass cover or other optical member with parallel, flat surfaces between the image sensor 412 and the focal optics 420 . As such, the CMOS imager assembly illustrated in FIG.
  • the polarizing beam splitter 238 is just upstream of the CMOS imager assembly 400 relative to the return radiation beam 239 .
  • the CMOS imager assembly 400 illustrated in FIG. 3C is expected to provide several advantages for use in scatterometers.
  • the lack of a cover or other flat optical member between the image sensor 412 and the focal optics 420 is expected to reduce perturbations in the return radiation beam 239 at the image sensor 412 .
  • a glass member with parallel, flat surfaces between the focal optics 420 and the image sensor 412 can alter the return radiation just before it reaches the image sensor 412 .
  • the CMOS imager assembly illustrated in FIG. 3C is expected to eliminate distortion or interference caused by a glass member with parallel surfaces.
  • the navigation system 500 accurately aligns the beam 310 with a desired area on the workpiece W, and the auto-focus system 600 adjusts the object lens assembly 300 or workpiece site 510 so that the object focal plane 320 is at the microstructure.
  • the navigation system 500 has a separate illumination source, lens and measurement optics for determining the precise location of the microstructure on the workpiece W.
  • the light source of the navigation system 500 can be a LED, and the lens and optics can be a two-stage system having low and high magnifications. The low magnification stage identifies the general area on the wafer where the microstructure is located, and the high magnification stage refines the location.
  • the navigation system 500 can include additional relay optics introduced to image the surface directly through the object lens assembly 300 .
  • the auto-focus system 600 can be a camera correlation focus system having a dihedral mirror that simultaneously splits the illumination pupil in two and redirects the light from the two halves of the dihedral mirror to different sections of a CCD array. The displacement between the two images is used to automatically determine the focus.
  • a field stop can be incorporated to prevent overlap of the two images on the focus camera. The field stop is included in the illumination beam of the microscope of the auto-focus system.
  • FIG. 4 is a schematic illustration of an embodiment of the navigation system 500 and auto-focus system 600 for use in the scatterometer.
  • the navigation system 500 can have a high magnification system associated with the metrology system.
  • the high magnification system includes a light source 550 , such as an LED, that injects light via a beam splitter 552 and is focused on the second focal plane by a relay lens 553 via beam splitter 240 . This light illuminates the workpiece and is reflected back through the object lens assembly 300 .
  • the reflected light is directed by beam splitter 220 and through lenses 232 and 554 to camera 560 .
  • the lenses 232 and 554 form an image of the microstructure on the camera 560 .
  • the auto-focus system 600 in this embodiment shares the relay lens 553 and the beam splitter 552 with the navigation system.
  • the beam splitter 552 directs a beam 620 to a dihedral mirror 630 , an image lens 632 , and a steering mirror 634 .
  • the first beam 620 is then received by an auto-focus detector 640 , such as a CCD array or other type of camera.
  • the calibration system is used to monitor the properties of the initial beam 102 ( FIG. 1 ) and calibrate the system efficiency for accurately detecting the radiation distribution.
  • the beam properties are monitored by a reference detector 700 that receives a portion of the beam 102 in real time. As the beam fluctuates, the reference detector 700 detects the changes in the beam 102 and sends a signal to the computer 800 .
  • the computer 800 accordingly normalizes and/or performs other computational operations to the measured intensities, or it adjusts the measured radiation distribution by the variances in the intensity of the initial beam 102 , to compensate for small changes in the beam 102 .
  • the computer 800 continuously receives signals from the reference detector 700 to maintain the accuracy of the system in real time. This is expected to significantly enhance the accuracy and precision with which the scatterometer 10 can evaluate extremely small features in microstructures.
  • the calibration system can also include a calibration unit, such as the calibration unit 704 ( FIG. 1 ) with one or more calibration members, for providing photometric calibration of the system.
  • the first calibration member 710 can be a highly reflective mirror having a reflectance greater than 95%, and more preferably a reflectance of approximately 99.99%.
  • the first calibration member 710 can be configured to have a consistent reflectance through a wide range of altitude angles.
  • the second calibration member 720 can be black glass having a low reflectance (e.g., 0% to 10%).
  • the detector 400 is calibrated by measuring the reflectance of the beam from the first calibration member 710 and from the second calibration member 720 to provide two data points corresponding to the known 99.99% reflectance of the first calibration member 710 and the known 0% reflectance of the second calibration member 720 . Using these two data points, a straight line can be obtained to provide a reference reflectance of the detector 400 .
  • the second calibration member 720 is not included or the second calibration member can be free space such that there is no reflectance of the illumination radiation.
  • the scatterometer 10 is calibrated by obtaining a first reflectivity from the first calibration member 710 and a second reflectivity from an area separate from the first calibration member 710 .
  • the second reflectivity is obtained from free space, there is approximately zero percent reflectance such that a straight line can be obtained from these two measurements to provide a reference reflectance of the detector 400 .
  • the scatterometer can be calibrated further using several different methods. For example, a known grating with a known radiation distribution can be measured using the scatterometer 10 to determine whether the detector 400 accurately produces a representation of the radiation distribution. In another embodiment, a thin film having a known thickness can be irradiated to determine whether the detector 400 provides an accurate representation of the radiation distribution from such a thin film. Both of these techniques can also be combined for yet another calibration method.
  • the computer 800 can use several different processes for determining one or more parameters of the microstructure based on the measured radiation distribution from the detector 400 .
  • the computer 800 compares the measured radiation distribution with one or more simulated radiation distributions corresponding to selected parameters of the features and materials of the microstructure (e.g., height, width, line edge roughness, roundness of edge corners, spacing, film thickness, refraction index, reflection index, and/or other physical properties). Based on the comparison, the computer 800 then stores and/or provides an output of one or more parameters of the microstructure.
  • FIG. 5A is an image illustrating a simulated radiation distribution 810 having a first interference pattern 812 including a plurality of thin arcs, a second interference pattern 814 including a plurality of different arcs, and a third interference pattern 816 in a configuration of a “bulls-eye.”
  • the first interference pattern 812 can correspond to the specular reflections
  • the second interference pattern 814 can correspond to higher order diffractions
  • the third interference pattern 816 can correspond to the film thickness.
  • the symmetry of the image can also be assessed to provide additional information regarding the microstructure. For example, in overlay applications, asymmetry in the image can be used to evaluate the skew between overlay structures (e.g., the extent of misregistration).
  • Another example of using the image symmetry is determining the asymmetry of sidewall angles of grating lines.
  • the interference patterns of the simulated radiation distribution 810 are unique to each set of feature parameters, and thus changing one or more of the feature parameters will produce a different simulated radiation distribution.
  • FIG. 5B is an image of a measured radiation distribution 820 of an actual microstructure on a workpiece.
  • the measured radiation distribution 820 includes a corresponding first interference pattern 822 , a second interference pattern 824 , and a third interference pattern 826 .
  • the computer 800 ascertains the parameters of the microstructure by selecting and/or determining a simulated radiation distribution 810 that best fits the measured radiation distribution 820 .
  • FIG. 6 illustrates one embodiment for ascertaining the feature parameters of the microstructure.
  • the computer 800 includes a database 830 including a large number of predetermined simulated reference radiation distributions 832 corresponding to different sets of feature parameters.
  • the computer 800 further includes a computer-operable medium 840 that contains instructions that cause the computer 800 to select a simulated radiation distribution 832 from the database 830 that adequately fits a measured radiation distribution 850 within a desired tolerance.
  • the computer-operable medium 840 can be software and/or hardware that evaluates the fit between the stored simulated radiation distributions 832 and the measured radiation distribution 850 in a manner that quickly selects the simulated radiation distribution 832 having the best fit with the measured radiation distribution 850 or at least having an adequate fit within a predetermined tolerance.
  • the computer 800 can extrapolate or interpolate between the simulated distributions. Once the computer has selected a simulated radiation distribution with an adequate fit or the best fit, the computer selects the feature parameters associated with the selected simulated distribution.
  • the computer calculates a simulated radiation distribution and performs a regression optimization to best fit the measured radiation distribution with the simulated radiation distribution in real time.
  • regressions are widely used, they are time consuming and they may not reach a desired result because the regression may not converge to within a desired tolerance.
  • the computer 800 may perform further processing or different processing such as finite element models for evaluating non-periodic or pseudo-periodic structures.
  • the computer 800 may also be able to solve for the refraction index and reflectivity index of the particular materials by determining the film thickness. Therefore, the enhanced data in the measured radiation distribution enables the computer 800 to more accurately determine the feature parameters of the microstructure and may enable more feature structures to be monitored (e.g., line edge roughness, refraction index, reflectivity index, etc.).
  • FIG. 7 is a flow chart showing a method 900 for ascertaining one or more parameters of a microstructure using the computer 800 in accordance with another embodiment of the invention.
  • the method 900 includes a first stage 910 comprising irradiating a microstructure on a workpiece by passing a beam through an object lens assembly that simultaneously focuses the beam to a focus area at an object plane through a large number of incidence angles.
  • the beam for example, can be focused simultaneously through angles of incidence having altitude angles of 0° to at least 45° and azimuth angles of 0° to greater than 90°.
  • the method 900 further includes a second stage 920 comprising obtaining an actual radiation distribution of scattered light or other radiation returning from the microstructure through the angles of incidence.
  • the actual radiation distribution can be obtained using an array of addressable pixels that can be scanned or read individually.
  • the method 900 further includes a third stage 930 comprising acquiring a measured selected radiation distribution by reading data from selected pixels in the array that have sufficient sensitivity to changes in the parameter based upon a predetermined sensitivity record, and a forth stage 940 comprising fitting the measured selected radiation distribution to simulated or modeled selected radiation distributions corresponding to the selected pixels to determine a value of the parameter.
  • the method 900 is expected to be particularly useful because selected areas of the pixel array with high sensitivity to changes in the measured parameter can be scanned, and then this smaller amount of data that is more sensitive to changes in the measured parameter can be fitted to modeled distributions.
  • the first stage 910 of the method 900 can be performed using a scatterometer as described and shown above with reference to FIGS. 1-4 .
  • an input beam can be passed through an object lens assembly that forms a beam having a large range of incident angles ( ⁇ , ⁇ ) to capture a significant amount of data in a single measurement of the workpiece.
  • the altitude angles ⁇ can be from 0° to approximately 80° to 88° and the azimuth angles ⁇ can be from 0° to 360° as explained above.
  • the second stage 920 of the method 900 can include obtaining the actual radiation distribution of radiation returning from the microstructure through the same angles of incidence as described above with reference to the detector 400 .
  • the detector is preferably a CMOS imager that has an array of addressable pixels in which individual pixels can be independently scanned.
  • the third stage 930 of the method 900 comprises scanning or otherwise acquiring the actual intensity measurements from selected pixels of the array corresponding to angles of incidence that are highly sensitive to changes in the measured parameter(s) based upon a predetermined sensitivity record.
  • the sensitivity record can be a pixel-by-pixel analysis of a plurality of pixels in the array that correspond to individual incidence angles ( ⁇ n , ⁇ n ).
  • model intensities of the return radiation are calculated for different values of the parameter, and then the model intensities are subtracted from each other to determine the magnitudes of the changes in the intensity for the incremental changes in the parameter. Larger intensity changes correspond to pixels and angles of incidence that are more sensitive to changes in the parameter compared to smaller changes in the intensity.
  • FIG. 8 is a flow chart illustrating an embodiment of the third stage 930 for use in the method 900 .
  • the third stage 930 includes identifying a pixel of the array (procedure 932 ), and then calculating model intensities of the return radiation at the pixel that correspond to different values of the parameter (procedure 934 ).
  • This embodiment of the third stage 930 further includes differencing the model intensities (procedure 936 ) to determine the magnitude of change in the intensities corresponding to changes in the parameter.
  • the third stage 930 further includes assigning a sensitivity value (procedure 938 ) to a corresponding pixel.
  • a third stage 930 can be repeated for any number of pixels in a CMOS imager array to develop a predetermined sensitivity record that associates the pixels in the array with the sensitivity to changes in the parameter.
  • FIGS. 9A and 9B graphically illustrate an embodiment of developing a predetermined sensitivity record for a parameter and selecting pixels in the array that have sufficient sensitivity to changes in the parameter for use in the measured selected radiation distribution.
  • FIG. 9A more specifically, shows model intensity distributions for three different values of a parameter (e.g., the critical dimension) through angles of incidence where ⁇ is from 0° to approximately 65° and ⁇ is from 0° to approximately 90°.
  • the intensity distribution 952 corresponds to a first value of the parameter
  • the intensity distribution 954 corresponds to a second value of the parameter
  • the intensity distribution 956 corresponds to a third value of the parameter.
  • FIG. 9B is a sensitivity map 960 illustrating a sensitivity record associating the sensitivity of various incidence angles to changes in the parameter.
  • the sensitivity map is obtained by differencing the model intensities at corresponding incidence angles between the intensity distributions 952 , 954 and 956 shown in FIG. 9A .
  • the sensitivity map 960 shown in FIG. 9B assigns a sensitivity value according to the magnitude of the difference between the model intensity distributions.
  • bright regions indicate angles of incidence that are more sensitive to changes in the parameter and dark regions indicate angles of incidence that are less sensitive to changes in the parameter.
  • the sensitivity values for the angles of incidence can then be associated with the pixels in the CMOS imager array corresponding to the angles of incidence.
  • the sensitivity map 960 which is a graphical representation of the sensitivity record, provides an indication of the angles of incidence that may provide the most valuable data corresponding to changes in the parameter. Additionally, because specific pixels of a CMOS imager can be scanned or read individually, one aspect of the method 900 is determining which pixels in the CMOS imager array have high sensitivities from which the measured intensity values can be acquired (e.g., scanned).
  • FIG. 9C illustrates one embodiment of selecting pixels in the array that have sufficient sensitivity to changes in the parameter based upon the predetermined sensitivity record or sensitivity map.
  • pixels in the CMOS imager array corresponding to angles of incidence in the bright region 962 are expected to provide good data because this area indicates a region of high sensitivity, and pixels associated with angles of incidence in the dark region 964 are likely to have low sensitivity to changes in the parameter.
  • the measured selected radiation distribution can be acquired by reading data from the pixels in the array corresponding to angles of incidence that have a high sensitivity to changes in the parameter based on the predetermined sensitivity record.
  • the measured selected radiation distribution from such pixels is compared to modeled radiation distributions from the same angles of incidence until the measured selected radiation distribution adequately fits with one of the modeled selected radiation distributions. At this point, a value of the parameter is determined according to the corresponding best fit of the modeled selected radiation distribution.
  • the method 900 can be applied to applications in which several parameters of the microfeature are to be assessed using scatterometry.
  • multi-parameter applications separate sensitivity records are established for individual parameters by varying the parameter of interest while keeping the other parameters constant.
  • the regions of the CMOS imager array that are scanned can then be determined by selecting the sets of pixels corresponding to high sensitivity values for the parameters that are to be assessed using the scatterometer.
  • the sensitivity record can be used to optimize the scan path to retrieve data from only the pixels that have sufficient sensitivity, and to also fit the data from the selected pixels to corresponding models in a library. This is expected to significantly reduce the simulation times for arriving at a value of one or more parameters because it reduces the number of ( ⁇ , ⁇ ) combinations that need to be stored in a library and used to fit the measured selected radiation distribution to a modeled selected radiation distribution.
  • the system is also expected to increase the acquisition rate because only a portion of the pixels in the CMOS imager need to be scanned for each measurement. This provides more measurements in a given exposure period, which can lead to better averaging and lower signal-to-noise ratios.
  • This method is also expected to enhance the precision (e.g., repeatability) because it uses only data from highly sensitive pixels. Additionally, this method is useful because it is possible to overlay known pixel noise for a particular CMOS imager array with the sensitivity array such that pixels with high sensitivities caused by noise can be eliminated from the measurements. Therefore, such an image fitting procedure that scans only selected pixels of a CMOS imager array with high sensitivity values is expected to significantly improve the computational analysis for determining values of parameters in scatterometry.

Abstract

Scatterometers and methods of using scatterometry to determine several parameters of periodic microstructures, pseudo-periodic structures, and other very small structures having features sizes as small as 100 nm or less. Several specific embodiments of the present Invention are particularly useful in the semiconductor industry to determine the width, depth, line edge roughness, wall angle, film thickness, and many other parameters of the features formed in microprocessors, memory devices, and other semiconductor devices. The scatterometers and methods of the invention, however, are not limited to semiconductor applications and can be applied equally well in other applications.

Description

    CROSS REFERENCE OF RELATED APPLICATION
  • The present application claims the benefit of U.S. Application No. 60/656,712, filed on Feb. 25, 2005, which is incorporated by reference herein.
  • TECHNICAL FIELD
  • The present invention is related to evaluating microstructures on workpieces, such as semiconductor wafers, using apparatus and methods that can obtain a representation of the distribution of radiation returning from the workpiece through a large range of angles of incidence.
  • BACKGROUND
  • Semiconductor devices and other microelectronic devices are typically manufactured on a workpiece having a large number of individual dies (e.g., chips). Each wafer undergoes several different procedures to construct the switches, capacitors, conductive interconnects and other components of a device. For example, a workpiece can be processed using lithography, implanting, etching, deposition, planarization, annealing, and other procedures that are repeated to construct a high density of features. One aspect of manufacturing microelectronic devices is evaluating the workpieces to ensure that the microstructures are within the desired specifications.
  • Scatterometry is one technique for evaluating several parameters of microstructures. With respect to semiconductor devices, scatterometry is used to evaluate film thickness, line spacing, trench depth, trench width, and other aspects of microstructures. Many semiconductor wafers, for example, include gratings in the scribe lanes between the individual dies to provide a periodic structure that can be evaluated using existing scatterometry equipment. One existing scatterometry process includes illuminating such periodic structures on a workpiece and obtaining a representation of the scattered radiation returning from the periodic structure. The representation of return radiation is then analyzed to estimate one or more parameters of the microstructure. Several different scatterometers and methods have been developed for evaluating different aspects of microstructures and/or films on different types of substrates.
  • Eldim Corporation of France manufactures devices that measure the photometric and calorimetric characteristics of substrates used in flat panel displays and other products. The Eldim devices use an Optical Fourier Transform (OFT) instrument having an illumination source, a beam splitter aligned with the illumination source, and a first lens between the beam splitter and the sample. The first lens focuses the light from the beam splitter to a spot size on the wafer throughout a large range of angles of incidence (e.g., Φ=0° to 360° and Θ=0° to 88°). The light reflects from the sample, and the first lens also focuses the reflected light in another plane. The system further includes an optical relay system to receive the reflected light and a sensor array to image the reflected light. International Publication No. WO 2005/026707 and U.S. Pat. Nos. 6,804,001; 6,556,284; 5,880,845; and 5,703,686 disclose various generations of scatterometers. The scatterometers set forth in these patents are useful for assessing the photometric and calorimetric properties of flat panel displays, but they may have several drawbacks for assessing parameters of extremely small microstructures on microelectronic workpieces.
  • One challenge of scatterometry is properly locating very small microstructures on a workpiece. This is not particularly difficult for analyzing the pixels of a flat panel display because measuring the photometric and colorimetric properties of such substrates merely requires locating the illumination spot on relatively large pixel areas instead of very small periodic structures. As a result, systems used to analyze flat panel displays may not include navigation systems capable of locating very small microstructures on the order of 20-40 μm. Moreover, the devices used to analyze flat panel displays may have relatively large spot sizes that are not useful to measure the properties of a 20-40 μm grating because such large spot sizes generate reflections from the surrounding areas that result in excessive noise. Therefore, devices designed for assessing flat panel displays may not be well-suited for assessing gratings or other microstructures having much smaller dimensions on microelectronic workpieces.
  • Another challenge of using scatterometry to evaluate very small microstructures is obtaining a useful representation of the radiation returning from such microstructures. Existing scatterometers that assess the films and surface conditions of flat panel displays typically use relatively long wavelengths of light (e.g., 532 nm). In contrast to flat panel displays, many microstructures on semiconductor wafers have line widths smaller than 70 nm, and such microstructures are continually getting smaller and being packed in higher densities. As a result, the relatively long wavelengths used to assess flat panel displays may not be capable of assessing very small microstructures on many microelectronic devices. Therefore, devices used for assessing flat panel displays may be further inadequate for assessing the properties of microstructures on microelectronic workpieces.
  • Another challenge of assessing microstructures using scatterometry is processing the data in the representation of the return radiation. Many scatterometers calculate simulated or modeled representations of the return radiation and then use an optimization regression to optimize the fit between the simulated representations and an actual reflectance signal. Such optimization regressions require a significant amount of processing time using high-power computers because the actual reflectance signals for measurements through a large range of incidence angles contain a significant amount of data that is affected by a large number of variables. The computational time, for example, can require several minutes such that the substrates are typically evaluated offline instead of being evaluated in-situ within a process tool. Therefore, many conventional scatterometers may not be well-suited for evaluating microstructures on microelectronic workpieces.
  • Yet another challenge of assessing microstructures using scatterometry is calibrating the scatterometer. One difficulty of calibrating scatterometers is that the return radiation can have both p- and s-polarized components when the input path is off-axis relative to the microfeature (e.g., a grating). This increases the complexity of fitting the output to a model because the p- and s-polarized components must be treated separately. This is also challenging because the p- and s-polarized components change for each off-axis azimuth angle, and thus proper calibration requires measurements and calculations for several different azimuth angles in more sophisticated applications.
  • Calibrating scatterometers that operate over a large number of azimuth angles is also difficult because it is challenging to measure the p- and s-polarized components. One existing system for measuring p- and s-polarized components is a two-camera system that splits the output beam into separate p- and s-polarized beams which propagate at a non-parallel angle relative to each other. Such systems have one camera to detect the p-polarized component and another camera to detect the s-polarized component. The use of two cameras, however, is undesirable because the additional camera increases the cost and form factor of the scatterometer. This may prevent such two-camera scatterometers from fitting into many integrated tool sets where metrology is desired. Additionally, it is time-consuming to calibrate two cameras because of the additional camera and compensating for the inherent variations in the cameras. Such two-camera systems are also undesirable because the separate images must be registered and integrated with each other to produce a meaningful result. This is a significant, time-consuming computational procedure. Another system for measuring the p- and s-polarized components uses a single camera and a polarizer that alternates between the p- and s-polarized components. This system may have problems because the serial presentation of the p- and s-polarized components to the detector requires more time to obtain the measurements. Moreover, the polarizer is a mechanical device that moves between p- and s-polarizing states, and as such it may lack the precision and accuracy to obtain meaningful measurements. Such mechanical devices may wear out and further denigrate the precision and accuracy of the calibration. Therefore, obtaining images of p- and s-polarized components for calibrating scatterometers or other uses presents a significant challenge in scatterometry.
  • Still another challenge of scatterometry is noise or inconsequential data in the measurements. In systems that are able to simultaneously obtain measurements through a large range of altitude and azimuth angles, the data in many areas of the resulting image may not be meaningful. Therefore, there is a need to improve the process of operating scatterometers that simultaneously obtain measurements for a large range of altitude and azimuth angles.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic view illustrating a scatterometer in accordance with an embodiment of the invention.
  • FIG. 2 is a schematic isometric view illustrating a portion of a three-dimensional convergence beam for irradiating microstructures on a workpiece in accordance with an embodiment of the invention.
  • FIG. 3A is a schematic view illustrating an optical system for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 3B is a schematic view of a cube-type polarizing beam splitter for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 3C is a schematic view of a CMOS imager for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 4 is a schematic view illustrating an optical system and an auto-focus system for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 5A is a simulated radiation distribution for use in a scatterometer in accordance with an embodiment of the invention.
  • FIG. 5B is a measured radiation distribution provide by a scatterometer in accordance with an embodiment of the invention.
  • FIG. 6 is a schematic view illustrating a portion of a computer system and a computational method for ascertaining parameters of microstructures using a scatterometer in accordance with an embodiment of the invention.
  • FIG. 7 is a flow chart illustrating a method for determining one or more parameters of a microfeature using a scatterometer in accordance with an embodiment of the invention.
  • FIG. 8 is a flow chart of a procedure for developing a predetermined sensitivity record used in a method for assessing a parameter of a microfeature on a workpiece in accordance with an embodiment of the invention.
  • FIGS. 9A-9C are images illustrating additional aspects of developing a predetermined sensitivity record, acquiring a measured selected radiation distribution, and fitting the measured selected radiation distribution to a modeled selected radiation distribution.
  • DETAILED DESCRIPTION
  • A. Overview
  • The present invention is directed toward evaluating microstructures on microelectronic workpieces and other types of substrates. Many applications of the present invention are directed toward scatterometers and methods of using scatterometry to determine several parameters of periodic microstructures, pseudo-periodic structures, and other very small structures having features sizes as small as 100 nm or less. Several specific embodiments of the present invention are particularly useful in the semiconductor industry to determine the width, depth, line edge roughness, wall angle, film thickness, and many other parameters of the features formed in microprocessors, memory devices, and other semiconductor devices. The scatterometers and methods of the invention, however, are not limited to semiconductor applications and can be applied equally well in other applications.
  • One embodiment of the invention is directed toward a scatterometer for evaluating microstructures on workpieces. In this embodiment, the scatterometer comprises an irradiation source, a first optics assembly, and an object lens assembly. The irradiation source can be a laser that produces a first beam of radiation at a wavelength. The first optics assembly is aligned with the path of the beam and configured to condition the beam (e.g., shape, randomize, select order, diffuse, converge, diverge, collimate, etc.), and the object lens assembly is positioned between the first optics assembly and a workpiece site. The object lens assembly is configured to focus the conditioned beam to a spot at an object focal plane. The object lens assembly or another optical assembly of the scatterometer is also configured to (a) receive radiation scattered from a workpiece and (b) present a distribution of the scattered radiation at a second focal plane. For example, the radiation distribution can be the intensity, polarimetric, ellipsometric and/or reflectance distribution of the scattered radiation. The scatterometer of this embodiment can further include a detector, a navigation system, and an auto-focus system. The detector is positioned to receive at least a portion of the radiation distribution and configured to produce a representation of the radiation distribution. The navigation system is operatively coupled to the lens assembly or a support structure holding the workpiece, and it is configured to identify and locate the desired microstructure on the workpiece. The auto-focus system is operatively coupled to one of the lens assembly or the workpiece site, and it is configured to position the microstructure at a desired focal position (e.g., the object focal plane).
  • Another embodiment of a scatterometer in accordance with the invention comprises a laser configured to produce a beam of radiation having a first wavelength, an optical system having a first optics assembly configured to condition the beam of radiation, and a lens assembly. The lens assembly is configured to focus the beam at an area of an object focal plane or other desired focal plane having a small spot size such that the beam has angles of incidence through a range of altitude angles of at least approximately 0° to 45° and azimuth angles of at least approximately 0° to 90°. The altitude angle (Θ) is the angle between the illumination ray and a reference vector normal to the object focal plane, and the azimuth angle (Φ) is the angle between the incident plane and a reference vector in a plane parallel to the focal plane. The beam more preferably has angles of incidence through altitude angles of 0° to greater than 70° and azimuth angles of 0° to 360°. The scatterometer is further configured to collect and present the radiation scattered from the microstructure at a second focal plane. In one embodiment, the lens assembly itself presents the scattered radiation at the second focal plane, but in other embodiments the optical system has another optic member that presents the radiation distribution at the second focal plane. The scatterometer of this invention further includes a detector positioned to receive the radiation distribution and configured to produce a representation of the radiation distribution. The scatterometer also includes a computer operatively coupled to the detector to receive the representation of the radiation distribution. The computer includes a database and a computer-operable medium. The database has a plurality of simulated radiation distributions corresponding to different sets of parameters of the microstructure. The computer-operable medium contains instructions that cause the computer to identify a simulated radiation distribution that adequately fits the representation of the measured radiation distribution.
  • Another embodiment of the invention is a scatterometer for evaluating a microstructure on a workpiece comprising an irradiation system, an optical system, and a detector. The irradiation system includes a laser and/or a lamp, and the irradiation system is configured to produce a first beam of radiation having a first wavelength and a second beam of radiation having a second wavelength. The optical system has a first unit configured to condition the first and second beams, and a second unit configured to (a) focus the first and second beams at an area of an object focal plane having a small spot size, and (b) present a distribution of scattered radiation returning from a microstructure at a second focal plane. The detector is positioned to receive the radiation distribution, and the detector is configured to produce a representation of the radiation distribution.
  • Another embodiment of a scatterometer in accordance with the invention comprises a laser configured to produce a beam of radiation having a wavelength, an optical system, a detector, a calibration unit, and a computer. The optical system has a first optics assembly configured to condition the beam of radiation such that the beam is a diffuse and randomized beam. The optical system also includes an object lens assembly configured to (a) focus the beam at an area of an object focal plane and (b) present scattered radiation returning from a microstructure in a radiation distribution at a second focal plane. The detector is positioned to receive the radiation distribution of the scattered radiation and configured to produce a representation of the radiation distribution. One embodiment of the calibration unit includes a first calibration member having a first reflectivity of the wavelength and a second calibration member having a second reflectivity different than the first reflectivity. The first and second calibration members are located to be irradiated by the beam during a setup procedure to determine a reference reflectance or other reference radiation distribution. In other embodiments, the second calibration unit can be eliminated such that the second reflectance is from free space. The computer is operatively coupled to the detector and includes a computer-operable medium that determines the reference reflectance using a first reflectance from the first calibration member and a second reflectance from the second calibration member or free space.
  • Still another embodiment of a scatterometer in accordance with the invention comprises a laser and/or lamp configured to produce a beam of radiation having a wavelength and an optical system. The optical system has a first optics assembly including an object lens assembly configured to focus the beam to an area at an object focal plane and present return radiation scattered from a microstructure in a radiation distribution at a second focal plane. The optical system further includes a second optics assembly having a polarizing beam splitter configured to present separate images of p- and s-polarized components of the return radiation. The scatterometer further includes a detector having a single array positioned to simultaneously receive the separate images of the p- and s-polarized components of the return radiation. The detector is also configured to produce a representation of the p- and s-polarized components of the return radiation.
  • Yet another embodiment of a scatterometer in accordance with the invention comprises a laser and/or lamp configured to produce a beam of radiation having a wavelength and an optical system having a first optics assembly. The first optics assembly includes an object lens assembly configured to focus the beam at an area on an object focal plane and present return radiation scattered from a microstructure in a radiation distribution at a second focal plane. The scatterometer further includes a detector comprising a CMOS imager have a die with an image sensor, focal optics, and packaging that defines an enclosed compartment in which the focal optics and the image sensor are fixed with respect to each other without a cover having parallel, fiat surfaces between the image sensor and the focal optics.
  • The present invention is also directed toward several methods for evaluating a microstructure on a workpiece. One embodiment of such a method comprises generating a laser beam or a beam from a lamp having a wavelength and irradiating a microstructure on a workpiece by passing the beam through a lens assembly that focuses the beam to a focus area at a focal plane. The focus area can have a dimension not greater than 50 μm or in other embodiments approximately at least 10 of the periodic features of the microstructure, and the beam simultaneously has altitude angles of 0° to at least 15° and azimuth angles of 0° to greater than 90°. In several applications, the focus area is not greater than 30 μm, and the altitude angles are 0° to about at least 45°. The altitude angles can be from 0° to at least 70° in other examples. The method further includes detecting an actual radiation distribution corresponding to radiation scattered from the microstructure.
  • In another embodiment of a method in accordance with the invention the procedure of irradiating a microstructure comprises irradiating the focus area with a laser beam having a first wavelength and irradiating the focus area with a laser beam having a second wavelength different than the first wavelength. The first and second wavelengths can be in a range of approximately 200 nm-475 nm, and more specifically a first wavelength can be from 200 nm-300 nm and a second wavelength can be from 375 nm-475 nm. For example, the first wavelength can be approximately 266 nm and the second wavelength can be approximately 405 nm, or in another embodiment the first wavelength can be about 244 nm and the second wavelength about 457 nm. As such, the workpieces can be irradiated with one or more beams having one or more wavelengths less than 500 nm, but longer wavelengths may be used in other embodiments. In particular, a third wavelength of 633 nm may be used. Another aspect in accordance with another embodiment of the invention includes calibrating the detector by providing a first calibration member having a first reflectivity and a second calibration member having a second reflectivity. The system can be calibrated by determining a reference reflectance using a first reflectance from the first calibration member and a second reflectance from the second calibration member. Other embodiments can use only a single calibration member and obtain a second reflectance measurement from free space.
  • In yet another embodiment of a method in accordance with the invention, the magnitude and phase of the scattered radiation is measured by the detection system to perform an ellipsometric style measurement described above. The ellipsometric measurement in this embodiment can be performed by positioning polarizers, wave plates, or other phase modifying optical devices in the incident and/or detection optical system assemblies.
  • In yet another embodiment of a method in accordance with the invention, an automated workpiece transport system is incorporated with the optical system and method to enable automatic high speed measurements across several workpieces without the need for moving or otherwise handling the workpieces manually.
  • In yet another embodiment of a method in accordance with the invention, the optical system maintains a sine relationship between pixels on the image detector and the altitude illumination angle theta. One example of this relationship is such that displacement, x, in the image plane corresponds to angle Θ so that x=F sin Θ, where F is some constant. The advantage to this implementation is that an adequate number of pixels can be sampled throughout the entire image plane. More specifically, for critical sampling of the image plane, the sampling frequency should be twice the highest spatial frequency in the plane. If the highest spatial frequency does not depend on the position in the image plane, then the number of pixels to be averaged (to effectively make a larger pixel of the correct dimensions for critical sampling) does not depend on the position in the image plane. For any other distribution, the number of pixels required to be averaged will depend on position. Thus, unless a sine relationship or another suitable relationship between the pixels on the image sensor and the altitude angle is maintained, then the fixed number of pixels available could result in some regions of the image plane being sampled with fewer than the optimal number of pixels.
  • Various embodiments of the invention are described in this section to provide specific details for a thorough understanding and enabling description of these embodiments. A person skilled in the art, however, will understand that the invention may be practiced without several of these details or additional details can be added to the invention. Well-known structures and functions have not been shown or described in detail to avoid unnecessarily obscuring the description of the embodiments of the invention. Where the context permits, singular or plural terms may also include the plural or singular term, respectively. Moreover, unless the word “or” is expressly limited to mean only a single item exclusive from the other items in reference to a list of two or more items, then the use of “or” in such a list is to be interpreted as including (a) any single item in the list, (b) all of the items in the list, or (c) any combination of items in the list.
  • B. Embodiments of Scatterometers and Methods for Evaluating Microstructures on Workpieces
  • FIG. 1 is a schematic illustration of a scatterometer 10 in accordance with an embodiment of the invention. In this embodiment, the scatterometer 10 includes an irradiation source 100 that generates a beam 102 at a desired wavelength. The irradiation source 100 can be a laser system and/or lamp capable of producing (a) a beam 102 at a single wavelength, (b) a plurality of beams at different wavelengths, or (c) any other output having a single wavelength or a plurality of wavelengths. In many applications directed toward assessing microstructures on semiconductor workpieces, the irradiation source 100 is a laser that produces a beam having a wavelength less than 500 nm, and more preferably in the range of approximately 266 nm-475 nm. For example, the wavelength can be about 375 nm-475 nm, or in some specific examples about 405 nm or 457 nm. In a different embodiment, the irradiation source 100 can include a plurality of different lasers and/or filters to produce a first beam having a first wavelength of approximately 266 nm and a second beam having a second wavelength of approximately 405 nm, or in another embodiment the first beam can have a wavelength of 405 nm and the second beam can have a wavelength of 457 nm. It will be appreciated that the irradiation source 100 can produce additional wavelengths having shorter or longer wavelengths in the UV spectrum, visible spectrum, and/or other suitable spectrum. The irradiation source 100 can further include a fiber optic cable to transmit the beam 102 through a portion of the apparatus.
  • The scatterometer 10 further includes an optical system 200 between the irradiation source 100 and a workpiece W. In one embodiment, the optical system 200 includes a first optics assembly 210 that conditions the beam 102 to form a conditioned beam 212. The first optics assembly 210, for example, can include a beam diffuser/randomizer that diffuses and randomizes the radiation to reduce or eliminate the coherence of the beam 102. The first optics assembly 210 can also include a beam element that shapes the beam to have a desired cross-sectional dimension, shape, and/or convergence-divergence. The beam element, for example, can shape the beam 212 to have a circular, rectilinear, or other suitable cross-sectional shape for presentation to additional optic elements downstream from the first optics assembly 210.
  • The optical system 200 can further include an object lens assembly 300 that focuses the conditioned beam 212 for presentation to the workpiece W and receives radiation reflected from the workpiece W. The object lens assembly 300 is configured to receive the conditioned beam 212 and form a convergent beam 310 focused at a discrete focus area S on a desired focal plane, such as an object focal plane 320. The convergent beam 310 can have a conical shape when the conditioned beam 212 has a circular cross-section, but in other embodiments the convergent beam 310 can have other shapes. For example, when the conditioned beam 212 has a rectilinear cross-section, the convergent beam 310 has a pyramidal shape. As explained in more detail below with reference to Section C, the convergent beam 310 can have a range of incidence angles having altitude angles of 0° to greater than approximately 70° and azimuth angles of 0° to greater than 90° and more preferably 0-360°. The altitude angle is the angle between an incident ray and a reference vector normal to the object focal plane 320, and the azimuth angle is the angle between an incident plane and a reference vector in a plane parallel to the object focal plane 320. The large range of incidence angles generates a large number of unique data points that enable accurate evaluations of several parameters of the microstructure.
  • The focus area at the object focal plane 320 preferably has a size and shape suitable for evaluating the particular microstructure. For example, when the microstructure is a grating or other structure on a workpiece having a maximum dimension of approximately 10-40 μm, then the focus area is also approximately 10-40 μm. The size of the focal area is preferably not greater than the size of the microstructure so that the radiation does not reflect from features outside of the particular microstructure. In many applications, therefore, the object lens assembly 300 is configured to produce a spot size generally less than 40 μm, and more preferably not greater than 30 μm. The scatterometer 10 can have larger focus areas in other embodiments directed to assessing larger structures.
  • The object lens assembly 300 is further configured to collect the scattered radiation reflecting or otherwise returning from the workpiece W and present the scattered radiation on a second focal plane 340. The object lens assembly 300, more particularly, presents the scattered radiation in a manner that provides a radiation distribution of the scattered radiation at the second focal plane 340. In one embodiment, the object lens assembly 300 directs the scattered radiation coming at particular angles from the object focal plane 320 to corresponding points on the second focal plane 340. Additional aspects of specific embodiments of the object lens assembly 300 are further described below with reference to Section C.
  • The optical system 200 can further include a beam splitter 220 through which the conditioned beam 212 can pass to the object lens assembly 300 and from which a portion of the return beam propagating away from the second focal plane 340 is split and redirected. The optical system 200 can optionally include a second optics assembly 230 that receives the split portion of the return beam from the beam splitter 220. The second optics assembly 230 is configured to prepare the return beam for imaging by an imaging device. Additional aspects of specific embodiments of the second optics assembly 230 are described below with reference to Section C.
  • The scatterometer 10 further includes a detector 400 positioned to receive the radiation distribution propagating back from the second focal plane 340. The detector 400 can be a CCD array, CMOS imager, other suitable cameras, or other suitable energy sensors for accurately measuring the radiation distribution. The detector 400 is further configured to provide or otherwise generate a representation of the radiation distribution. For example, the representation of the radiation distribution can be data stored in a database, an image suitable for representation on a display, or other suitable characterizations of the radiation distribution. Several embodiments of the detector 400 are described below in greater detail with reference to Section D.
  • The scatterometer 10 can further include a navigation system 500 and an auto-focus system 600. The navigation system 500 can include a light source 510 that illuminates a portion of the workpiece W and optics 520 that view the workpiece W. As explained in more detail below, the navigation system 500 can have a low magnification capability for locating the general region of the microstructure on the workpiece (e.g., global alignment), and a high magnification capability for precisely identifying the location of the microstructure. Several embodiments of the navigation system can use the irradiation source 100 and components of the optical system 200. The navigation system 500 provides information to move the object lens assembly 300 and/or a workpiece site 510 to accurately position the focus area of the object lens assembly 300 at the desired microstructure on the workpiece W.
  • The auto-focus system 600 can include a focus array 610, and the optical system 200 can include an optional beam splitter 240 that directs radiation returning from the workpiece W to the focus array 610. The auto-focus system 600 is operatively coupled to the object lens assembly 300 and/or the workpiece site 510 to accurately position the microstructure on the workpiece W at the object focal plane 320 of the object lens assembly 300 or another plane. As explained in more detail below with reference to Section E, the navigation system 500 and the auto-focus system 600 enable the scatterometer 10 to evaluate extremely small features of very small microstructures on semiconductor devices or other types of microelectronic devices.
  • The scatterometer 10 further includes a calibration system for monitoring the intensity of the beam 102 and maintaining the accuracy of the other components. The calibration system (a) monitors the intensity, phase, wavelength or other beam property of the beam 102 in real time, (b) provides an accurate reference reflectance for the detector 400 to ensure the accuracy of the scatterometer, and/or (c) provides angular calibration of the system. In one embodiment, the calibration system includes a detector 700 and a beam splitter 702 that directs a portion of the initial beam 102 to the detector 700. The detector 700 monitors changes in the intensity of the beam 102 in real-time to continuously maintain the accuracy of the measured radiation distribution. The detector 700 can also or alternatively measure phase changes or a differential intensity. The calibration system, for example, can use the polarity of the return radiation to calibrate the system.
  • The calibration system can further include a calibration unit 704 having one or more calibration members for calibrating the detector 400. In one embodiment, the calibration unit 704 includes a first calibration member 710 having a first reflectance of the wavelength of the beam and a second calibration member 720 having a second reflectance of the wavelength of the beam. The first calibration member 710 can have a very high reflectance, and the second calibration member 720 can have a very low reflectance to provide two data points for calibrating the detector 400. In another embodiment, the second calibration member 720 can be eliminated and the second reflectance can be measured from free space.
  • The scatterometer 10 further includes a computer 800 operatively coupled to several of the components. In one embodiment, the computer 800 is coupled to the irradiation source 100, the detector 400, the navigation system 500, the auto-focus system 600, and the reference detector 700. The computer 800 is programmed to operate the irradiation source 100 to produce at least a first beam having a first wavelength and preferably to also produce a second beam having a second wavelength, as described above. The computer 800 can also control the source 100 to control the output intensity of the beam. The computer 800 further includes modules to operate the navigation system 500 and auto-focus system 600 to accurately position the focus area of the convergent beam 310 at a desired location on the wafer W and in precise focus.
  • In several embodiments, the computer 800 further includes a computer-operable medium for processing the measured radiation distribution to provide an evaluation of the microstructure on the workpiece W. For example, the computer 800 can include a database having a plurality of simulated radiation distributions corresponding to known parameters of the microstructure. The computer 800 can include computer-operable media to process the measured radiation distribution in conjunction with the database of simulated radiation distributions in a manner that selects the simulated radiation distribution that best fits the measured radiation distribution. Based upon the selected simulated radiation distribution, the computer stores and/or presents the parameters of the microstructure corresponding to those of the simulated radiation distribution, or an extrapolation or interpolation of such parameters. In another embodiment, the computer 800 can scan or otherwise acquire data from pixels of the detector only where there is a high sensitivity to changes in the parameter(s). Such a selective input to the computer reduces the amount of data and increases the quality of the data for processing in the computer 800. Several aspects of the computer 800 and methods for processing the measured radiation distribution are set forth below in greater detail with reference to Section G.
  • C. Embodiments of Optics and Lens Assemblies
  • The scatterometer 10 can have several different embodiments of optics assemblies and lens assemblies for optimizing the scatterometer for use with specific types of microstructures. The object lens assembly 300, for example, can be achromatic to accommodate a plurality of beams at different wavelengths, or it can have a plurality of individual assemblies of lenses that are each optimized for a specific wavelength. Such individual lens assemblies can be mounted on a turret that rotates each lens assembly in the path of the beam according to the wavelength of the particular beam, or such lenses may be mounted in separate, fixed positions that correspond to the incident beam paths of the respective wavelengths. In either case, the object lens assembly 300 is useful for applications that use different wavelengths of radiation to obtain information regarding the radiation returning from the workpiece.
  • The object lens assembly 300 can also include reflective lenses that are useful for laser beams in the UV spectrum. Certain types of glass may filter UV radiation. As such, when the beam has a short wavelength in the UV spectrum, the object lens assembly 300 and other optic members can be formed from reflective materials that reflect the UV radiation. In another embodiment, the first optics assembly 210 or the object lens assembly 300 may have a polarizing lens that polarizes the radiation for the convergent beam 310 (FIG. 1).
  • FIG. 2 illustrates one embodiment of the convergent beam 310 explained above with reference to FIG. 1 formed by an embodiment of the object lens assembly 300. The convergent beam 310 illustrated in FIG. 2 has a frusto-conical configuration that results in a focus area S. The focus area S is smaller than the area of the microstructure under evaluation, but it generally covers at least 8-10 of the periodic structures of the microfeature. In several particular applications for the semiconductor industry, the focus area S is approximately 10-40 μm in diameter, and more preferably approximately 20-30 μm in diameter. The focus area S, however, is not limited to these ranges in other embodiments. The focus area S may not necessarily be circular, and thus the convergent beam 310 is typically configured such that the focus area S has a maximum dimension less than 30 μm (e.g., approximately 50 nm to approximately 30 μm).
  • The convergent beam 310 simultaneously illuminates a microfeature M through a wide range of incidence angles having large ranges of altitude angles Θ and azimuth angles Φ. Each incidence angle has an altitude angle Θ and an azimuth angle Φ. The object lens assembly is generally configured to focus the beam to an area at the object focal plane through at least (a) a 15° range of altitude angles and (b) a 90° range of azimuth angles simultaneously. For example, the incidence angles can be simultaneously focused through altitude angles Θ of 0° to at least 45°, and more preferably from 0° to greater than 70° (e.g., 0° to 88°), and azimuth angles Φ of 0° to greater than approximately 90°, and more preferably throughout the entire range of 0° to 360°. As a result, the object lens assembly 300 can form a conical beam having a large range of incidence angles (Θ, Φ) to capture a significant amount of data in a single measurement of the workpiece W. This is expected to enhance the utility and throughput of scatterometry for measuring critical dimensions in submicron microstructures in real time and in-situ in a process tool.
  • FIG. 3 is a schematic diagram illustrating a specific embodiment of the optical system 200 in accordance with the invention. In this embodiment, the first optics assembly 210 includes a beam conditioner 214 that produces a conditioned beam 212 including diffused and randomized radiation. The beam conditioner 214 can be a fiber optic line that transmits the beam from the irradiation source (not shown in FIG. 3) and an actuator that moves the fiber optic line to randomize the laser beam. The actuator can move the beam conditioner 214 in such a way that it does not repeat its movement over successive iterations to effectively randomize the radiation.
  • The beam conditioner 214 can further include or alternatively be an order sorter for removing undesired diffraction orders from the output. For example, the beam conditioner 214 may form a conditioned beam that provides a limited input to the object lens assembly 300 so that only a single, specific diffraction illuminates pre-selected parts of the detector. The beam conditioner 214 may include a carousel of apertures placed at the input of the optical system 200 so that different input apertures may be selected according to the desired diffraction order of the conditioned beam 212.
  • The first optics assembly 210 can further include a field stop 216 and an illumination lens 218. The field stop 216 is positioned in the first focal plane of the illumination lens 218, and the field stop 216 can have an aperture in a desired shape to influence the spot size and spot shape in conjunction with the illumination lens 218. In general, the illumination lens 218 collimates the radiation for presentation to the object lens assembly 300.
  • The embodiment of the object lens 300 illustrated in FIG. 3 can include a plurality of separate lenses. For example, the object lens assembly 300 can include a divergent lens 302, a first convergent lens 304, and a second convergent lens 306. The first convergent lens 304 can have a first maximum convergence angle, and the second convergent lens 306 can have a second maximum convergence angle (see FIG. 4). In operation, the object lens assembly 300 (a) focuses the conditioned beam 212 to form the convergent beam 310 and (b) presents the return radiation from the workpiece W on the second focal plane 340. The location of the second focal plane 340 depends upon the particular configurations of the lenses 302, 304 and 306. For purposes of illustration, the second focal plane 340 is shown as coinciding with the location of the first convergent lens 304.
  • The object lens assembly 300 is configured such that the angle (Θx, Φy) of rays within the convergent beam 310 will pass through corresponding points (x, y) in the second focal plane 340. As a result, radiation passing through any given point (x, y) in the second focal plane 340 toward the workpiece W will pass through the object focal plane 320 at a particular corresponding angle (Θx, Φy), and similarly radiation reflecting from the object focal plane 320 at a particular angle (θx, Φy) will pass through a unique point (x, y) on the second focal plane 340. The reflected radiation passing through the second focal plane 340 propagates to the beam splitter 220 where it is directed toward the second optics assembly 230.
  • The second optics assembly 230 includes a relay lens 232, an output beam splitter 234, and an image-forming lens 236. The relay lens 232 and output beam splitter 234 present the reflected and/or diffracted radiation (i.e., return radiation) from the beam splitter 220 to the image-forming lens 236, and the image-forming lens 236 “maps” the angular distribution of reflectance and/or diffraction (i.e., the radiation distribution) from the second focal plane 340 to the imaging array of the detector 400. In a particular embodiment, the image-forming lens 236 preferably presents the image to the detector 400 such that the pixels of the imager in the detector 400 can be mapped to corresponding areas in the second focal plane 340.
  • The second optics assembly 230 can further include a polarizing beam splitter 238 to separate the return radiation into the p- and s-polarized components. In one embodiment, the polarizing beam splitter 238 is positioned between the output beam splitter 234 and the image-forming lens 236. In another embodiment, the beam splitter 238 is positioned at a conjugate of the focal spot on the wafer along a path between the image-forming lens 236 and the detector 400 (shown in dashed lines). In still another embodiment, the polarizing beam splitter 238 can be located between the relay lens 232 and the output beam splitter 234 (shown in dotted lines). The polarizing beam splitter 238 is generally located to maintain or improve the spatial resolution of the original image of the focal spot on the workpiece. The location of the polarizing beam splitter 238 can also be selected to minimize the alteration to the original optical path. It is expected that the locations along the optical path between the relay lens 232 and the image-forming lens 236 will be the desired locations for the polarizing beam splitter 238.
  • The polarizing beam splitter 238 provides the separate p- and s-polarized components of the return radiation to improve the calibration of the scatterometer 10 and/or provide additional data for determining the parameter(s) of the microfeature on the workpiece. For example, because the optics may perturb the polarization of the input and output radiation, the polarizing beam splitter 238 provides the individual p- and s-polarized components over the large range of incidence angles. The individual p- and s-polarized components obtained in this system can accordingly be used to calibrate the scatterometer 10 to compensate for such perturbations caused by the optical elements. Additionally, the p- and s-polarized components can be used for obtaining additional data that can enhance the precision and accuracy of processing the data.
  • FIG. 3B is a schematic view of a cube-type polarizing beam splitter for use in the scatterometer 10 shown in FIGS. 2 and 3A. The cube-type polarizing beam splitter 238 receives a return radiation beam 239 and splits it into a p-polarized component beam 239 a and an s-polarized component beam 239 b. The cube-type polarizing beam splitter 238 can be a crystal with birefringence properties, such as calcite, KDP or quartz. The p- and s-polarized component beams 239 a-b exit from the cube-type polarizing beam splitter 238 along at least substantially parallel paths. The p- and s-polarized beams 239 a and 239 b are also spaced apart from each other such that they form separate images on the detector 400. To increase the distance between the p- and s-polarized component beams 239 a-b, the size of the polarizing beam splitter 238 can be increased. For example, as shown in dashed lines in FIG. 3B, a larger polarizing beam splitter 238 results in at least substantially parallel p- and s-polarized component beams 239 a-b that are spaced apart from each another by a larger distance than the polarizing beam splitter 238 shown in solid lines 238. However, large cube-type polarizing beam splitters can alter the p- and s-polarized beams, and thus the size of polarizing beam splitter 238 is generally limited. As with the non-polarized return radiation, the individual p- and s-polarized component beams 239 a-b impinge upon pixels of the detector 400 in a manner that they can be mapped to corresponding areas in the second focal plane 340 shown in FIG. 3A.
  • One advantage of several embodiments of scatterometers including cube-type polarizing beam splitters it that they provide fast, high-precision measurements of the p- and s-polarized components with good accuracy. The system illustrated in FIGS. 3A-B use a single camera in the detector 400 to simultaneously measure both of the p- and s-polarized components of the return radiation 239. This system eliminates the problems of properly calibrating two separate cameras and registering the images from two separate cameras to process the data from the p- and s-polarized components. This system also eliminates the problems associated with serially polarizing the return radiation beam using a mechanically operated device because the polarizing beam splitter 238 can be fixed relative to the return beam 239 and the detector 400.
  • Another aspect of several embodiments of the optics is that a sine relationship or another suitable relationship is maintained between the pixels on the image sensor and the altitude angles of the beam. This allows a linear relationship between pixels on the image sensor and altitude angles. As such, the optics enable good sampling of the return radiation even at the peripheral regions of an image sensor.
  • D. Embodiments of Detectors
  • The detector 400 can have several different embodiments depending upon the particular application. In general, the detector is a two-dimensional array of sensors, such as a CCD array, a CMOS imager array, or another suitable type of “camera” or energy sensor that can measure the intensity, color or other property of the scattered radiation from the workpiece W corresponding to the distribution at the second focal plane 340. The detector 400 is preferably a CMOS imager because it is possible to read data from only selected pixels with high repeatability instead of having to read data from an entire frame. This enables localized or selected data reading, which is expected to (a) reduce the amount of data that needs to be processed and (b) eliminate data that does not have a meaningful contrast. Additional aspects of using CMOS images for image processing are described in more detail below. The p- or s-polarized components can be measured with a single CMOS imager to determine certain characteristics that are otherwise undetectable from non-polarized light. As such, using a CMOS imager and polarizing the reflected radiation can optimize the response to increase the resolution and accuracy of the scatterometer 10.
  • FIG. 3C is a schematic view showing a CMOS imager assembly for use in the detector 400 in accordance with an embodiment of the invention. In this example, the CMOS imager assembly includes a die 410 having an image sensor 412, focal optics 420, and packaging 430 defining an enclosed compartment 432 between the die 410 and the focal optics 420. The focal optics 420 typically have curved surfaces or other configurations such that they are not merely a plate having parallel, flat surfaces. Additionally, the CMOS imager assembly does not have a glass cover or other optical member with parallel, flat surfaces between the image sensor 412 and the focal optics 420. As such, the CMOS imager assembly illustrated in FIG. 3C does not have any flat optics in the compartment 432 between the image sensor 412 and the focal optics 420. In this embodiment, the polarizing beam splitter 238 is just upstream of the CMOS imager assembly 400 relative to the return radiation beam 239.
  • The CMOS imager assembly 400 illustrated in FIG. 3C is expected to provide several advantages for use in scatterometers. In several embodiments, for example, the lack of a cover or other flat optical member between the image sensor 412 and the focal optics 420 is expected to reduce perturbations in the return radiation beam 239 at the image sensor 412. More specifically, a glass member with parallel, flat surfaces between the focal optics 420 and the image sensor 412 can alter the return radiation just before it reaches the image sensor 412. By eliminating such glass members with parallel, flat surfaces, the CMOS imager assembly illustrated in FIG. 3C is expected to eliminate distortion or interference caused by a glass member with parallel surfaces.
  • E. Navigation and Auto-Focus Systems
  • Referring back to FIG. 1, the navigation system 500 accurately aligns the beam 310 with a desired area on the workpiece W, and the auto-focus system 600 adjusts the object lens assembly 300 or workpiece site 510 so that the object focal plane 320 is at the microstructure. In one embodiment, the navigation system 500 has a separate illumination source, lens and measurement optics for determining the precise location of the microstructure on the workpiece W. The light source of the navigation system 500 can be a LED, and the lens and optics can be a two-stage system having low and high magnifications. The low magnification stage identifies the general area on the wafer where the microstructure is located, and the high magnification stage refines the location. In other embodiments, the navigation system 500 can include additional relay optics introduced to image the surface directly through the object lens assembly 300.
  • The auto-focus system 600 can be a camera correlation focus system having a dihedral mirror that simultaneously splits the illumination pupil in two and redirects the light from the two halves of the dihedral mirror to different sections of a CCD array. The displacement between the two images is used to automatically determine the focus. A field stop can be incorporated to prevent overlap of the two images on the focus camera. The field stop is included in the illumination beam of the microscope of the auto-focus system.
  • FIG. 4 is a schematic illustration of an embodiment of the navigation system 500 and auto-focus system 600 for use in the scatterometer. Several aspects of FIG. 4 are similar to those explained above with reference to FIGS. 1 and 3A, and thus like reference numbers refer to like components in these figures. The navigation system 500 can have a high magnification system associated with the metrology system. For example, the high magnification system includes a light source 550, such as an LED, that injects light via a beam splitter 552 and is focused on the second focal plane by a relay lens 553 via beam splitter 240. This light illuminates the workpiece and is reflected back through the object lens assembly 300. The reflected light is directed by beam splitter 220 and through lenses 232 and 554 to camera 560. The lenses 232 and 554 form an image of the microstructure on the camera 560.
  • The auto-focus system 600 in this embodiment shares the relay lens 553 and the beam splitter 552 with the navigation system. The beam splitter 552 directs a beam 620 to a dihedral mirror 630, an image lens 632, and a steering mirror 634. The first beam 620 is then received by an auto-focus detector 640, such as a CCD array or other type of camera.
  • F. Calibration
  • The calibration system is used to monitor the properties of the initial beam 102 (FIG. 1) and calibrate the system efficiency for accurately detecting the radiation distribution. The beam properties are monitored by a reference detector 700 that receives a portion of the beam 102 in real time. As the beam fluctuates, the reference detector 700 detects the changes in the beam 102 and sends a signal to the computer 800. The computer 800 accordingly normalizes and/or performs other computational operations to the measured intensities, or it adjusts the measured radiation distribution by the variances in the intensity of the initial beam 102, to compensate for small changes in the beam 102. Unlike some systems that do this periodically, the computer 800 continuously receives signals from the reference detector 700 to maintain the accuracy of the system in real time. This is expected to significantly enhance the accuracy and precision with which the scatterometer 10 can evaluate extremely small features in microstructures.
  • The calibration system can also include a calibration unit, such as the calibration unit 704 (FIG. 1) with one or more calibration members, for providing photometric calibration of the system. In one embodiment, the first calibration member 710 can be a highly reflective mirror having a reflectance greater than 95%, and more preferably a reflectance of approximately 99.99%. The first calibration member 710 can be configured to have a consistent reflectance through a wide range of altitude angles. The second calibration member 720 can be black glass having a low reflectance (e.g., 0% to 10%). In operation, the detector 400 is calibrated by measuring the reflectance of the beam from the first calibration member 710 and from the second calibration member 720 to provide two data points corresponding to the known 99.99% reflectance of the first calibration member 710 and the known 0% reflectance of the second calibration member 720. Using these two data points, a straight line can be obtained to provide a reference reflectance of the detector 400.
  • In another embodiment of the calibration unit 704, the second calibration member 720 is not included or the second calibration member can be free space such that there is no reflectance of the illumination radiation. In this embodiment, the scatterometer 10 is calibrated by obtaining a first reflectivity from the first calibration member 710 and a second reflectivity from an area separate from the first calibration member 710. When the second reflectivity is obtained from free space, there is approximately zero percent reflectance such that a straight line can be obtained from these two measurements to provide a reference reflectance of the detector 400.
  • The scatterometer can be calibrated further using several different methods. For example, a known grating with a known radiation distribution can be measured using the scatterometer 10 to determine whether the detector 400 accurately produces a representation of the radiation distribution. In another embodiment, a thin film having a known thickness can be irradiated to determine whether the detector 400 provides an accurate representation of the radiation distribution from such a thin film. Both of these techniques can also be combined for yet another calibration method.
  • G. Computational Analyses
  • The computer 800 can use several different processes for determining one or more parameters of the microstructure based on the measured radiation distribution from the detector 400. In general, the computer 800 compares the measured radiation distribution with one or more simulated radiation distributions corresponding to selected parameters of the features and materials of the microstructure (e.g., height, width, line edge roughness, roundness of edge corners, spacing, film thickness, refraction index, reflection index, and/or other physical properties). Based on the comparison, the computer 800 then stores and/or provides an output of one or more parameters of the microstructure.
  • FIG. 5A is an image illustrating a simulated radiation distribution 810 having a first interference pattern 812 including a plurality of thin arcs, a second interference pattern 814 including a plurality of different arcs, and a third interference pattern 816 in a configuration of a “bulls-eye.” The first interference pattern 812 can correspond to the specular reflections, the second interference pattern 814 can correspond to higher order diffractions, and the third interference pattern 816 can correspond to the film thickness. The symmetry of the image can also be assessed to provide additional information regarding the microstructure. For example, in overlay applications, asymmetry in the image can be used to evaluate the skew between overlay structures (e.g., the extent of misregistration). Another example of using the image symmetry is determining the asymmetry of sidewall angles of grating lines. The interference patterns of the simulated radiation distribution 810 are unique to each set of feature parameters, and thus changing one or more of the feature parameters will produce a different simulated radiation distribution.
  • FIG. 5B is an image of a measured radiation distribution 820 of an actual microstructure on a workpiece. The measured radiation distribution 820 includes a corresponding first interference pattern 822, a second interference pattern 824, and a third interference pattern 826. In operation, the computer 800 ascertains the parameters of the microstructure by selecting and/or determining a simulated radiation distribution 810 that best fits the measured radiation distribution 820.
  • FIG. 6 illustrates one embodiment for ascertaining the feature parameters of the microstructure. In this embodiment, the computer 800 includes a database 830 including a large number of predetermined simulated reference radiation distributions 832 corresponding to different sets of feature parameters. The computer 800 further includes a computer-operable medium 840 that contains instructions that cause the computer 800 to select a simulated radiation distribution 832 from the database 830 that adequately fits a measured radiation distribution 850 within a desired tolerance. The computer-operable medium 840 can be software and/or hardware that evaluates the fit between the stored simulated radiation distributions 832 and the measured radiation distribution 850 in a manner that quickly selects the simulated radiation distribution 832 having the best fit with the measured radiation distribution 850 or at least having an adequate fit within a predetermined tolerance. In the case where a plurality of the simulated radiation distributions 832 have an adequate fit with the measured radiation distribution 850, the computer 800 can extrapolate or interpolate between the simulated distributions. Once the computer has selected a simulated radiation distribution with an adequate fit or the best fit, the computer selects the feature parameters associated with the selected simulated distribution.
  • In an alternative embodiment, the computer calculates a simulated radiation distribution and performs a regression optimization to best fit the measured radiation distribution with the simulated radiation distribution in real time. Although such regressions are widely used, they are time consuming and they may not reach a desired result because the regression may not converge to within a desired tolerance.
  • In still other embodiments, the computer 800 may perform further processing or different processing such as finite element models for evaluating non-periodic or pseudo-periodic structures. The computer 800 may also be able to solve for the refraction index and reflectivity index of the particular materials by determining the film thickness. Therefore, the enhanced data in the measured radiation distribution enables the computer 800 to more accurately determine the feature parameters of the microstructure and may enable more feature structures to be monitored (e.g., line edge roughness, refraction index, reflectivity index, etc.).
  • FIG. 7 is a flow chart showing a method 900 for ascertaining one or more parameters of a microstructure using the computer 800 in accordance with another embodiment of the invention. In this embodiment, the method 900 includes a first stage 910 comprising irradiating a microstructure on a workpiece by passing a beam through an object lens assembly that simultaneously focuses the beam to a focus area at an object plane through a large number of incidence angles. The beam for example, can be focused simultaneously through angles of incidence having altitude angles of 0° to at least 45° and azimuth angles of 0° to greater than 90°. The method 900 further includes a second stage 920 comprising obtaining an actual radiation distribution of scattered light or other radiation returning from the microstructure through the angles of incidence. The actual radiation distribution can be obtained using an array of addressable pixels that can be scanned or read individually. The method 900 further includes a third stage 930 comprising acquiring a measured selected radiation distribution by reading data from selected pixels in the array that have sufficient sensitivity to changes in the parameter based upon a predetermined sensitivity record, and a forth stage 940 comprising fitting the measured selected radiation distribution to simulated or modeled selected radiation distributions corresponding to the selected pixels to determine a value of the parameter. The method 900 is expected to be particularly useful because selected areas of the pixel array with high sensitivity to changes in the measured parameter can be scanned, and then this smaller amount of data that is more sensitive to changes in the measured parameter can be fitted to modeled distributions.
  • The first stage 910 of the method 900 can be performed using a scatterometer as described and shown above with reference to FIGS. 1-4. As such, an input beam can be passed through an object lens assembly that forms a beam having a large range of incident angles (Θ, Φ) to capture a significant amount of data in a single measurement of the workpiece. The altitude angles Φ can be from 0° to approximately 80° to 88° and the azimuth angles Θ can be from 0° to 360° as explained above.
  • After irradiating the microstructure, the second stage 920 of the method 900 can include obtaining the actual radiation distribution of radiation returning from the microstructure through the same angles of incidence as described above with reference to the detector 400. In this embodiment, the detector is preferably a CMOS imager that has an array of addressable pixels in which individual pixels can be independently scanned.
  • The third stage 930 of the method 900 comprises scanning or otherwise acquiring the actual intensity measurements from selected pixels of the array corresponding to angles of incidence that are highly sensitive to changes in the measured parameter(s) based upon a predetermined sensitivity record. The sensitivity record can be a pixel-by-pixel analysis of a plurality of pixels in the array that correspond to individual incidence angles (Φn, Θn). At each pixel, model intensities of the return radiation are calculated for different values of the parameter, and then the model intensities are subtracted from each other to determine the magnitudes of the changes in the intensity for the incremental changes in the parameter. Larger intensity changes correspond to pixels and angles of incidence that are more sensitive to changes in the parameter compared to smaller changes in the intensity.
  • FIG. 8 is a flow chart illustrating an embodiment of the third stage 930 for use in the method 900. In this embodiment, the third stage 930 includes identifying a pixel of the array (procedure 932), and then calculating model intensities of the return radiation at the pixel that correspond to different values of the parameter (procedure 934). This embodiment of the third stage 930 further includes differencing the model intensities (procedure 936) to determine the magnitude of change in the intensities corresponding to changes in the parameter. Based upon the magnitudes of the changes in the model intensities determined in procedure 936, the third stage 930 further includes assigning a sensitivity value (procedure 938) to a corresponding pixel. A third stage 930 can be repeated for any number of pixels in a CMOS imager array to develop a predetermined sensitivity record that associates the pixels in the array with the sensitivity to changes in the parameter.
  • FIGS. 9A and 9B graphically illustrate an embodiment of developing a predetermined sensitivity record for a parameter and selecting pixels in the array that have sufficient sensitivity to changes in the parameter for use in the measured selected radiation distribution. FIG. 9A, more specifically, shows model intensity distributions for three different values of a parameter (e.g., the critical dimension) through angles of incidence where Θ is from 0° to approximately 65° and Φ is from 0° to approximately 90°. In FIG. 9A, the intensity distribution 952 corresponds to a first value of the parameter, the intensity distribution 954 corresponds to a second value of the parameter, and the intensity distribution 956 corresponds to a third value of the parameter. Although the data in the intensity distributions 952, 954 and 956 is useful, it does not provide an indication of which incidence angles (e.g., pixels in a CMOS imager) are more sensitive to changes in the parameter. FIG. 9B is a sensitivity map 960 illustrating a sensitivity record associating the sensitivity of various incidence angles to changes in the parameter. The sensitivity map is obtained by differencing the model intensities at corresponding incidence angles between the intensity distributions 952, 954 and 956 shown in FIG. 9A. The sensitivity map 960 shown in FIG. 9B assigns a sensitivity value according to the magnitude of the difference between the model intensity distributions. In this embodiment, bright regions indicate angles of incidence that are more sensitive to changes in the parameter and dark regions indicate angles of incidence that are less sensitive to changes in the parameter. The sensitivity values for the angles of incidence can then be associated with the pixels in the CMOS imager array corresponding to the angles of incidence.
  • One aspect of the method 900 is that the sensitivity map 960, which is a graphical representation of the sensitivity record, provides an indication of the angles of incidence that may provide the most valuable data corresponding to changes in the parameter. Additionally, because specific pixels of a CMOS imager can be scanned or read individually, one aspect of the method 900 is determining which pixels in the CMOS imager array have high sensitivities from which the measured intensity values can be acquired (e.g., scanned).
  • FIG. 9C illustrates one embodiment of selecting pixels in the array that have sufficient sensitivity to changes in the parameter based upon the predetermined sensitivity record or sensitivity map. Referring to FIG. 9C, pixels in the CMOS imager array corresponding to angles of incidence in the bright region 962 are expected to provide good data because this area indicates a region of high sensitivity, and pixels associated with angles of incidence in the dark region 964 are likely to have low sensitivity to changes in the parameter. As such, the measured selected radiation distribution can be acquired by reading data from the pixels in the array corresponding to angles of incidence that have a high sensitivity to changes in the parameter based on the predetermined sensitivity record. After scanning the pixels that correspond to angles of incidence which have a high sensitivity, the measured selected radiation distribution from such pixels is compared to modeled radiation distributions from the same angles of incidence until the measured selected radiation distribution adequately fits with one of the modeled selected radiation distributions. At this point, a value of the parameter is determined according to the corresponding best fit of the modeled selected radiation distribution.
  • The method 900 can be applied to applications in which several parameters of the microfeature are to be assessed using scatterometry. In such multi-parameter applications, separate sensitivity records are established for individual parameters by varying the parameter of interest while keeping the other parameters constant. The regions of the CMOS imager array that are scanned can then be determined by selecting the sets of pixels corresponding to high sensitivity values for the parameters that are to be assessed using the scatterometer.
  • In practice, the sensitivity record can be used to optimize the scan path to retrieve data from only the pixels that have sufficient sensitivity, and to also fit the data from the selected pixels to corresponding models in a library. This is expected to significantly reduce the simulation times for arriving at a value of one or more parameters because it reduces the number of (Θ, Φ) combinations that need to be stored in a library and used to fit the measured selected radiation distribution to a modeled selected radiation distribution. The system is also expected to increase the acquisition rate because only a portion of the pixels in the CMOS imager need to be scanned for each measurement. This provides more measurements in a given exposure period, which can lead to better averaging and lower signal-to-noise ratios. This method is also expected to enhance the precision (e.g., repeatability) because it uses only data from highly sensitive pixels. Additionally, this method is useful because it is possible to overlay known pixel noise for a particular CMOS imager array with the sensitivity array such that pixels with high sensitivities caused by noise can be eliminated from the measurements. Therefore, such an image fitting procedure that scans only selected pixels of a CMOS imager array with high sensitivity values is expected to significantly improve the computational analysis for determining values of parameters in scatterometry.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. Accordingly, the invention is not limited except as by the appended claims.

Claims (24)

1. A scatterometer for evaluating microstructures on workpieces, comprising:
a radiation source configured to produce a beam of radiation having a wavelength;
an optical system having a first optics assembly and an object lens assembly, wherein the first optics assembly is configured to condition the beam of radiation such that beam is diffuse and randomized, and wherein the object lens assembly is configured to (a) focus the beam to an area of at object focal plane through at least a 15° range of altitude angles and at least a 90° range of azimuth angles simultaneously and (b) present a return radiation scattered from a microstructure in a radiation distribution at a second focal plane;
a detector positioned to receive the radiation distribution of the return radiation and configured to produce a representation of the radiation distribution; and
a computer operatively coupled to the detector to receive the representation of the radiation distribution, wherein the computer includes a database having a plurality of simulated radiation distributions corresponding to different sets of parameters of the microstructure and a computer-operable medium containing instructions that cause the computer to identify a simulated radiation distribution that adequately fits the representation of the radiation distribution produced by the detector.
2. The scatterometer of claim 1, further comprising a navigation system including a light source separate from the radiation source and navigation optics having a low magnification capability for locating a general area of the microstructure and a high magnification capability for accurately aligning the object lens with the microstructure.
3. The scatterometer of claim 1 wherein the object lens assembly is configured to focus the conditioned beam to a spot size not greater than 30 μm.
4. The scatterometer of claim 1 wherein the radiation source comprises a laser configured to generate a first beam having a first wavelength and a second beam having a second wavelength different than the first wavelength.
5. The scatterometer of claim 4 wherein the first wavelength is approximately 266 nm and the second wavelength is approximately 405 nm.
6. The scatterometer of claim 1 wherein the wavelength is approximately 200 nm to approximately 475 nm.
7. The scatterometer of claim 1 wherein the wavelength is approximately 375 nm to approximately 475 nm.
8. The scatterometer of claim 1 wherein the first wavelength is approximately 244 nm and the second wavelength is approximately 457 nm.
9. The scatterometer of claim 1 wherein the wavelength is approximately one of 405 nm or 457 nm.
10. The scatterometer of claim 1, wherein the computer-operable medium further comprises instructions that cause the computer to acquire data from only selected pixels of the detector having sensitivities above a threshold level.
11. The scatterometer of claim 1, further comprising:
a calibration member having a first reflectivity of the wavelength located proximate to a workpiece site; and
a computer operatively coupled to the detector, wherein the computer includes a computer-operable medium containing instructions that determine a reference reflectance using a first detected reflectance from the first calibration member and a second detected reflectance from an area separate from the calibration member.
12. The scatterometer of claim 11 wherein the calibration member comprises a mirror having a reflectance greater than approximately 95% and capable of reflecting radiation through a range of altitude angles of 0° to 89°, and the second reflectance is from free space.
13. (canceled)
14. The scatterometer of claim 1 wherein the first optics assembly comprises a diffuser that produces a diffuse randomized beam.
15. The scatterometer of claim 1 wherein the first optics assembly comprises an order selector configured to limit the angular range of various diffraction orders.
16. The scatterometer of claim 1 wherein the scatterometer further comprises a field stop having an aperture and an illumination lens through which the diffused and randomized beam pass.
17. The scatterometer of claim 1 wherein the object lens assembly comprises a plurality of achromatic lenses.
18. The scatterometer of claim 1, further comprising a plurality of optical heads, wherein individual optical heads are suitable for a unique bandwidth of radiation.
19. The scatterometer of claim 1, further comprising a reference detector configured to measure changes in the beam from the irradiation source.
20. The scatterometer of claim 1 wherein the object lens assembly is configured to simultaneously focus the conditioned beam at the object focal plane through a range of incidence angles having (a) altitude angles of 0° to at least about 45° and (b) azimuth angles of 0° to at least about 90°.
21. The scatterometer of claim 20 wherein the altitude angles are 0° to at least 70° and the azimuth angles are 0° to at least 180°.
22. The scatterometer of claim 20 wherein the altitude angles are 0° to at least 80° and the azimuth angles are 0° to at least 360°.
23. The scatterometer of claim 1, further comprising a polarizing beam splitter in a path of the return radiation between the object lens assembly and the detector to separate the p- and s-polarized components of the return radiation from each other.
24. The scatterometer of claim 23, further comprising a single detector to receive both the p- and s-polarized components of the return radiation, and wherein the polarizing beam splitter comprises a cube-type polarizing beam splitter.
US11/361,677 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry Abandoned US20060289790A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/361,677 US20060289790A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US65671205P 2005-02-25 2005-02-25
US11/361,677 US20060289790A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry

Publications (1)

Publication Number Publication Date
US20060289790A1 true US20060289790A1 (en) 2006-12-28

Family

ID=36440961

Family Applications (8)

Application Number Title Priority Date Filing Date
US11/361,308 Abandoned US20060273263A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,673 Active US7502101B2 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,670 Abandoned US20060285110A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,669 Abandoned US20060289788A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,309 Active 2026-04-23 US7511293B2 (en) 2005-02-25 2006-02-24 Scatterometer having a computer system that reads data from selected pixels of the sensor array
US11/361,677 Abandoned US20060289790A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,710 Active US7615752B2 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/453,463 Abandoned US20060285111A1 (en) 2005-02-25 2006-06-14 Apparatuses and methods for enhanced critical dimension scatterometry

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US11/361,308 Abandoned US20060273263A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,673 Active US7502101B2 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,670 Abandoned US20060285110A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,669 Abandoned US20060289788A1 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/361,309 Active 2026-04-23 US7511293B2 (en) 2005-02-25 2006-02-24 Scatterometer having a computer system that reads data from selected pixels of the sensor array

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/361,710 Active US7615752B2 (en) 2005-02-25 2006-02-24 Apparatus and method for enhanced critical dimension scatterometry
US11/453,463 Abandoned US20060285111A1 (en) 2005-02-25 2006-06-14 Apparatuses and methods for enhanced critical dimension scatterometry

Country Status (5)

Country Link
US (8) US20060273263A1 (en)
EP (1) EP1864080B1 (en)
AT (1) ATE475862T1 (en)
DE (1) DE602006015785D1 (en)
WO (7) WO2006091913A1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060243912A1 (en) * 2005-02-25 2006-11-02 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20090153882A1 (en) * 2007-12-14 2009-06-18 Thomas Geiler Measuring Dimensional Parameters of Structures
US20130095577A1 (en) * 2011-10-04 2013-04-18 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
JP2016003871A (en) * 2014-06-13 2016-01-12 株式会社キーエンス Three-dimensional shape measuring device, measurement data processing unit, measurement data processing method, and computer program
WO2016088992A1 (en) * 2014-12-04 2016-06-09 Samsung Electronics Co., Ltd. Test apparatus and control method thereof
US20170038572A1 (en) * 2013-12-09 2017-02-09 Nikon Corporation Optical apparatus, measuring apparatus, measuring method, screening apparatus, and screening method
WO2017123467A1 (en) * 2016-01-15 2017-07-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US11169099B2 (en) 2016-01-11 2021-11-09 Bruker Technologies Ltd. Method and apparatus for X-ray scatterometry
US11181490B2 (en) * 2018-07-05 2021-11-23 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US11703464B2 (en) 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7733100B2 (en) 2005-08-26 2010-06-08 Dcg Systems, Inc. System and method for modulation mapping
US20080018897A1 (en) * 2006-07-20 2008-01-24 Nanometrics Incorporated Methods and apparatuses for assessing overlay error on workpieces
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
GB2444961A (en) * 2006-12-22 2008-06-25 Thales Holdings Uk Plc Imager with detector elements sensitive to radiation of different polarisations
US8269983B2 (en) 2007-09-24 2012-09-18 Koninklijke Philips Electronics N.V. Apparatus for observing the surface of a sample
EP2040061A1 (en) 2007-09-24 2009-03-25 Koninklijke Philips Electronics N.V. An apparatus and method for observing the surface of a sample
NL1036597A1 (en) * 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
EP2169466B1 (en) 2008-09-30 2017-06-07 ASML Holding N.V. Inspection apparatus and method for sphero-chromatic aberration correction
NL2004094A (en) * 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
US7961306B2 (en) * 2009-03-30 2011-06-14 Tokyo Electron Limited Optimizing sensitivity of optical metrology measurements
US8030632B2 (en) * 2009-03-30 2011-10-04 Tokyo Electron Limted Controlling angle of incidence of multiple-beam optical metrology tools
US8030631B2 (en) * 2009-03-30 2011-10-04 Tokyo Electron Limited Apparatus for controlling angle of incidence of multiple illumination beams
SG166089A1 (en) * 2009-05-01 2010-11-29 Dcg Systems Inc Systems and method for laser voltage imaging state mapping
WO2012103897A1 (en) * 2011-01-31 2012-08-09 Biametrics Marken Und Rechte Gmbh Method and device for determining optical properties by simultaneous measurement of intensities at thin layers using light of several wavelengths
DE102011004375B3 (en) * 2011-02-18 2012-05-31 Carl Zeiss Smt Gmbh Device for guiding electromagnetic radiation in projection exposure system for semiconductor lithography, has actuator to mechanically manipulate optical fiber so that intensity profile at exit end emerging radiation is homogenized
US8559008B2 (en) * 2011-04-07 2013-10-15 Nanometrics Incorporated Ellipsometer focusing system
US20140204216A1 (en) * 2011-07-14 2014-07-24 Francois ANTIER Radioactivity detection method
CN102506773B (en) * 2011-09-28 2016-03-09 上海华虹宏力半导体制造有限公司 Detect the method for wafer surface roughness
RU2481555C1 (en) * 2011-10-20 2013-05-10 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Optic measuring system, and measuring method of critical size of nanostructures on flat surface
US9322640B2 (en) 2012-08-07 2016-04-26 Samsing Electronics Co., Ltd. Optical measuring system and method of measuring critical size
US9879977B2 (en) 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
DE102013204442A1 (en) 2013-03-14 2014-10-02 Carl Zeiss Smt Gmbh Optical waveguide for guiding illumination light
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US9797938B2 (en) * 2014-03-28 2017-10-24 International Business Machines Corporation Noise modulation for on-chip noise measurement
US10088456B2 (en) * 2014-03-31 2018-10-02 Texas Instruments Incorporated Scanning acoustic microscopy system and method
US9885671B2 (en) 2014-06-09 2018-02-06 Kla-Tencor Corporation Miniaturized imaging apparatus for wafer edge
US9645097B2 (en) 2014-06-20 2017-05-09 Kla-Tencor Corporation In-line wafer edge inspection, wafer pre-alignment, and wafer cleaning
US9709510B2 (en) * 2014-06-26 2017-07-18 Kla-Tencor Corp. Determining a configuration for an optical element positioned in a collection aperture during wafer inspection
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
EP4220134A1 (en) * 2014-12-23 2023-08-02 Apple Inc. Optical inspection system and method including accounting for variations of optical path length within a sample
CN105807144B (en) * 2014-12-31 2019-01-18 清华大学 Electrometer
CN105807145B (en) * 2014-12-31 2019-01-18 清华大学 Electrometer
CN107923939B (en) * 2015-09-02 2021-11-19 株式会社日立高新技术 Circuit inspection method and sample inspection device
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
WO2017086948A1 (en) * 2015-11-18 2017-05-26 Intel Corporation High power terahertz impulse for fault isolation
US9728470B1 (en) 2016-05-10 2017-08-08 Infineon Technologies Austria Ag Semiconductor structure and methods
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
JP7137226B2 (en) 2016-09-26 2022-09-14 ケーダブリュ アソシエイツ リミテッド ライアビリティ カンパニー Estimation of arc position in 3D
CN109844917B (en) 2016-10-13 2023-07-04 科磊股份有限公司 Metering system and method for process control
US10962623B1 (en) 2017-05-17 2021-03-30 Heathkit Company, Inc. Accurate and model-based measurement and management systems and methods
DE102017209254A1 (en) * 2017-05-31 2018-12-06 Feinmetall Gmbh Contact head for an electrical test device, test device
JP7426237B2 (en) * 2017-06-16 2024-02-01 テクトロニクス・インコーポレイテッド Test and measurement equipment, systems and methods related to augmented reality
JP7119310B2 (en) * 2017-08-31 2022-08-17 富士電機株式会社 Semiconductor test equipment
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US10761116B2 (en) * 2018-01-12 2020-09-01 KW Associates LLC Sensing and control of position of an electrical discharge
CN108318454B (en) * 2018-03-28 2022-11-04 山东大学 Small-angle laser scattering instrument with temperature and pressure controllable sample cell and characterization method
JP2019207175A (en) * 2018-05-30 2019-12-05 キヤノン株式会社 Measurement device
US10866118B2 (en) 2018-06-18 2020-12-15 Allegro Microsystems, Llc High resolution magnetic field sensors
US10578679B2 (en) * 2018-06-18 2020-03-03 Allegro Microsystems, Llc Magnetic field sensors having virtual signals
US10908229B2 (en) 2018-06-18 2021-02-02 Allegro Microsystems, Llc Regulation of coefficients used in magnetic field sensor virtual signal generation
US10598739B2 (en) * 2018-06-18 2020-03-24 Allegro Microsystems, Llc Magnetic field sensors having virtual signals
US10872403B2 (en) 2018-08-10 2020-12-22 Micron Technology, Inc. System for predicting properties of structures, imager system, and related methods
US10816464B2 (en) 2019-01-23 2020-10-27 Applied Materials, Inc. Imaging reflectometer
US11385167B2 (en) 2019-10-01 2022-07-12 Onto Innovation Inc. Beamsplitter based ellipsometer focusing system
WO2021104631A1 (en) 2019-11-28 2021-06-03 Ev Group E. Thallner Gmbh Apparatus and method for measuring a substrate
US11243273B2 (en) 2020-03-16 2022-02-08 KW Associates LLC Estimation or control of lengths and positions of one or more transversely localized electric current segments flowing between two conductive bodies
US11150078B1 (en) 2020-03-26 2021-10-19 Applied Materials, Inc. High sensitivity image-based reflectometry
US11156566B2 (en) 2020-03-26 2021-10-26 Applied Materials, Inc. High sensitivity image-based reflectometry
US11417010B2 (en) 2020-05-19 2022-08-16 Applied Materials, Inc. Image based metrology of surface deformations
US11762043B2 (en) 2021-03-11 2023-09-19 Allegro Microsystems, Llc High resolution magnetic field sensors
IL294457B2 (en) * 2022-06-30 2023-12-01 Nova Ltd Systems and methods for optical measuring of properties of samples using polarized optical beams

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5438414A (en) * 1993-01-22 1995-08-01 The Johns Hopkins University Integrated dual imaging detector
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US20030030799A1 (en) * 2001-08-09 2003-02-13 Jianhui Chen Coaxial illumination system
US20030071996A1 (en) * 2001-10-16 2003-04-17 Wang David Y. Measurement system with separate optimized beam paths
US20040027477A1 (en) * 2002-06-28 2004-02-12 Hiroyuki Tamura Camera module and method for manufacturing the same
US20040085537A1 (en) * 2000-12-18 2004-05-06 Dominique Ausserre Device for ellipsometric two-dimensional display of a sample, display method and ellipsometric measurement method with spatial resolution
US6734419B1 (en) * 2001-06-28 2004-05-11 Amkor Technology, Inc. Method for forming an image sensor package with vision die in lens housing
US6750968B2 (en) * 2000-10-03 2004-06-15 Accent Optical Technologies, Inc. Differential numerical aperture methods and device
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US20060126057A1 (en) * 2001-07-13 2006-06-15 Rudolph Technologies, Inc. Metrology system with spectroscopic ellipsometer and photoacoustic measurements
US20060148163A1 (en) * 2004-12-30 2006-07-06 Karsten Wieczorek Method of forming gate insulation layers of different characteristics

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US499014A (en) * 1893-06-06 doane
JPS60708B2 (en) * 1979-11-07 1985-01-09 株式会社東芝 Defect inspection equipment
JPS5712352A (en) * 1980-06-26 1982-01-22 Hajime Sangyo Kk Light diffusion device
US4598997A (en) * 1982-02-15 1986-07-08 Rca Corporation Apparatus and method for detecting defects and dust on a patterned surface
US4710642A (en) * 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
FR2615644B1 (en) * 1987-05-18 1989-06-30 Brunel Christian ELECTROLUMINESCENT DISPLAY DEVICE WITH MEMORY EFFECT AND HALF SHADES
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5241369A (en) * 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
JP2943132B2 (en) * 1994-10-27 1999-08-30 三井化学株式会社 Irrigation hose
FR2729220B1 (en) * 1995-01-06 1997-04-04 Eldim COLORIMETRIC MEASUREMENT DEVICE OF A DISPLAY SCREEN
EP0805947A4 (en) 1995-01-24 1999-10-20 Massachusetts Inst Technology Device and method for time-resolved optical measurements
US5706091A (en) * 1995-04-28 1998-01-06 Nikon Corporation Apparatus for detecting a mark pattern on a substrate
JP2725632B2 (en) * 1995-05-24 1998-03-11 日本電気株式会社 Optical head device
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
FR2749388B1 (en) * 1996-05-31 1998-08-07 Eldim APPARATUS FOR MEASURING THE PHOTOMETRIC AND COLORIMETRIC CHARACTERISTICS OF AN OBJECT
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
DE19717488C2 (en) * 1997-04-25 2003-05-15 Baumer Optronic Gmbh Device for inspecting the surface of objects
FR2777653B1 (en) * 1998-04-20 2000-06-30 Eldim MEASUREMENT SYSTEM FOR LUMINANCE CHARACTERISTICS OF OBJECTS, IN PARTICULAR OBJECTS WITH LUMINANCE DEPENDENT ON THE DIRECTION OF EMISSION
US6137570A (en) * 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
FR2800163B1 (en) * 1999-10-26 2002-01-18 Eldim DEVICE FOR MEASURING THE SPATIAL DISTRIBUTION OF THE SPECTRAL EMISSION OF AN OBJECT
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
JP2002031525A (en) * 2000-07-14 2002-01-31 Seiko Instruments Inc Method and apparatus for evaluating shape of pattern on semiconductor wafer
JP2002032737A (en) * 2000-07-14 2002-01-31 Seiko Instruments Inc Method and device for navigation for pattern observation of semiconductor device
JP3858571B2 (en) * 2000-07-27 2006-12-13 株式会社日立製作所 Pattern defect inspection method and apparatus
US6895075B2 (en) * 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US6940592B2 (en) * 2001-10-09 2005-09-06 Applied Materials, Inc. Calibration as well as measurement on the same workpiece during fabrication
EP1436670A4 (en) * 2001-10-10 2006-10-25 Accent Optical Tech Inc Determination of center of focus by cross-section analysis
WO2003079675A1 (en) * 2002-03-20 2003-09-25 Sony Corporation Solid-state image pickup device and its drive method
US6890773B1 (en) * 2002-04-19 2005-05-10 Advanced Micro Devices, Inc. Dynamic maintenance of manufacturing system components
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
FR2859781B1 (en) * 2003-09-17 2007-07-06 Commissariat Energie Atomique USE OF OPTICAL FOURIER TRANSFORMATION FOR DIMENSIONAL MICROELECTRONIC CONTROL
US7035375B2 (en) * 2003-11-05 2006-04-25 Jordan Valley Applied Radiation Ltd. X-ray scattering with a polychromatic source
US7295303B1 (en) * 2004-03-25 2007-11-13 Kla-Tencor Technologies Corporation Methods and apparatus for inspecting a sample
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2006091913A1 (en) * 2005-02-25 2006-08-31 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
WO2006110535A2 (en) * 2005-04-07 2006-10-19 Nanometrics Incorporated Apparatus and methods for scatterometry of optical devices

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5438414A (en) * 1993-01-22 1995-08-01 The Johns Hopkins University Integrated dual imaging detector
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US20040246481A1 (en) * 2000-10-03 2004-12-09 Accent Optical Technologies, Inc. Differential numerical aperture methods
US6750968B2 (en) * 2000-10-03 2004-06-15 Accent Optical Technologies, Inc. Differential numerical aperture methods and device
US20040085537A1 (en) * 2000-12-18 2004-05-06 Dominique Ausserre Device for ellipsometric two-dimensional display of a sample, display method and ellipsometric measurement method with spatial resolution
US6734419B1 (en) * 2001-06-28 2004-05-11 Amkor Technology, Inc. Method for forming an image sensor package with vision die in lens housing
US20060126057A1 (en) * 2001-07-13 2006-06-15 Rudolph Technologies, Inc. Metrology system with spectroscopic ellipsometer and photoacoustic measurements
US20030030799A1 (en) * 2001-08-09 2003-02-13 Jianhui Chen Coaxial illumination system
US20030071996A1 (en) * 2001-10-16 2003-04-17 Wang David Y. Measurement system with separate optimized beam paths
US20040027477A1 (en) * 2002-06-28 2004-02-12 Hiroyuki Tamura Camera module and method for manufacturing the same
US20060148163A1 (en) * 2004-12-30 2006-07-06 Karsten Wieczorek Method of forming gate insulation layers of different characteristics

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060243912A1 (en) * 2005-02-25 2006-11-02 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060278834A1 (en) * 2005-02-25 2006-12-14 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US20060285111A1 (en) * 2005-02-25 2006-12-21 Accent Optical Technologies, Inc. Apparatuses and methods for enhanced critical dimension scatterometry
US20060289789A1 (en) * 2005-02-25 2006-12-28 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US7502101B2 (en) 2005-02-25 2009-03-10 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US7511293B2 (en) 2005-02-25 2009-03-31 Nanometrics Incorporated Scatterometer having a computer system that reads data from selected pixels of the sensor array
US7615752B2 (en) 2005-02-25 2009-11-10 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US20090153882A1 (en) * 2007-12-14 2009-06-18 Thomas Geiler Measuring Dimensional Parameters of Structures
US20130095577A1 (en) * 2011-10-04 2013-04-18 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
US8982362B2 (en) * 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
US20170038572A1 (en) * 2013-12-09 2017-02-09 Nikon Corporation Optical apparatus, measuring apparatus, measuring method, screening apparatus, and screening method
US10656401B2 (en) * 2013-12-09 2020-05-19 Nikon Corporation Optical apparatus, measuring apparatus, measuring method, screening apparatus, and screening method
JP2016003871A (en) * 2014-06-13 2016-01-12 株式会社キーエンス Three-dimensional shape measuring device, measurement data processing unit, measurement data processing method, and computer program
US10113971B2 (en) 2014-12-04 2018-10-30 Samsung Electronics Co., Ltd. Test apparatus and control method thereof
WO2016088992A1 (en) * 2014-12-04 2016-06-09 Samsung Electronics Co., Ltd. Test apparatus and control method thereof
US11169099B2 (en) 2016-01-11 2021-11-09 Bruker Technologies Ltd. Method and apparatus for X-ray scatterometry
KR102390308B1 (en) 2016-01-15 2022-04-22 케이엘에이 코포레이션 Systems and Methods for Scalable Infrared Spectral Elliptometry
CN108463877A (en) * 2016-01-15 2018-08-28 科磊股份有限公司 The system and method for infrared spectrum ellipsometry for extension
KR20180095102A (en) * 2016-01-15 2018-08-24 케이엘에이-텐코 코포레이션 System and method for scalable infrared spectroscopic ellipsometry
US9921152B2 (en) 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
WO2017123467A1 (en) * 2016-01-15 2017-07-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US11119050B2 (en) 2017-02-17 2021-09-14 Kla Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US11181490B2 (en) * 2018-07-05 2021-11-23 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US11703464B2 (en) 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems

Also Published As

Publication number Publication date
WO2006093800A9 (en) 2007-03-01
US20060278834A1 (en) 2006-12-14
US20060289788A1 (en) 2006-12-28
WO2006091781A1 (en) 2006-08-31
DE602006015785D1 (en) 2010-09-09
US7502101B2 (en) 2009-03-10
WO2006091783A1 (en) 2006-08-31
ATE475862T1 (en) 2010-08-15
EP1864080A1 (en) 2007-12-12
US20060285110A1 (en) 2006-12-21
WO2006091782A1 (en) 2006-08-31
WO2006091840B1 (en) 2006-11-16
WO2006091859A1 (en) 2006-08-31
WO2006091783B1 (en) 2006-10-19
US20060285111A1 (en) 2006-12-21
US20060289789A1 (en) 2006-12-28
US20060273263A1 (en) 2006-12-07
WO2006091840A2 (en) 2006-08-31
US7615752B2 (en) 2009-11-10
WO2006091781B1 (en) 2006-11-09
WO2006091913A1 (en) 2006-08-31
US20060243912A1 (en) 2006-11-02
EP1864080B1 (en) 2010-07-28
WO2006091840A9 (en) 2006-12-28
WO2006091782B1 (en) 2006-11-23
WO2006091840A3 (en) 2006-10-19
US7511293B2 (en) 2009-03-31
WO2006093800A1 (en) 2006-09-08

Similar Documents

Publication Publication Date Title
US7511293B2 (en) Scatterometer having a computer system that reads data from selected pixels of the sensor array
US10234271B2 (en) Method and system for spectroscopic beam profile metrology including a detection of collected light according to wavelength along a third dimension of a hyperspectral detector
US10101676B2 (en) Spectroscopic beam profile overlay metrology
US7046376B2 (en) Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US9739719B2 (en) Measurement systems having linked field and pupil signal detection
US9222897B2 (en) Method for characterizing a feature on a mask and device for carrying out the method
US9605946B2 (en) Method for characterizing a structure on a mask and device for carrying out said method
JP2021521443A (en) Overlay measurement system and method
US20080018897A1 (en) Methods and apparatuses for assessing overlay error on workpieces
JP2023512258A (en) Overlay metrology of bonded wafers

Legal Events

Date Code Title Description
AS Assignment

Owner name: ACCENT OPTICAL TECHNOLOGIES, INC., OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAYMOND, CHRIS;HUMMEL, STEVE;REEL/FRAME:018206/0020

Effective date: 20060531

AS Assignment

Owner name: ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC.,CALI

Free format text: MERGER;ASSIGNORS:ALLOY MERGER CORPORATION;ACCENT OPTICAL TECHNOLOGIES, INC.;REEL/FRAME:019469/0299

Effective date: 20060721

Owner name: ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC., CAL

Free format text: MERGER;ASSIGNORS:ALLOY MERGER CORPORATION;ACCENT OPTICAL TECHNOLOGIES, INC.;REEL/FRAME:019469/0299

Effective date: 20060721

AS Assignment

Owner name: NANOMETRICS INCORPORATED, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC.;REEL/FRAME:019543/0863

Effective date: 20070618

Owner name: NANOMETRICS INCORPORATED,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ACCENT OPTICAL TECHNOLOGIES NANOMETRICS, INC.;REEL/FRAME:019543/0863

Effective date: 20070618

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION