US20070006936A1 - Load lock chamber with substrate temperature regulation - Google Patents

Load lock chamber with substrate temperature regulation Download PDF

Info

Publication number
US20070006936A1
US20070006936A1 US11/176,747 US17674705A US2007006936A1 US 20070006936 A1 US20070006936 A1 US 20070006936A1 US 17674705 A US17674705 A US 17674705A US 2007006936 A1 US2007006936 A1 US 2007006936A1
Authority
US
United States
Prior art keywords
chamber
load lock
substrate
lock chamber
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/176,747
Inventor
Akihiro Hosokawa
Makoto Inagawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/176,747 priority Critical patent/US20070006936A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HOSOKAWA, AKIHIRO, INAGAWA, MAKOTO
Publication of US20070006936A1 publication Critical patent/US20070006936A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Definitions

  • Embodiments of the invention generally relate to a load lock chamber having substrate temperature regulation and methods of operation of the same.
  • Thin film transistors (TFT) formed by flat panel technology are commonly used for active matrix displays such as computer and television monitors, cell phone displays, personal digital assistants (PDAs), and an increasing number of other devices.
  • flat panels comprise two glass plates having a layer of liquid crystal materials sandwiched therebetween. At least one of the glass plates includes one conductive film disposed thereon that is coupled to a power source. Power, supplied to the conductive film from the power source, changes the orientation of the crystal material, creating a pattern display.
  • Heating and/or cooling of the substrate within the load lock chamber is important to achieving high system throughput.
  • cleanrooms generally operate at humidity levels greater than 50 percent to minimize static electricity, hot substrates entering the load lock chamber must be cooled carefully to avoid promoting condensation thereon. Condensation is undesirable as moisture often contaminates subsequent processing steps.
  • future processing systems are envisioned to process even larger size substrates, the need for improved load lock chambers capable of rapid transfer of large area substrates is of great concern.
  • a load lock chamber and method for regulating the temperature of substrates positioned therein are provided.
  • a load lock chamber is configured to remove gases heated during venting of the load lock chamber.
  • a load lock chamber is configured to provide a flow of vent gases across the surface of a substrate.
  • a load lock chamber includes a resistive heating element configured to uniformly heat substrates positioned within the load lock chamber.
  • FIG. 1 is a sectional view of one embodiment of load lock chamber
  • FIGS. 2 A-B are side sectional views of alternative embodiments of a load lock chamber of the present invention.
  • FIG. 3 is a top sectional view of the load lock chamber of FIG. 1 ;
  • FIGS. 4 A-B are perspective views of two embodiments of a temperature regulating plate
  • FIG. 5 is another embodiment of a temperature regulating plate
  • FIG. 6 is one embodiment of a method for regulating temperature of a substrate
  • FIG. 7 is another embodiment of a method for regulating temperature of a substrate
  • FIG. 8 is a top plan view of one embodiment of a processing system for processing large area substrates
  • FIG. 9 is a side sectional view of one embodiment of a multiple chamber load lock chamber
  • FIG. 10 is a side sectional view of the load lock chamber of FIG. 9 taken along section line 10 - 10 ;
  • FIGS. 11 A-B are partial sectional views of a substrate support of the load lock chamber of FIG. 9 ;
  • FIG. 12 is a sectional view of one embodiment of an alignment mechanism
  • FIGS. 13-14 are sectional views of another embodiment of an alignment mechanism.
  • a load lock chamber configured to regulate the temperature of substrates positioned therein is provided.
  • the embodiments described herein promote rapid transfer between ambient and vacuum environments while minimizing particulate generation and the threat of condensation.
  • FIG. 1 depicts one embodiment of a load lock chamber 100 of the present invention.
  • the load lock chamber 100 includes a body 102 fabricated from a rigid material such as stainless steel, aluminum or other suitable material.
  • the body 102 may be fabricated from a single piece of material, or an assembly of components fabricated into a leak-free structure.
  • the body 102 includes a top 104 , a bottom 106 , and sidewalls 108 , 110 , 112 , and 114 .
  • the fourth sidewall 114 which is positioned opposite the first sidewall 112 , is illustrated in FIG. 3 .
  • At least one substrate access port 116 is disclosed in each of the sidewalls 112 and 114 to allow entry and egress of substrates from an internal volume 120 of the chamber body 102 .
  • the substrate access ports 116 are selectively sealed by slit valves, which are well known in the art.
  • slit valves which are well known in the art.
  • One slit valve that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 10/867,100, entitled CURVED SLIT VALVE DOOR, filed Jun. 14, 2004 by Tanase, et al., and is incorporated by reference in its entirety.
  • a substrate support structure 118 is disposed in the internal volume 120 defined by the body 102 .
  • the substrate support structure 118 generally is configured to support one or more substrates 122 being transferred between an ambient and a vacuum environment separated by the load lock chamber 100 .
  • the substrate support structure 118 depicted in FIG. 1 is illustrated supporting a single substrate 122 , it is contemplated that other substrate support structures may also benefit from the invention.
  • a load lock chamber 210 includes a substrate support structure 218 in the form of a cassette 220 .
  • the cassette 220 includes a plurality of substrate support slots 224 , each configured to retain a single substrate 122 therein.
  • the cassette 220 is typically coupled to a lift mechanism 222 that selectively positions one of the substrates disposed in a predetermined slot 224 of the cassette 220 in alignment with the substrate access ports 216 formed in a body 212 of the load lock chamber 210 .
  • One load lock chamber having a cassette disclosed therein which may be adapted to benefit from the invention is described in U.S. Pat. No. 5,607,009, issued Mar.
  • a load lock chamber 230 includes a substrate support structure 238 having multiple substrate support plates 232 .
  • Each substrate support plate 232 is configured to support a single substrate 122 thereon.
  • Such substrate support plates may be configured with an optional lift mechanism 222 to align a selected substrate 122 with a substrate access port 216 .
  • the substrate support structure 238 may be fixed within the chamber 230 , requiring a robot making the wafer exchange to provide the vertical motion necessary to lift the substrate off the support plate 232 .
  • One load lock chamber which may be adapted to benefit from the invention having similar substrate support structure is described in U.S. patent Ser. No.
  • a lift mechanism 138 is provided to control the elevation of the plate 124 within the internal volume 120 of the load lock chamber 100 .
  • the distal end of the pins 126 extend beyond an upper surface 136 of the plate 124 , thereby supporting the substrate 122 in a spaced-apart relation relative to the plate 124 .
  • the lift mechanism 138 may selectively raise the plate 124 to an upper position such that the distal end of the pins 126 are recessed below the upper surface 136 of the plate 124 , thereby causing the substrate 122 to be supported on the upper surface of the plate 124 .
  • the lift mechanism 138 generally includes an actuator 140 coupled to the plate 124 by a bar 142 .
  • the actuator 140 may be a pneumatic cylinder, a ball screw or other actuator suitable for controlling the elevation of the substrate support structure 118 .
  • the bar 142 generally extends from the plate 124 through an aperture 176 formed in the sidewall of the body 102 . A portion of the bar 142 disclosed outside the body 102 is enclosed by a housing 144 .
  • a portion of the actuator 140 extends through the housing 144 and is coupled to the bar.
  • a bellows or other suitable seal is engaged between the housing 144 and at least one of the actuator 140 or the bar 142 to prevent leakage through the aperture 176 and to maintain the leak-tight integrity of the load lock chamber 100 .
  • the substrate support structure 118 may be configured as a temperature regulating plate 124 .
  • the temperature regulating plate 124 is adapted, to control the temperature of the substrate 122 positioned thereon or proximate thereto.
  • the plate 124 may include a plurality of passages 130 coupled to a fluid source 128 .
  • the fluid source 128 provides a heat transfer fluid that is circulated through the passages 130 to heat (or cool) the substrate 122 .
  • the plate 124 may be elevated to support the substrate 122 directly thereon (i.e., with the pins 126 retracted below upper surface 136 of the plate 124 ).
  • the load lock chamber 100 may additionally include a temperature regulating plate 166 coupled to the top 104 of the body 102 .
  • the temperature regulating plate includes a resistive heater (heater 402 is shown in FIG. 4A ) coupled to a power source 168 .
  • Exemplary embodiments of the temperature regulating plate 166 are described further below with reference to FIGS. 4 A-B and 5 .
  • a pressure control system 150 is coupled to the load lock chamber 100 to control the pressure within the internal volume 120 of the body 102 .
  • the pressure control system 150 generally includes a gas source 152 and an exhaust system 154 .
  • the gas source 152 is coupled to at least one inlet port 160 formed through the chamber body 102 .
  • the gas source 152 provides a vent gas utilized to raise and/or regulate pressure within the internal volume 120 of the chamber body 102 .
  • the gas source 152 may flow vent gas into the internal volume 120 to facilitate transfer of the substrate 122 from a vacuum environment to an ambient environment.
  • the vent gas comprises at least one of nitrogen, helium, air or other suitable gas.
  • An inlet control valve 156 is disposed between the gas source 152 and the inlet port 160 to selectively control the flow of vent gases into the internal volume 120 of the body 102 .
  • the inlet control valve 156 is capable of providing a substantially leak-tight seal under vacuum conditions.
  • the gas source 152 is configured to control the attributes of the vent gas, such as the flow rate, temperature and/or humidity of the vent gas.
  • the exhaust system 154 is generally coupled to at least one exhaust port 162 formed through the chamber body 102 .
  • the exhaust system 154 is configured to remove gases from the internal volume 120 of the load lock chamber 100 .
  • the exhaust system 154 may include one or more vacuum pumps (not shown) and may be ultimately coupled to the facilities exhaust system (also not shown). For example, the exhaust system 154 may pump out gas from the internal volume 120 to facilitate transfer of the substrate 122 from an ambient environment to a vacuum environment.
  • the exhaust port 162 and inlet port 160 are shown formed through opposing sidewalls 110 , 108 .
  • a flow of vent gases (as represented by flow arrows 180 ) may be established across the surface of the substrate 122 .
  • the flow 180 is generally parallel to the plane of the substrate 122 and flows from one side to an opposite side of the substrate.
  • the flow 180 generally increases the heat transfer rate between the substrate 122 and vent gases, advantageously increasing the cooling rate of the substrate.
  • vent gases heated by the substrate may be removed from the internal volume 120 of the load lock chamber 100 and replaced with cooler vent gases, thereby increasing the cooling rate of the substrate by substantially maintaining the temperature differential between the vent gas and substrate.
  • the flow exiting the chamber body 102 through each of the exhaust ports 160 may be independently controlled by a dedicated exhaust control valve 158 .
  • a dedicated exhaust control valve 158 By controlling the open/close state, and/or orifice of each of the valves 156 , 158 , the velocity of the flow 180 across different portions of the substrate 122 may be tailored to control the cooling rate of different portions of the substrate 122 .
  • the control of the substrate's cooling rate profile allows processors to compensate for the tendency of the substrate to cool more rapidly at the edges of the substrate, thereby facilitating uniform cooling the substrate.
  • a control valve may be coupled to more than one port.
  • the inlet ports 160 may be distributed on more than one of the sidewalls of the body 102 .
  • exhaust ports 162 may be distributed on more than one of the sidewalls of the body 102 . It is also contemplated that some of the inlet and/or vent ports 160 . 162 may be opened and/or closed over different periods of time to selectively change profile the flow 180 , to initially vent the internal volume 120 of the chamber rapidly prior to creating a cross flow, and/or to remove heated vent gases during any stage of the transfer cycle through the load lock chamber 100 .
  • the gas source 156 is configured to individually control the temperature of the gases provided to the different inlet ports 160 .
  • the gas source 156 may include a plurality of resistive heaters 350 (or other suitable temperature control device) for controlling the temperature of the vent gas traveling through each gas line 352 respectively routed to an inlet port 160 .
  • the heaters 350 may be interfaced with the gas lines 352 externally from the gas source 156 . The heaters 350 allow the individual streams of vent gases entering the chamber body 102 through the inlet ports 160 to be set to different temperatures, thereby allowing greater flexibility in controlling the cooling rate profile of the substrate 122 .
  • FIGS. 4 A-B are perspective and top views of two embodiments of the temperature regulating plate 166 .
  • the temperature regulating plate 166 is generally fabricated from a thermally conductive or other suitable material, such as aluminum, and has a resistive heating element coupled thereto.
  • a resistive heating element 402 is depicted in FIG. 4A while another embodiment of a resistive heating element 442 is depicted in FIG. 4B .
  • a plurality of holes 420 are formed through the temperature regulating plate 166 to allow fasteners 422 to pass therethrough.
  • the fasteners 422 are utilized to couple the temperature regulating plate 166 to the top 104 of the load lock chamber 100 .
  • a stand-off 418 is disposed on each of the fasteners 422 to maintain a predefined spacing between the temperature regulating plate 166 and the top 104 of the load lock chamber 100 .
  • the holes 420 around the perimeter of the temperature regulating plate 166 may be slotted to allow the temperature regulating plate 166 to expand and contract while maintaining a planar profile.
  • the planar profile of the temperature regulating plate 166 beneficially maintains the spacing between the temperature regulating plate 166 and the substrate 122 , thereby maintaining a predictable heating rate.
  • FIG. 5 is a plan view of another embodiment of a temperature regulating plate 556 .
  • the plate 556 is generally similar to the plate 166 described above, except wherein the plate 556 includes zone heating control.
  • a plurality of resistive heating elements are disposed on the plate 556 .
  • Each heating element 502 , 504 is independently controlled by the power source 168 so that the heating profile of the plate 556 , and thus the temperature profile of the substrate 122 , may be controlled.
  • the power source 168 is independently controlled by the power source 168 so that the heating profile of the plate 556 , and thus the temperature profile of the substrate 122 , may be controlled.
  • the heating element 502 is located proximate the edges of the plate 556 , while the heating element 504 is arranged primarily in an interior portion of the plate 556 , thereby allowing the temperature of the substrate to be regulated independently between the substrate's edges and center region.
  • the independent control between the zones for example the substrate's edge and center regions, compensates for differences in the heating/cooling rates in those areas, allowing the substrate to be heated more uniformly.
  • FIG. 6 is a flow diagram of one embodiment of a method 600 for regulating the temperature of a substrate.
  • the method 600 begins at step 602 by transferring a substrate 122 into the load lock chamber 100 from a vacuum environment, for example, from a transfer chamber of a cluster tool.
  • the substrate is isolated from the vacuum environment by sealing the substrate access port 116 with a slit valve door.
  • the inlet valve 156 is opened to vent the internal volume 120 of the load lock chamber 100 .
  • the outlet valve 158 is opened to establish a flow of vent gases between the inlet port 160 and the exhaust port 162 across the surface of the substrate 122 .
  • FIG. 7 is a flow diagram of another embodiment of a method 700 for regulating temperature of a substrate.
  • the method 700 begins at step 702 by transferring a substrate 122 into the load lock chamber 100 from a vacuum environment.
  • the substrate is isolated from the vacuum environment by sealing the substrate access port 116 with a slit valve door.
  • the inlet valve 156 is opened to vent the internal volume 120 of the load lock chamber 100 .
  • the outlet valve 158 is opened to remove vent gases heated by the substrate 122 from the internal volume 120 through the exhaust port 162 .
  • Step 708 may occur periodically, before and/or after the pressure within the internal volume 120 of a load lock chamber 100 reaches a predefined value.
  • the temperature differential between the substrate and vent gases is substantially maximized.
  • the substrate 122 is removed from the load lock chamber 100 into an ambient environment through the other substrate access port 116 .
  • the factory interface 812 generally includes a plurality of substrate storage cassettes 838 and a dual blade atmospheric robot 836 .
  • the cassettes 838 are generally removably disposed in a plurality of bays 840 formed on one side of the factory interface 812 .
  • the atmospheric robot 836 is adapted to transfer substrates 806 between the cassettes 838 and the load lock chamber 800 .
  • the factory interface 812 is maintained at or slightly above atmospheric pressure.
  • FIG. 9 is a sectional view of one embodiment of the multi-chamber load lock 800 of FIG. 8 .
  • the load lock chamber 800 has a chamber body 912 that includes a plurality of vertically-stacked, environmentally-isolated substrate transfer chambers that are separated by vacuum-tight, horizontal interior walls 914 .
  • three single substrate transfer chambers 920 , 922 , 924 are shown in the embodiment depicted in FIG. 9 , it is contemplated that the chamber body 912 of load lock chamber 800 may include two or more vertically-stacked substrate transfer chambers.
  • the load lock chamber 800 may include N substrate transfer chambers separated by N- 1 horizontal interior walls 914 , where N is an integer greater than one.
  • the substrate transfer chambers 920 , 922 , 924 are each configured to accommodate a single large area substrate 810 so that the volume of each chamber may be minimized to enhance fast pumping and vent cycles.
  • each substrate transfer chamber 920 , 922 , 924 has an internal volume of equal to or less than about 8000 liters to accommodate substrates having a plan surface area of about 9.7 square meters.
  • a dual slot dual substrate transfer chamber of a conventional design described in U.S. patent application Ser. No. 09/957,784 has an internal volume of about 1600 liters. It is contemplated that a substrate transfer chamber of the present invention having a greater width and/or length and equal height may be configured to accommodate even larger substrates.
  • the interior walls 914 and the remaining portions of the chamber body 912 other than the second sidewall 906 are fabricated from a single contiguous mass of material.
  • the second sidewall 906 is sealably coupled to the other portions of the chamber body 912 to facilitate machining of the substrate transfer chambers 920 , 922 , 924 and to allow access to the interior portions of the chamber body 912 during fabrication and assembly.
  • the horizontal walls 914 of the chamber body 912 may be vacuum sealed to sidewalls of the chamber body 912 , thereby isolating the substrate transfer chambers 920 , 922 , 924 .
  • the horizontal walls 914 may be continuously welded to the chamber body 912 to allow greater access to the entire interior of the chamber body 912 during early assembly stages of the load lock chamber 800 .
  • Each of the substrate transfer chambers 920 , 922 , 924 defined in the chamber body 912 includes two substrate access ports.
  • the ports are configured to facilitate the entry and egress of large area substrates 810 from the load lock chamber 800 .
  • the first substrate transfer chamber 920 bounded at the bottom 908 of the chamber body 912 includes a first substrate access port 930 and a second substrate access port 932 having a width greater than 2000 mm.
  • the first substrate access port 930 is formed through the first sidewall 902 of the chamber body 912 and couples the first substrate transfer chamber 920 to the central transfer chamber 808 of the processing system 850 .
  • the second substrate access port 932 is formed through the second wall 904 of the chamber body 912 and couples the first substrate transfer chamber 920 to the factory interface 812 .
  • the substrate access ports 930 , 932 are disposed on opposite sides of the chamber body 912 , however, the ports 930 , 932 may alternatively be positioned on adjacent walls of the body 912 .
  • Each of the substrate access ports 930 , 932 is selectively sealed by a respective slit valve 926 , 928 adapted to selectively isolate the first substrate transfer chamber 920 from the environments of the transfer chamber 808 and the factory interface 812 .
  • the slit valves 926 , 928 are moved between an open and closed position by an actuator 942 (one actuator 942 shown in phantom in FIG. 9 is normally positioned outside the chamber body 912 ).
  • each of the slit valves 926 , 928 is pivotally coupled to the chamber body 912 along a first edge and rotated between the open and closed position by the actuator 942 .
  • the first slit valve 926 seals the first substrate access port 930 from the interior side of the first sidewall 902 and is thereby positioned within the first substrate transfer chamber 920 such that a vacuum (e.g., pressure) differential between the first substrate transfer chamber 920 and the vacuum environment of the central transfer chamber 808 assists in loading and sealing the slit valve 926 against the first sidewall 902 , thereby enhancing the vacuum seal.
  • the second slit valve 928 is disposed on the exterior of the second sidewall 904 and is thereby positioned such that the pressure differential between the ambient environment of the factory interface 812 and the vacuum environment of the first substrate transfer chamber 920 assists in sealing the second substrate access port 932 .
  • the second substrate transfer chamber 922 is similarly configured with access ports 934 , 936 and slit valves 926 , 928 .
  • the third substrate transfer chamber 924 is similarly configured with access ports 938 , 940 and slit valves 926 , 928 .
  • the substrate 810 is supported above the bottom 908 of the first substrate transfer chamber 920 and the interior walls 914 bounding the bottom of the second and third substrate transfer chambers 922 , 924 by a plurality of substrate supports 944 .
  • the substrate supports 944 are configured and spaced to support the substrate 810 at an elevation above the bottom 908 (or walls 914 ) to avoid contact of the substrate with the chamber body 912 .
  • the substrate supports 944 are configured to minimize scratching and contamination of the substrate.
  • the substrate supports 944 are stainless pins having a rounded upper end 946 .
  • Other suitable substrate supports are described in U.S. Pat. No. 6,528,767, filed Mar. 11, 2003; U.S. patent application Ser. No. 09/982,406, filed Oct. 17, 2001; and U.S. patent application Ser. No. 10/376,857, filed Feb. 27, 2003, all of which are incorporated by reference in their entireties.
  • FIG. 10 is a sectional view of the load lock chamber 800 taken along section line 10 - 10 of FIG. 9 .
  • the sidewalls of each of the substrate transfer chambers 920 , 922 , 924 include at least one port disposed therethrough to facilitate controlling the pressure within the internal volume of each chamber.
  • the chamber body 912 includes an inlet port 1004 formed through the fourth sidewall 1002 and an outlet port 1006 formed through the third sidewall 906 of the chamber body 912 for venting and pumping down of the first substrate transfer chamber 920 .
  • Valves 1010 , 1012 are respectively coupled to the inlet port 1004 and outlet port 1006 to selectively prevent flow therethrough.
  • the outlet port 1006 is coupled to a vacuum pump 1008 that is utilized to selectively lower the pressure within the internal volume of the first substrate transfer chamber 920 to a level that substantially matches the pressure of the transfer chamber 808 .
  • the flow through inlet and outlet ports 1004 , 1006 may be controlled to enhance cooling of substrates as described with reference to FIGS. 1-7 .
  • the slit valve 926 may be opened to allow processed substrates to be transferred to the load lock chamber 800 and substrates to be processed transferred to the transfer chamber 808 by the vacuum robot 834 through the first substrate access port 930 .
  • the slit valve 926 is closed and the valve 1010 is opened thereby allowing venting gas, for example N2 and/or He, into the first substrate transfer chamber 920 of the load lock chamber 800 through the inlet port and raising the pressure within the internal volume 8 . 10 .
  • the venting gas entering the internal volume 810 through the inlet port 1004 is filtered to minimize potential particulate contamination of the substrate.
  • the slit valve 924 opens, thus allowing the atmospheric robot 836 to transfer substrates between the first substrate transfer chamber 920 and the substrate storage cassettes 838 coupled to the factory interface 812 through the second substrate access port 932 .
  • the other substrate transfer chambers 922 , 924 are similarly configured. Although each of the substrate transfer chambers 920 , 922 , 924 are shown with individual pumps 1008 , one or more of the substrate transfer chambers 920 , 922 , 924 may share a single vacuum pump equipped with appropriate flow controls to facilitate selective pumping between chambers.
  • the load lock chamber 800 may transfer about 840 substrates per hour at a reduced pumping rate as compared to a conventional dual substrate dual slot load lock chamber 900 , as described in FIG. 9 above, which has a substrate transfer rate of about 830 substrates per hour.
  • the reduced pumping rate of the present invention is between about 160-180 seconds per pump/vent cycles as compared to about 130 seconds per cycle of the load lock chamber described in the conventional design described in U.S. patent application Ser. No. 09/957,784.
  • the substantially longer cycle reduces air velocity within the chamber, thereby reducing the probability of particular contamination of the substrate, while eliminating the condensation.
  • greater substrate throughput is achieved using pumps 1008 having lower capacity, which contributes to reducing the system costs.
  • the overall height of the load lock having three substrate transfer chambers 920 , 922 , 924 is less than conventional dual chambered system, further providing greater throughput in a smaller, less expensive package.
  • the stacked configuration also allows for predefined substrate transfer chambers to be dedicated for heating or cooling. For example, a greater number of substrate transfer chambers may be configured to heat the substrates entering the transfer chamber than cool processed substrates (and vice versa).
  • the substrate transfer chambers 920 , 922 may be configured to heat substrates (e.g., have a heating plate), while the substrate transfer chamber 924 may be configured to cool the substrate.
  • the substrate transfer chambers 920 , 922 may be configured to cool substrates, while the substrate transfer chamber 924 may be configured to heat the substrate.
  • substrate transfers through the substrate transfer chambers may be dedicated for only heating (or cooling).
  • the chambers 920 , 922 , 924 undergo less thermal cycling than if one or more of the chambers were utilized for heating and cooling, thereby reducing the amount of chamber expansion and contraction which may result in particle generation and/or particle release from chamber components.
  • the bottom 908 of the first substrate transfer chamber 920 and the interior walls 914 bounding the bottom of the second and third substrate transfer chambers 922 , 924 may also include one or more grooves 1016 formed therein. As depicted in FIGS. 11 A-B, the grooves 1016 are configured to provide clearance between the substrate 810 disposed on the substrate supports 944 and a robot blade 1102 .
  • the blade 1102 (one finger of which is shown in FIGS. 11 A-B) is moved into the groove 1016 . Once in a predefined position within the first substrate transfer chamber 920 , the blade 1102 is elevated to lift the substrate 810 from the supports 944 . The blade 1102 carrying the substrate 810 is then retracted from the first substrate transfer chamber 920 . The substrate 810 is placed on the substrate supports 944 in the reverse manner.
  • FIG. 12 is a partial sectional view of the chamber body 912 showing one embodiment of an alignment mechanism 1200 that may be utilized to urge the substrate 810 into a predefined position in the first substrate transfer chamber 920 .
  • a second alignment mechanism (not shown) is disposed in the opposite corner of the first substrate transfer chamber 920 to work in concert with the mechanism 1200 shown.
  • one alignment mechanism 1200 may be disposed in each corner of the first substrate transfer chamber 920 .
  • the other substrate transfer chambers 922 , 926 are similarly equipped to align the substrates.
  • the alignment apparatus 1200 may correct positional inaccuracies between a deposited position of the substrate 810 as placed by the atmospheric robot 836 on the substrate supports 944 and a predefined (i.e., designed) position of the substrate 810 relative the substrate supports 944 . Having the position of the substrate 810 aligned by the alignment apparatus 1200 within the load lock chamber 800 independent from conventional correction methods that utilize the atmospheric robot 836 to adjust the substrate placement allows greater flexibility and lower system costs.
  • the support plate 860 with alignment apparatus 1200 provides greater compatibility between the load lock chamber 800 and user supplied factory interfaces 812 since the load lock chamber 800 is more tolerant to substrate position on the substrate supports 944 , thereby reducing the need for robots of great precision and/or corrective robot motion algorithms generated by the factory interface provider. Moreover, as the positional accuracy designed criteria for the atmospheric robot 836 is diminished, less costly robots may be utilized.
  • the alignment mechanism includes two rollers 1202 , 1204 coupled to a first end 1206 of a lever 1208 .
  • the lever 1208 extending through a slot 1218 formed through the sidewall 1002 pivots about a pin 1210 .
  • An actuator 1212 is coupled to the lever 1208 such that the rollers 1202 , 1204 may be urged against adjacent edges 1214 , 1216 of the substrate 810 .
  • the actuator 1212 such as a pneumatic cylinder, is generally positioned on the exterior of the chamber body 912 .
  • a housing 1220 is sealably disposed over the slot 1218 and includes bellows or other suitable seals 1122 to facilitate coupling of the actuator 1212 to the lever 1208 without vacuum leakage.
  • the alignment mechanism 1200 and the opposing alignment mechanism work in concert to position the substrate in a predefined position within the first substrate transfer chamber 920 .
  • Other substrate alignment mechanisms that may be utilized are described in U.S. patent application Ser. No. 10/094,156, filed Mar. 15, 2002; and U.S. patent application Ser. No. 10/084,762, filed Feb. 22, 2002, all of which are incorporated by reference in their entireties.
  • FIGS. 13-14 are sectional views of another embodiment of an alignment mechanism 1300 .
  • the alignment mechanism 1300 is configured to operate similar to the alignment mechanism 1200 described above. Although only one alignment mechanism 1300 is shown in FIG. 13 , the alignment mechanism 1300 operates in concert with another alignment mechanism (not shown) disposed in the opposite corner of the chamber body 920 .
  • each corner of the chamber body 900 may includes an alignment mechanism.
  • the alignment mechanism 1300 generally includes an interior lever 1302 coupled to an actuator 1308 by a shaft 1304 disposed through the chamber body 920 .
  • the actuator 1308 is coupled to the shaft 1304 by an exterior lever 1306 .
  • the exterior lever 1306 is coupled to a post 1420 of the shaft 1304 that extended into a recess 1402 defined in the exterior wall of the chamber body 920 .
  • the actuator 1308 may be a motor, linear actuator or other device suitable for imparting rotary motion to the shaft 1304 .
  • the interior lever 1302 rotates with the shaft 1304 , thereby moving a pair of rollers 1202 , 1204 extending from the lever 1302 to urge a substrate 810 (shown in phantom) into a predefined position.
  • the shaft 1304 passes through a horizontal wall 1312 defining the bottom of the recess 1310 .
  • the shaft 1304 is disposed through a hollow housing 1314 that is secured to the chamber body 920 by a plurality of fasteners 1316 .
  • a pair of bushings 1406 , 1412 are disposed in a bore 1408 of the housing 1314 to facilitate rotation of the shaft 1304 within the housing 1314 .
  • a seal 1404 is disposed between a flange 1410 of the housing 1314 to maintain the vacuum integrity of the chamber body 920 .
  • a plurality of seals 1414 are disposed between the shaft 1304 and housing 1314 to prevent vacuum loss.
  • the seals 1414 comprise three cup seals having an open end facing the exterior lever 1306 .
  • the seals 1414 are retained within the bore 1408 by a washer 1416 and retaining ring 1418 .

Abstract

A load lock chamber and method for regulating the temperature of substrates positioned within a chamber are provided. In one embodiment, the load lock chamber is configured to remove gases heated during venting of the load lock chamber. In another embodiment, the load lock chamber is configured to provide a cross flow of vent gases. In yet another embodiment, the load lock chamber includes a resistive heating element configured to uniformly head substrates positioned within the load lock chamber.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is related to U.S. patent application Ser. No. 10/832,795, entitled “LOAD LOCK CHAMBER FOR LARGE AREA SUBSTRATE PROCESSING SYSTEM”, filed Apr. 26, 2004, which is hereby incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to a load lock chamber having substrate temperature regulation and methods of operation of the same.
  • 2. Description of the Related Art
  • Thin film transistors (TFT) formed by flat panel technology are commonly used for active matrix displays such as computer and television monitors, cell phone displays, personal digital assistants (PDAs), and an increasing number of other devices. Generally, flat panels comprise two glass plates having a layer of liquid crystal materials sandwiched therebetween. At least one of the glass plates includes one conductive film disposed thereon that is coupled to a power source. Power, supplied to the conductive film from the power source, changes the orientation of the crystal material, creating a pattern display.
  • With the marketplace's acceptance of flat panel technology, the demand for larger displays, increased production and lower manufacturing costs have driven equipment manufacturers to develop new systems that accommodate larger size glass substrates for flat panel display fabricators. Current glass processing equipment is generally configured to accommodate substrates slightly greater than about one square meter. Processing equipment configured to accommodate larger substrate sizes is envisioned in the immediate future.
  • Equipment to fabricate such large substrates represents a substantial investment to flat panel display fabricators. Conventional systems require large and expensive hardware. In order to offset this investment, high substrate throughput is very desirable.
  • Heating and/or cooling of the substrate within the load lock chamber is important to achieving high system throughput. Moreover, as cleanrooms generally operate at humidity levels greater than 50 percent to minimize static electricity, hot substrates entering the load lock chamber must be cooled carefully to avoid promoting condensation thereon. Condensation is undesirable as moisture often contaminates subsequent processing steps. As future processing systems are envisioned to process even larger size substrates, the need for improved load lock chambers capable of rapid transfer of large area substrates is of great concern.
  • Thus, there is a need for an improved load lock chamber.
  • SUMMARY OF THE INVENTION
  • A load lock chamber and method for regulating the temperature of substrates positioned therein are provided. In one embodiment, a load lock chamber is configured to remove gases heated during venting of the load lock chamber. In another embodiment, a load lock chamber is configured to provide a flow of vent gases across the surface of a substrate. In yet another embodiment, a load lock chamber includes a resistive heating element configured to uniformly heat substrates positioned within the load lock chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a sectional view of one embodiment of load lock chamber;
  • FIGS. 2A-B are side sectional views of alternative embodiments of a load lock chamber of the present invention;
  • FIG. 3 is a top sectional view of the load lock chamber of FIG. 1;
  • FIGS. 4A-B are perspective views of two embodiments of a temperature regulating plate;
  • FIG. 5 is another embodiment of a temperature regulating plate;
  • FIG. 6 is one embodiment of a method for regulating temperature of a substrate;
  • FIG. 7 is another embodiment of a method for regulating temperature of a substrate;
  • FIG. 8 is a top plan view of one embodiment of a processing system for processing large area substrates;
  • FIG. 9 is a side sectional view of one embodiment of a multiple chamber load lock chamber;
  • FIG. 10 is a side sectional view of the load lock chamber of FIG. 9 taken along section line 10-10;
  • FIGS. 11A-B are partial sectional views of a substrate support of the load lock chamber of FIG. 9;
  • FIG. 12 is a sectional view of one embodiment of an alignment mechanism; and
  • FIGS. 13-14 are sectional views of another embodiment of an alignment mechanism.
  • To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures. It is contemplated that elements of one embodiment may be advantageously utilized in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • A load lock chamber configured to regulate the temperature of substrates positioned therein is provided. The embodiments described herein promote rapid transfer between ambient and vacuum environments while minimizing particulate generation and the threat of condensation.
  • FIG. 1 depicts one embodiment of a load lock chamber 100 of the present invention. The load lock chamber 100 includes a body 102 fabricated from a rigid material such as stainless steel, aluminum or other suitable material. The body 102 may be fabricated from a single piece of material, or an assembly of components fabricated into a leak-free structure. The body 102 includes a top 104, a bottom 106, and sidewalls 108, 110, 112, and 114. The fourth sidewall 114, which is positioned opposite the first sidewall 112, is illustrated in FIG. 3.
  • At least one substrate access port 116 is disclosed in each of the sidewalls 112 and 114 to allow entry and egress of substrates from an internal volume 120 of the chamber body 102. The substrate access ports 116 are selectively sealed by slit valves, which are well known in the art. One slit valve that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 10/867,100, entitled CURVED SLIT VALVE DOOR, filed Jun. 14, 2004 by Tanase, et al., and is incorporated by reference in its entirety.
  • A substrate support structure 118 is disposed in the internal volume 120 defined by the body 102. The substrate support structure 118 generally is configured to support one or more substrates 122 being transferred between an ambient and a vacuum environment separated by the load lock chamber 100. Although the substrate support structure 118 depicted in FIG. 1 is illustrated supporting a single substrate 122, it is contemplated that other substrate support structures may also benefit from the invention.
  • For example, as depicted in FIG. 2A, a load lock chamber 210 includes a substrate support structure 218 in the form of a cassette 220. The cassette 220 includes a plurality of substrate support slots 224, each configured to retain a single substrate 122 therein. The cassette 220 is typically coupled to a lift mechanism 222 that selectively positions one of the substrates disposed in a predetermined slot 224 of the cassette 220 in alignment with the substrate access ports 216 formed in a body 212 of the load lock chamber 210. One load lock chamber having a cassette disclosed therein which may be adapted to benefit from the invention is described in U.S. Pat. No. 5,607,009, issued Mar. 4, 1997 to Turner et al., and is incorporated by reference in its entirety. In a second example depicted in FIG. 2B, a load lock chamber 230 includes a substrate support structure 238 having multiple substrate support plates 232. Each substrate support plate 232 is configured to support a single substrate 122 thereon. Such substrate support plates may be configured with an optional lift mechanism 222 to align a selected substrate 122 with a substrate access port 216. Alternatively, the substrate support structure 238 may be fixed within the chamber 230, requiring a robot making the wafer exchange to provide the vertical motion necessary to lift the substrate off the support plate 232. One load lock chamber which may be adapted to benefit from the invention having similar substrate support structure is described in U.S. patent Ser. No. 09/957,784, entitled DOUBLE DUAL SLOT LOAD LOCK FOR PROCESS EQUIPMENT, filed Sep. 21, 2001 by Kurita et al., and is incorporated by reference in its entirety. It is contemplated that load lock chambers of other configurations may also benefit from the invention.
  • Returning to the embodiment depicted in FIG. 1, the substrate support structure 118 includes a plate 124 and a plurality of pins 126. The pins 126 are coupled to the bottom 106 of the body 102, and extend through holes 132 formed in the body in the plate 124. The plate 124 is typically fabricated from aluminum or other suitable material.
  • A lift mechanism 138 is provided to control the elevation of the plate 124 within the internal volume 120 of the load lock chamber 100. In a lower position, the distal end of the pins 126 extend beyond an upper surface 136 of the plate 124, thereby supporting the substrate 122 in a spaced-apart relation relative to the plate 124. The lift mechanism 138 may selectively raise the plate 124 to an upper position such that the distal end of the pins 126 are recessed below the upper surface 136 of the plate 124, thereby causing the substrate 122 to be supported on the upper surface of the plate 124.
  • In one embodiment, the lift mechanism 138 generally includes an actuator 140 coupled to the plate 124 by a bar 142. The actuator 140 may be a pneumatic cylinder, a ball screw or other actuator suitable for controlling the elevation of the substrate support structure 118. The bar 142 generally extends from the plate 124 through an aperture 176 formed in the sidewall of the body 102. A portion of the bar 142 disclosed outside the body 102 is enclosed by a housing 144.
  • In one embodiment, a portion of the actuator 140 extends through the housing 144 and is coupled to the bar. A bellows or other suitable seal is engaged between the housing 144 and at least one of the actuator 140 or the bar 142 to prevent leakage through the aperture 176 and to maintain the leak-tight integrity of the load lock chamber 100.
  • In one embodiment, the substrate support structure 118 may be configured as a temperature regulating plate 124. The temperature regulating plate 124 is adapted, to control the temperature of the substrate 122 positioned thereon or proximate thereto. For example, the plate 124 may include a plurality of passages 130 coupled to a fluid source 128. The fluid source 128 provides a heat transfer fluid that is circulated through the passages 130 to heat (or cool) the substrate 122. To maximize heat transfer between the plate 124 and the substrate 122, the plate 124 may be elevated to support the substrate 122 directly thereon (i.e., with the pins 126 retracted below upper surface 136 of the plate 124).
  • The upper surface 136 of the plate 124 may include one or more slots 134. The slots 134 are configured to provide channels that provide clearance for an end effector of a robot (not shown) that is positioned under the substrate 122 during substrate handoff with the substrate support structure 118.
  • The load lock chamber 100 may additionally include a temperature regulating plate 166 coupled to the top 104 of the body 102. In one embodiment, the temperature regulating plate includes a resistive heater (heater 402 is shown in FIG. 4A) coupled to a power source 168. Exemplary embodiments of the temperature regulating plate 166 are described further below with reference to FIGS. 4A-B and 5.
  • A pressure control system 150 is coupled to the load lock chamber 100 to control the pressure within the internal volume 120 of the body 102. The pressure control system 150 generally includes a gas source 152 and an exhaust system 154. The gas source 152 is coupled to at least one inlet port 160 formed through the chamber body 102. The gas source 152 provides a vent gas utilized to raise and/or regulate pressure within the internal volume 120 of the chamber body 102. For example, the gas source 152 may flow vent gas into the internal volume 120 to facilitate transfer of the substrate 122 from a vacuum environment to an ambient environment. In one embodiment, the vent gas comprises at least one of nitrogen, helium, air or other suitable gas.
  • An inlet control valve 156 is disposed between the gas source 152 and the inlet port 160 to selectively control the flow of vent gases into the internal volume 120 of the body 102. The inlet control valve 156 is capable of providing a substantially leak-tight seal under vacuum conditions. In one embodiment, the gas source 152 is configured to control the attributes of the vent gas, such as the flow rate, temperature and/or humidity of the vent gas.
  • The exhaust system 154 is generally coupled to at least one exhaust port 162 formed through the chamber body 102. The exhaust system 154 is configured to remove gases from the internal volume 120 of the load lock chamber 100. The exhaust system 154 may include one or more vacuum pumps (not shown) and may be ultimately coupled to the facilities exhaust system (also not shown). For example, the exhaust system 154 may pump out gas from the internal volume 120 to facilitate transfer of the substrate 122 from an ambient environment to a vacuum environment.
  • An exhaust control valve 158 is disposed between the exhaust system 154 and the exhaust port 162 to selectively control the flow of gases exiting the internal volume 120 of the body 102. The exhaust control valve 158 is typically similar to the inlet control valve 156 and is capable of providing a substantially leak-tight seal under vacuum conditions.
  • In the embodiment depicted in FIG. 1, the exhaust port 162 and inlet port 160 are shown formed through opposing sidewalls 110, 108. Thus, when venting the internal volume 120, and/or during cooling of the substrate 122, a flow of vent gases (as represented by flow arrows 180) may be established across the surface of the substrate 122. The flow 180 is generally parallel to the plane of the substrate 122 and flows from one side to an opposite side of the substrate. The flow 180 generally increases the heat transfer rate between the substrate 122 and vent gases, advantageously increasing the cooling rate of the substrate. Moreover, by removing the vent gases during venting of the chamber, the vent gases heated by the substrate may be removed from the internal volume 120 of the load lock chamber 100 and replaced with cooler vent gases, thereby increasing the cooling rate of the substrate by substantially maintaining the temperature differential between the vent gas and substrate.
  • FIG. 3 is a schematic plan view of the load lock chamber 100 illustrating the flow 180 across the substrate 122. As stated in above with reference to FIG. 1, at least one exhaust port 162 and at least one inlet port 160 may be formed through opposing sidewalls 110, 108 of the chamber body 102. It is contemplated that a plurality of inlet ports 160 and/or a plurality of exhaust ports 162 may be utilized to tailor the profile of the flow 180 utilized to cool the substrate 122. For example as illustrated in FIG. 3, flow from each of the inlet ports 160 may be independently controlled by a dedicated flow inlet control valve 156. Optionally, and as shown in FIG. 3, the flow exiting the chamber body 102 through each of the exhaust ports 160 may be independently controlled by a dedicated exhaust control valve 158. By controlling the open/close state, and/or orifice of each of the valves 156, 158, the velocity of the flow 180 across different portions of the substrate 122 may be tailored to control the cooling rate of different portions of the substrate 122. The control of the substrate's cooling rate profile allows processors to compensate for the tendency of the substrate to cool more rapidly at the edges of the substrate, thereby facilitating uniform cooling the substrate. It is contemplated that a control valve may be coupled to more than one port. It is also contemplated that the inlet ports 160 may be distributed on more than one of the sidewalls of the body 102. It is also contemplated that the exhaust ports 162 may be distributed on more than one of the sidewalls of the body 102. It is also contemplated that some of the inlet and/or vent ports 160. 162 may be opened and/or closed over different periods of time to selectively change profile the flow 180, to initially vent the internal volume 120 of the chamber rapidly prior to creating a cross flow, and/or to remove heated vent gases during any stage of the transfer cycle through the load lock chamber 100.
  • In the embodiment depicted in FIG. 3, the gas source 156 is configured to individually control the temperature of the gases provided to the different inlet ports 160. For example, the gas source 156 may include a plurality of resistive heaters 350 (or other suitable temperature control device) for controlling the temperature of the vent gas traveling through each gas line 352 respectively routed to an inlet port 160. Alternatively, the heaters 350 may be interfaced with the gas lines 352 externally from the gas source 156. The heaters 350 allow the individual streams of vent gases entering the chamber body 102 through the inlet ports 160 to be set to different temperatures, thereby allowing greater flexibility in controlling the cooling rate profile of the substrate 122.
  • FIGS. 4A-B are perspective and top views of two embodiments of the temperature regulating plate 166. The temperature regulating plate 166 is generally fabricated from a thermally conductive or other suitable material, such as aluminum, and has a resistive heating element coupled thereto. One example of a resistive heating element 402 is depicted in FIG. 4A while another embodiment of a resistive heating element 442 is depicted in FIG. 4B.
  • The temperature regulating plate 166 is typically shaped to cover the plan area of the substrate. In the embodiments depicted in FIGS. 4A-B, the temperature regulating plate 166 is rectangular, having short sides 410 and long sides 412.
  • A plurality of holes 420 are formed through the temperature regulating plate 166 to allow fasteners 422 to pass therethrough. The fasteners 422 are utilized to couple the temperature regulating plate 166 to the top 104 of the load lock chamber 100. A stand-off 418 is disposed on each of the fasteners 422 to maintain a predefined spacing between the temperature regulating plate 166 and the top 104 of the load lock chamber 100. Optionally, the holes 420 around the perimeter of the temperature regulating plate 166 may be slotted to allow the temperature regulating plate 166 to expand and contract while maintaining a planar profile. The planar profile of the temperature regulating plate 166 beneficially maintains the spacing between the temperature regulating plate 166 and the substrate 122, thereby maintaining a predictable heating rate.
  • The resistive heating element 402 is generally disposed in a pattern on the plate 166. Terminals 404 are provided to couple to the power source 168. The resistive heating element 402 may be patterned to provide greater heat flux at predefined portions of the plate 166.
  • In the embodiment depicted in FIG. 4B, the resistive heating element 442 is configured to have a greater surface coverage (and, thus provide greater heat flux) along the sides 412 of the resistive heating element 402 relative to the center of the resistive heating element 402. This allows greater heat capacity proximate the edges of the substrate 112, which typically are difficult to maintain at a temperature proximate the center of the substrate.
  • FIG. 5 is a plan view of another embodiment of a temperature regulating plate 556. The plate 556 is generally similar to the plate 166 described above, except wherein the plate 556 includes zone heating control. For example, a plurality of resistive heating elements (two elements 502, 504 are illustratively shown, although any number of heating elements are contemplated) are disposed on the plate 556. Each heating element 502, 504 is independently controlled by the power source 168 so that the heating profile of the plate 556, and thus the temperature profile of the substrate 122, may be controlled. In the embodiment depicted in FIG. 5, the heating element 502 is located proximate the edges of the plate 556, while the heating element 504 is arranged primarily in an interior portion of the plate 556, thereby allowing the temperature of the substrate to be regulated independently between the substrate's edges and center region. The independent control between the zones, for example the substrate's edge and center regions, compensates for differences in the heating/cooling rates in those areas, allowing the substrate to be heated more uniformly.
  • FIG. 6 is a flow diagram of one embodiment of a method 600 for regulating the temperature of a substrate. The method 600 begins at step 602 by transferring a substrate 122 into the load lock chamber 100 from a vacuum environment, for example, from a transfer chamber of a cluster tool. At step 604, the substrate is isolated from the vacuum environment by sealing the substrate access port 116 with a slit valve door. At step 606, the inlet valve 156 is opened to vent the internal volume 120 of the load lock chamber 100. At step 608, the outlet valve 158 is opened to establish a flow of vent gases between the inlet port 160 and the exhaust port 162 across the surface of the substrate 122. The cross flow of vent gases across the substrate enhances the heat transfer efficiency between the vent gas and substrate, thereby cooling the substrate more rapidly. The rate and distribution of the vent gas flow across the substrate may be tailored to provide a desired temperature transfer profile as discussed above with reference to FIG. 3 by controlling which valves 156, 158 are open, and by controlling the temperature of the individual flows of vent gas entering the internal volume 120 of the load lock chamber 100 through the inlet ports 160. Optionally, at step 610, the cross flow is maintained after the pressure within the internal volume 120 of a load lock chamber 100 reaches a predefined value. At step 612, the substrate 122 is removed from the load lock chamber 100 into an ambient environment through the other substrate access port 116.
  • FIG. 7 is a flow diagram of another embodiment of a method 700 for regulating temperature of a substrate. The method 700 begins at step 702 by transferring a substrate 122 into the load lock chamber 100 from a vacuum environment. At step 704, the substrate is isolated from the vacuum environment by sealing the substrate access port 116 with a slit valve door. At step 706, the inlet valve 156 is opened to vent the internal volume 120 of the load lock chamber 100. At step 708, the outlet valve 158 is opened to remove vent gases heated by the substrate 122 from the internal volume 120 through the exhaust port 162. Step 708 may occur periodically, before and/or after the pressure within the internal volume 120 of a load lock chamber 100 reaches a predefined value. By removing the heated vent gas and maintaining a “fresh” supply of cool vent gas, the temperature differential between the substrate and vent gases is substantially maximized. At step 710, the substrate 122 is removed from the load lock chamber 100 into an ambient environment through the other substrate access port 116.
  • FIG. 8 is a top plan view of one embodiment of a process system 850 suitable for processing large area substrates (e.g., substrates having a plan area greater than about 2.7 square meter). The process system 850 typically includes a transfer chamber 808 coupled to a factory interface 812 by a load lock chamber 800 having a plurality of single substrate transfer chambers. The transfer chamber 808 has at least one dual blade vacuum robot 834 disposed therein that is adapted to transfer substrates between a plurality of circumscribing process chambers 832 and the load lock chamber 800. In one embodiment, one of the process chambers 832 is a pre-heat chamber that thermally conditions substrates prior to processing to enhance throughput of the system 850. Typically, the transfer chamber 808 is maintained at a vacuum condition to eliminate the necessity of adjusting the pressures between the transfer chamber 808 and the individual process chambers 832 after each substrate transfer.
  • The factory interface 812 generally includes a plurality of substrate storage cassettes 838 and a dual blade atmospheric robot 836. The cassettes 838 are generally removably disposed in a plurality of bays 840 formed on one side of the factory interface 812. The atmospheric robot 836 is adapted to transfer substrates 806 between the cassettes 838 and the load lock chamber 800. Typically, the factory interface 812 is maintained at or slightly above atmospheric pressure.
  • FIG. 9 is a sectional view of one embodiment of the multi-chamber load lock 800 of FIG. 8. The load lock chamber 800 has a chamber body 912 that includes a plurality of vertically-stacked, environmentally-isolated substrate transfer chambers that are separated by vacuum-tight, horizontal interior walls 914. Although three single substrate transfer chambers 920, 922, 924 are shown in the embodiment depicted in FIG. 9, it is contemplated that the chamber body 912 of load lock chamber 800 may include two or more vertically-stacked substrate transfer chambers. For example, the load lock chamber 800 may include N substrate transfer chambers separated by N-1 horizontal interior walls 914, where N is an integer greater than one.
  • The substrate transfer chambers 920, 922, 924 are each configured to accommodate a single large area substrate 810 so that the volume of each chamber may be minimized to enhance fast pumping and vent cycles. In the embodiment depicted in FIG. 9, each substrate transfer chamber 920, 922, 924 has an internal volume of equal to or less than about 8000 liters to accommodate substrates having a plan surface area of about 9.7 square meters. For comparison, a dual slot dual substrate transfer chamber of a conventional design described in U.S. patent application Ser. No. 09/957,784 has an internal volume of about 1600 liters. It is contemplated that a substrate transfer chamber of the present invention having a greater width and/or length and equal height may be configured to accommodate even larger substrates.
  • The chamber body 912 includes first sidewall 902, a second sidewall 904, a third sidewall 906, a bottom 908 and a top 910. A fourth sidewall 1002 is shown opposite the third sidewall 906 in FIG. 10. The body 912 is fabricated from a rigid material suitable for use under vacuum conditions. In one embodiment, the chamber body 912 is fabricated from a single block (e.g., one piece) of aluminum. Alternatively, the chamber body 912 may be fabricated from modular sections, each modular section generally comprising a portion of one of the substrate transfer chambers 920, 922, 924, and assembled in a fashion suitable to maintain vacuum integrity, such as continuous welds shown by dashed lines 918.
  • In the embodiment depicted in FIG. 9, the interior walls 914 and the remaining portions of the chamber body 912 other than the second sidewall 906 are fabricated from a single contiguous mass of material. The second sidewall 906 is sealably coupled to the other portions of the chamber body 912 to facilitate machining of the substrate transfer chambers 920, 922, 924 and to allow access to the interior portions of the chamber body 912 during fabrication and assembly.
  • Alternatively, the horizontal walls 914 of the chamber body 912 may be vacuum sealed to sidewalls of the chamber body 912, thereby isolating the substrate transfer chambers 920, 922, 924. For example, the horizontal walls 914 may be continuously welded to the chamber body 912 to allow greater access to the entire interior of the chamber body 912 during early assembly stages of the load lock chamber 800.
  • Each of the substrate transfer chambers 920, 922, 924 defined in the chamber body 912 includes two substrate access ports. The ports are configured to facilitate the entry and egress of large area substrates 810 from the load lock chamber 800. In the embodiment depicted in FIG. 9, the first substrate transfer chamber 920 bounded at the bottom 908 of the chamber body 912 includes a first substrate access port 930 and a second substrate access port 932 having a width greater than 2000 mm. The first substrate access port 930 is formed through the first sidewall 902 of the chamber body 912 and couples the first substrate transfer chamber 920 to the central transfer chamber 808 of the processing system 850. The second substrate access port 932 is formed through the second wall 904 of the chamber body 912 and couples the first substrate transfer chamber 920 to the factory interface 812. In the embodiment depicted in FIG. 9, the substrate access ports 930, 932 are disposed on opposite sides of the chamber body 912, however, the ports 930, 932 may alternatively be positioned on adjacent walls of the body 912.
  • Each of the substrate access ports 930, 932 is selectively sealed by a respective slit valve 926, 928 adapted to selectively isolate the first substrate transfer chamber 920 from the environments of the transfer chamber 808 and the factory interface 812. The slit valves 926, 928 are moved between an open and closed position by an actuator 942 (one actuator 942 shown in phantom in FIG. 9 is normally positioned outside the chamber body 912). In the embodiment depicted in FIG. 9, each of the slit valves 926, 928 is pivotally coupled to the chamber body 912 along a first edge and rotated between the open and closed position by the actuator 942.
  • The first slit valve 926 seals the first substrate access port 930 from the interior side of the first sidewall 902 and is thereby positioned within the first substrate transfer chamber 920 such that a vacuum (e.g., pressure) differential between the first substrate transfer chamber 920 and the vacuum environment of the central transfer chamber 808 assists in loading and sealing the slit valve 926 against the first sidewall 902, thereby enhancing the vacuum seal. Correspondingly, the second slit valve 928 is disposed on the exterior of the second sidewall 904 and is thereby positioned such that the pressure differential between the ambient environment of the factory interface 812 and the vacuum environment of the first substrate transfer chamber 920 assists in sealing the second substrate access port 932. Examples of slit valves that may be adapted to benefit from the invention are described in U.S. Pat. No. 5,579,718, issued Dec. 10, 1996 to Freerks and U.S. Pat. No. 6,045,620, issued Apr. 11, 2000 to Tepman et al., both of which are hereby incorporated by reference in their entireties.
  • The second substrate transfer chamber 922 is similarly configured with access ports 934, 936 and slit valves 926, 928. The third substrate transfer chamber 924 is similarly configured with access ports 938, 940 and slit valves 926, 928.
  • The substrate 810 is supported above the bottom 908 of the first substrate transfer chamber 920 and the interior walls 914 bounding the bottom of the second and third substrate transfer chambers 922, 924 by a plurality of substrate supports 944. The substrate supports 944 are configured and spaced to support the substrate 810 at an elevation above the bottom 908 (or walls 914) to avoid contact of the substrate with the chamber body 912. The substrate supports 944 are configured to minimize scratching and contamination of the substrate. In the embodiment depicted in FIG. 9, the substrate supports 944 are stainless pins having a rounded upper end 946. Other suitable substrate supports are described in U.S. Pat. No. 6,528,767, filed Mar. 11, 2003; U.S. patent application Ser. No. 09/982,406, filed Oct. 17, 2001; and U.S. patent application Ser. No. 10/376,857, filed Feb. 27, 2003, all of which are incorporated by reference in their entireties.
  • FIG. 10 is a sectional view of the load lock chamber 800 taken along section line 10-10 of FIG. 9. The sidewalls of each of the substrate transfer chambers 920, 922, 924 include at least one port disposed therethrough to facilitate controlling the pressure within the internal volume of each chamber. In the embodiment depicted in FIG. 10, the chamber body 912 includes an inlet port 1004 formed through the fourth sidewall 1002 and an outlet port 1006 formed through the third sidewall 906 of the chamber body 912 for venting and pumping down of the first substrate transfer chamber 920. Valves 1010, 1012 are respectively coupled to the inlet port 1004 and outlet port 1006 to selectively prevent flow therethrough. The outlet port 1006 is coupled to a vacuum pump 1008 that is utilized to selectively lower the pressure within the internal volume of the first substrate transfer chamber 920 to a level that substantially matches the pressure of the transfer chamber 808. The flow through inlet and outlet ports 1004, 1006 may be controlled to enhance cooling of substrates as described with reference to FIGS. 1-7.
  • Referring additionally to FIG. 9, when the pressures between the transfer chamber 808 and the first substrate transfer chamber 920 of the load lock chamber 800 are substantially equal, the slit valve 926 may be opened to allow processed substrates to be transferred to the load lock chamber 800 and substrates to be processed transferred to the transfer chamber 808 by the vacuum robot 834 through the first substrate access port 930. After placing the substrate returning from the transfer chamber 808 in the first substrate transfer chamber 920 of the load lock chamber 800, the slit valve 926 is closed and the valve 1010 is opened thereby allowing venting gas, for example N2 and/or He, into the first substrate transfer chamber 920 of the load lock chamber 800 through the inlet port and raising the pressure within the internal volume 8.10. Typically, the venting gas entering the internal volume 810 through the inlet port 1004 is filtered to minimize potential particulate contamination of the substrate. Once the pressure within the first substrate transfer chamber 920 is substantially equal to that of the factory interface 812, the slit valve 924 opens, thus allowing the atmospheric robot 836 to transfer substrates between the first substrate transfer chamber 920 and the substrate storage cassettes 838 coupled to the factory interface 812 through the second substrate access port 932.
  • The other substrate transfer chambers 922, 924 are similarly configured. Although each of the substrate transfer chambers 920, 922, 924 are shown with individual pumps 1008, one or more of the substrate transfer chambers 920, 922, 924 may share a single vacuum pump equipped with appropriate flow controls to facilitate selective pumping between chambers.
  • As the substrate transfer chambers 920, 924, 926 are configured with less than or equal to about 1000 liters of volume, the load lock chamber 800 may transfer about 840 substrates per hour at a reduced pumping rate as compared to a conventional dual substrate dual slot load lock chamber 900, as described in FIG. 9 above, which has a substrate transfer rate of about 830 substrates per hour. Increasing the pumping rate of the load lock chamber 900 to boost the throughput would result in condensation forming within the chamber. The reduced pumping rate of the present invention is between about 160-180 seconds per pump/vent cycles as compared to about 130 seconds per cycle of the load lock chamber described in the conventional design described in U.S. patent application Ser. No. 09/957,784. The substantially longer cycle reduces air velocity within the chamber, thereby reducing the probability of particular contamination of the substrate, while eliminating the condensation. Moreover, greater substrate throughput is achieved using pumps 1008 having lower capacity, which contributes to reducing the system costs.
  • Furthermore, due to the stacked configuration of the substrate transfer chambers, greater substrate throughput is realized without increasing the footprint of the load lock chamber more than would be necessary to transfer a single substrate. A minimized footprint is highly desirable in reducing the overall cost of the FAB. Additionally, the overall height of the load lock having three substrate transfer chambers 920, 922, 924 is less than conventional dual chambered system, further providing greater throughput in a smaller, less expensive package.
  • The stacked configuration also allows for predefined substrate transfer chambers to be dedicated for heating or cooling. For example, a greater number of substrate transfer chambers may be configured to heat the substrates entering the transfer chamber than cool processed substrates (and vice versa). For example the substrate transfer chambers 920, 922 may be configured to heat substrates (e.g., have a heating plate), while the substrate transfer chamber 924 may be configured to cool the substrate. In another example, the substrate transfer chambers 920, 922 may be configured to cool substrates, while the substrate transfer chamber 924 may be configured to heat the substrate.
  • In yet other embodiment, substrate transfers through the substrate transfer chambers (such as the chambers 920, 922, 924) may be dedicated for only heating (or cooling). In this configuration, the chambers 920, 922, 924 undergo less thermal cycling than if one or more of the chambers were utilized for heating and cooling, thereby reducing the amount of chamber expansion and contraction which may result in particle generation and/or particle release from chamber components.
  • The bottom 908 of the first substrate transfer chamber 920 and the interior walls 914 bounding the bottom of the second and third substrate transfer chambers 922, 924 may also include one or more grooves 1016 formed therein. As depicted in FIGS. 11A-B, the grooves 1016 are configured to provide clearance between the substrate 810 disposed on the substrate supports 944 and a robot blade 1102.
  • The blade 1102 (one finger of which is shown in FIGS. 11A-B) is moved into the groove 1016. Once in a predefined position within the first substrate transfer chamber 920, the blade 1102 is elevated to lift the substrate 810 from the supports 944. The blade 1102 carrying the substrate 810 is then retracted from the first substrate transfer chamber 920. The substrate 810 is placed on the substrate supports 944 in the reverse manner.
  • FIG. 12 is a partial sectional view of the chamber body 912 showing one embodiment of an alignment mechanism 1200 that may be utilized to urge the substrate 810 into a predefined position in the first substrate transfer chamber 920. A second alignment mechanism (not shown) is disposed in the opposite corner of the first substrate transfer chamber 920 to work in concert with the mechanism 1200 shown. Optionally, one alignment mechanism 1200 may be disposed in each corner of the first substrate transfer chamber 920. The other substrate transfer chambers 922, 926 are similarly equipped to align the substrates.
  • For example, the alignment apparatus 1200 may correct positional inaccuracies between a deposited position of the substrate 810 as placed by the atmospheric robot 836 on the substrate supports 944 and a predefined (i.e., designed) position of the substrate 810 relative the substrate supports 944. Having the position of the substrate 810 aligned by the alignment apparatus 1200 within the load lock chamber 800 independent from conventional correction methods that utilize the atmospheric robot 836 to adjust the substrate placement allows greater flexibility and lower system costs. For example, the support plate 860 with alignment apparatus 1200 provides greater compatibility between the load lock chamber 800 and user supplied factory interfaces 812 since the load lock chamber 800 is more tolerant to substrate position on the substrate supports 944, thereby reducing the need for robots of great precision and/or corrective robot motion algorithms generated by the factory interface provider. Moreover, as the positional accuracy designed criteria for the atmospheric robot 836 is diminished, less costly robots may be utilized.
  • In the embodiment of FIG. 12, the alignment mechanism includes two rollers 1202, 1204 coupled to a first end 1206 of a lever 1208. The lever 1208 extending through a slot 1218 formed through the sidewall 1002 pivots about a pin 1210. An actuator 1212 is coupled to the lever 1208 such that the rollers 1202, 1204 may be urged against adjacent edges 1214, 1216 of the substrate 810. The actuator 1212, such as a pneumatic cylinder, is generally positioned on the exterior of the chamber body 912. A housing 1220 is sealably disposed over the slot 1218 and includes bellows or other suitable seals 1122 to facilitate coupling of the actuator 1212 to the lever 1208 without vacuum leakage. The alignment mechanism 1200 and the opposing alignment mechanism (not shown) work in concert to position the substrate in a predefined position within the first substrate transfer chamber 920. Other substrate alignment mechanisms that may be utilized are described in U.S. patent application Ser. No. 10/094,156, filed Mar. 15, 2002; and U.S. patent application Ser. No. 10/084,762, filed Feb. 22, 2002, all of which are incorporated by reference in their entireties.
  • FIGS. 13-14 are sectional views of another embodiment of an alignment mechanism 1300. The alignment mechanism 1300 is configured to operate similar to the alignment mechanism 1200 described above. Although only one alignment mechanism 1300 is shown in FIG. 13, the alignment mechanism 1300 operates in concert with another alignment mechanism (not shown) disposed in the opposite corner of the chamber body 920. Optionally, each corner of the chamber body 900 may includes an alignment mechanism.
  • The alignment mechanism 1300 generally includes an interior lever 1302 coupled to an actuator 1308 by a shaft 1304 disposed through the chamber body 920. In the embodiment depicted in FIGS. 13-14, the actuator 1308 is coupled to the shaft 1304 by an exterior lever 1306. The exterior lever 1306 is coupled to a post 1420 of the shaft 1304 that extended into a recess 1402 defined in the exterior wall of the chamber body 920. The actuator 1308 may be a motor, linear actuator or other device suitable for imparting rotary motion to the shaft 1304. The interior lever 1302 rotates with the shaft 1304, thereby moving a pair of rollers 1202, 1204 extending from the lever 1302 to urge a substrate 810 (shown in phantom) into a predefined position.
  • The shaft 1304 passes through a horizontal wall 1312 defining the bottom of the recess 1310. The shaft 1304 is disposed through a hollow housing 1314 that is secured to the chamber body 920 by a plurality of fasteners 1316. A pair of bushings 1406, 1412 are disposed in a bore 1408 of the housing 1314 to facilitate rotation of the shaft 1304 within the housing 1314. A seal 1404 is disposed between a flange 1410 of the housing 1314 to maintain the vacuum integrity of the chamber body 920.
  • A plurality of seals 1414 are disposed between the shaft 1304 and housing 1314 to prevent vacuum loss. In the embodiment depicted in FIG. 14, the seals 1414 comprise three cup seals having an open end facing the exterior lever 1306. The seals 1414 are retained within the bore 1408 by a washer 1416 and retaining ring 1418.
  • Thus, a load lock chamber having substrate temperature control is provided. The configuration of ports providing and removing vent gases from the chamber enhance substrate cooling. Additionally, the temperature regulating plate with resistive heater facilitates good control of substrate heating while minimizing particulate generation. In one embodiment, the vertically stacked single substrate transfer chambers contributes to reduced size and greater throughput as compared to conventional state of the art, dual slot dual substrate designs. Moreover, the increased throughput has been realized at reduced pumping and venting rates, which corresponds to reduced probability of substrate contamination due to particulates and condensation.
  • While the foregoing is directed to the preferred embodiment of the invention, other and further embodiments of the invention may be without departing from the basic scope thereof. The scope of the n is determined by the claims which follow.

Claims (28)

1. A load lock chamber comprising:
a chamber body having a first side adapted for coupling to a vacuum chamber and a second side adapted for coupling to a factory interface;
N vertically stacked substrate transfer chambers formed in the chamber body, where N is an integer greater than two;
N-1 interior walls, each interior wall separating and environmentally isolating adjacent substrate transfer chambers;
a substrate support disposed in each of the substrate transfer chambers;
a plate disposed above the substrate support in at least one of the substrate transfer chambers; and
a resistive heater coupled to the plate.
2. The load lock chamber of claim 1, wherein the resistive heater is configured to provide greater heat flux proximate an edge of the plate relative to a center of the plate.
3. The load lock chamber of claim 1, wherein each of the substrate transfer chambers further comprises:
a cooling plate.
4. The load lock chamber of claim 3, wherein the cooling plate further comprises:
a plurality of passages adapted to flow a heat transfer fluid therethrough.
5. The load lock chamber of claim 3, wherein the substrate supports further comprises:
a plurality of substrate support pins, at least one of the substrate support pins disposed through the cooling plate.
6. The load lock chamber of claim 5 further comprising:
an actuator coupled to the cooling plate and adapted to control the elevation of the cooling plate relative to a distal end of the substrate support pins.
7. The load lock chamber of claim 1, wherein the substrate transfer chamber has an internal volume of less than or equal to about 1000 cubic liters.
8. The load lock chamber of claim 1, wherein each of the substrate transfer chambers are adapted to accommodate a substrate having a plan area of at least 2.7 square meters.
9. The load lock chamber of claim 1, wherein at least a first chamber of the substrate transfer chambers further comprises:
at least one inlet port and at least one outlet port adapted to regulate pressure within the first chamber, wherein at least one inlet port is disposed on a sidewall of the first chamber opposite the outlet port.
10. The load lock chamber of claim 1, wherein at least a first chamber of the substrate transfer chambers further comprises:
a plurality of inlet ports;
at least two control valves coupled to the inlet ports and adapted to independently regulate flow from at least two of the inlet ports into the first chamber.
11. The load lock chamber of claim 1, wherein at least a first chamber of the substrate transfer chambers further comprises:
a plurality of inlet ports;
at least two heaters configured to independently regulate temperatures of flows from at least two of the inlet ports into the first chamber.
12. A load lock chamber comprising:
a chamber body having a first substrate transfer port and a second substrate transfer port disposed therein;
a substrate transfer chamber formed in the chamber body;
a plurality of vent ports disposed through at least one sidewall of the chamber body and fluidly coupled to the substrate transfer chamber; and
at least one pump port disposed in at least one sidewall of the chamber body and fluidly coupled to the substrate transfer chamber.
13. The load lock chamber of claim 12, wherein the pump port is disposed on a sidewall opposite at least one of the vent ports.
14. The load lock chamber of claim 12, at least one pump port further comprises:
a plurality of vent ports.
15. A method for regulating temperature of a substrate in a load lock chamber, comprising:
transferring a substrate from a vacuum environment into a load lock chamber;
sealing the load lock chamber from the vacuum environment;
flowing a vent gas into the load lock chamber to increase pressure therein;
removing a portion of the vent gas from the load lock chamber; and
opening a substrate access port between the load lock chamber and an environment having a pressure greater than the vacuum environment.
16. The method of claim 15 further comprising:
flowing vent gas between an inlet port and an outlet port while increasing the pressure within the load lock chamber.
17. The method of claim 15 further comprising:
flowing vent gas between an inlet port and an outlet port while maintaining substantially constant pressure within the load lock chamber.
18. The method of claim 17 further comprising:
flowing vent gas between an inlet port and an outlet port while increasing the pressure within the load lock chamber for a first period of time; and
flowing vent gas between the inlet port and the outlet port while maintaining substantially constant pressure within the load lock chamber for a second period of time.
19. The method of claim 15 further comprising:
flowing vent gas into the load lock chamber from a first inlet port; and
flowing vent gas into the load lock chamber from a second inlet port.
20. The method of claim 19 further comprising:
controlling a flow rate of vent gas through the first inlet port independently from a flow rate of vent gas through the second inlet port.
21. The method of claim 19 further comprising:
controlling a temperature of the vent gas flowing through the first inlet port independently from a temperature of the vent gas flowing through the second inlet port.
22. The method of claim 15, wherein the load lock chamber further comprises an upper transfer chamber, a middle transfer chamber and a lower transfer chamber, and wherein the method further comprises:
transferring all substrates to be processed from the ambient environment to the vacuum environment through the upper transfer chamber; and
transferring substrates from the vacuum environment to the ambient environment through the lower transfer chamber.
23. The method of claim 22 further comprising:
heating substrates passing through the upper transfer chamber by applying power to a resistive heater disposed in the upper transfer chamber.
24. The method of claim 22 further comprising:
transferring substrates from the vacuum environment to the ambient environment only through the lower transfer chamber and the middle transfer chamber.
25. A method for regulating temperature of a substrate in a load lock chamber, comprising:
providing a substrate in the load lock chamber sealed between a vacuum environment and an ambient environment;
flowing a gas into contact with the substrate disposed in the sealed chamber; and
removing a portion of the gas from the sealed load lock chamber.
26. The method of claim 25, wherein the steps of flowing and removing the gas further comprises:
flowing the gas from at least one inlet port across the substrate and out an exhaust port in a direction substantially parallel to a plane of the substrate.
27. The method of claim 25, wherein the step of flowing the gas into the load lock chamber further comprises:
controlling a flow rate of gas through a first inlet port independently from a flow rate of gas through a second inlet port.
28. The method of claim 25, wherein the step of flowing the gas into the load lock chamber further comprises:
controlling a temperature of the gas flowing through a first inlet port independently from a temperature of a gas flowing through a second inlet port.
US11/176,747 2005-07-07 2005-07-07 Load lock chamber with substrate temperature regulation Abandoned US20070006936A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/176,747 US20070006936A1 (en) 2005-07-07 2005-07-07 Load lock chamber with substrate temperature regulation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/176,747 US20070006936A1 (en) 2005-07-07 2005-07-07 Load lock chamber with substrate temperature regulation

Publications (1)

Publication Number Publication Date
US20070006936A1 true US20070006936A1 (en) 2007-01-11

Family

ID=37617224

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/176,747 Abandoned US20070006936A1 (en) 2005-07-07 2005-07-07 Load lock chamber with substrate temperature regulation

Country Status (1)

Country Link
US (1) US20070006936A1 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070157886A1 (en) * 2005-09-30 2007-07-12 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070165356A1 (en) * 2003-04-22 2007-07-19 Applied Materials, Inc. Substrate support having heat transfer system
US20080118236A1 (en) * 2006-08-14 2008-05-22 Applied Materials, Inc. Load lock chamber with heater in tube
US20100054905A1 (en) * 2008-08-27 2010-03-04 Mehran Behdjat Load lock chamber for large area substrate processing system
US20100226736A1 (en) * 2009-03-05 2010-09-09 Applied Materials, Inc. Stacked Load-Lock Apparatus and Method for High Throughput Solar Cell Manufacturing
US20110024047A1 (en) * 2003-04-22 2011-02-03 Applied Materials, Inc. Substrate support having fluid channel
KR20130117524A (en) * 2012-04-18 2013-10-28 삼성디스플레이 주식회사 Method for fabricating array subtrate and fabrication apparatus used therefor
US20150132100A1 (en) * 2007-06-27 2015-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature Controlled Loadlock Chamber
WO2016182726A1 (en) * 2015-05-08 2016-11-17 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US10256125B2 (en) * 2013-03-15 2019-04-09 Applied Materials, Inc. Wafer processing systems including multi-position batch load lock apparatus with temperature control capability
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US20210343957A1 (en) * 2018-05-09 2021-11-04 Sakai Display Products Corporation Method and apparatus for manufacturing flexible light-emitting device
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US20220348369A1 (en) * 2021-04-29 2022-11-03 Multivac Sepp Haggenmueller Se & Co. Kg Sealing machine for the sealing of packages
WO2023023001A1 (en) * 2021-08-16 2023-02-23 Applied Materials, Inc. Prevention of contamination of substrates during pressure changes in processing systems
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4311542A (en) * 1979-02-07 1982-01-19 Breveteam S.A. Method for manufacturing a strip-shaped composite body
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4759681A (en) * 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4895107A (en) * 1987-07-06 1990-01-23 Kabushiki Kaisha Toshiba Photo chemical reaction apparatus
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US4989543A (en) * 1987-10-15 1991-02-05 Solems (S.A.) Process and means for producing films for use in electronics and/or optoelectronics using plasma
US4990047A (en) * 1988-05-24 1991-02-05 Balzers Aktiengesellschaft Vacuum apparatus
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US5131460A (en) * 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5288379A (en) * 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5421889A (en) * 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
US5443346A (en) * 1992-07-03 1995-08-22 Shinko Electric Co., Ltd. Wafer conveying system in a clean room
US5445484A (en) * 1990-11-26 1995-08-29 Hitachi, Ltd. Vacuum processing system
US5512320A (en) * 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5609689A (en) * 1995-06-09 1997-03-11 Tokyo Electron Limited Vacuum process apparaus
US5611865A (en) * 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5611655A (en) * 1993-04-23 1997-03-18 Tokyo Electron Limited Vacuum process apparatus and vacuum processing method
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5636964A (en) * 1993-07-15 1997-06-10 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5655277A (en) * 1995-10-17 1997-08-12 Balzers Aktiengesellschaft Vacuum apparatus for the surface treatment of workpieces
US5716207A (en) * 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5784799A (en) * 1990-08-29 1998-07-28 Hitachi, Ltd. Vacuum processing apparatus for substate wafers
US5793050A (en) * 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5795355A (en) * 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5855726A (en) * 1995-07-19 1999-01-05 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US5884009A (en) * 1997-08-07 1999-03-16 Tokyo Electron Limited Substrate treatment system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5913978A (en) * 1995-04-20 1999-06-22 Tokyo Electron Ltd. Apparatus and method for regulating pressure in two chambers
US5933426A (en) * 1996-04-11 1999-08-03 Fujitsu Limited Interface apparatus of ATM/STM coexistence network system
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5942013A (en) * 1996-09-13 1999-08-24 Tokyo Electron Limited Substrate processing system
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6012192A (en) * 1997-04-21 2000-01-11 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US6039770A (en) * 1997-06-25 2000-03-21 Samsung Electronics Co., Ltd. Semiconductor device manufacturing system having means for reducing a pressure difference between loadlock and processing chambers
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6044534A (en) * 1995-12-07 2000-04-04 Nec Corporation Semiconductor device manufacturing machine and method for manufacturing a semiconductor device by using the same manufacturing machine
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6338626B1 (en) * 1997-09-10 2002-01-15 Tokyo Electron Limited Load-lock mechanism and processing apparatus
US6340405B2 (en) * 1996-12-24 2002-01-22 Samsung Electronics Co., Ltd. Etching apparatus for manufacturing semiconductor devices
US20020034886A1 (en) * 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment
US6382895B1 (en) * 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6431807B1 (en) * 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6503365B1 (en) * 1998-04-21 2003-01-07 Samsung Electronics Co., Ltd. Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US20030084848A1 (en) * 2001-06-22 2003-05-08 Tokyo Electron Limited Gas temperature control for a plasma process
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6568552B1 (en) * 1997-11-28 2003-05-27 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US20050095088A1 (en) * 2003-10-20 2005-05-05 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4311542A (en) * 1979-02-07 1982-01-19 Breveteam S.A. Method for manufacturing a strip-shaped composite body
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4759681A (en) * 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4895107A (en) * 1987-07-06 1990-01-23 Kabushiki Kaisha Toshiba Photo chemical reaction apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
US4989543A (en) * 1987-10-15 1991-02-05 Solems (S.A.) Process and means for producing films for use in electronics and/or optoelectronics using plasma
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4990047A (en) * 1988-05-24 1991-02-05 Balzers Aktiengesellschaft Vacuum apparatus
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5784799A (en) * 1990-08-29 1998-07-28 Hitachi, Ltd. Vacuum processing apparatus for substate wafers
US5445484A (en) * 1990-11-26 1995-08-29 Hitachi, Ltd. Vacuum processing system
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) * 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
US5288379A (en) * 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5443346A (en) * 1992-07-03 1995-08-22 Shinko Electric Co., Ltd. Wafer conveying system in a clean room
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5512320A (en) * 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5611865A (en) * 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5611655A (en) * 1993-04-23 1997-03-18 Tokyo Electron Limited Vacuum process apparatus and vacuum processing method
US5421889A (en) * 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
US5636964A (en) * 1993-07-15 1997-06-10 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5913978A (en) * 1995-04-20 1999-06-22 Tokyo Electron Ltd. Apparatus and method for regulating pressure in two chambers
US5609689A (en) * 1995-06-09 1997-03-11 Tokyo Electron Limited Vacuum process apparaus
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
US5855726A (en) * 1995-07-19 1999-01-05 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US5716207A (en) * 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
US5655277A (en) * 1995-10-17 1997-08-12 Balzers Aktiengesellschaft Vacuum apparatus for the surface treatment of workpieces
US6044534A (en) * 1995-12-07 2000-04-04 Nec Corporation Semiconductor device manufacturing machine and method for manufacturing a semiconductor device by using the same manufacturing machine
US5793050A (en) * 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5933426A (en) * 1996-04-11 1999-08-03 Fujitsu Limited Interface apparatus of ATM/STM coexistence network system
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US5942013A (en) * 1996-09-13 1999-08-24 Tokyo Electron Limited Substrate processing system
US6254328B1 (en) * 1996-10-02 2001-07-03 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6340405B2 (en) * 1996-12-24 2002-01-22 Samsung Electronics Co., Ltd. Etching apparatus for manufacturing semiconductor devices
US5795355A (en) * 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US6012192A (en) * 1997-04-21 2000-01-11 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6039770A (en) * 1997-06-25 2000-03-21 Samsung Electronics Co., Ltd. Semiconductor device manufacturing system having means for reducing a pressure difference between loadlock and processing chambers
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US5884009A (en) * 1997-08-07 1999-03-16 Tokyo Electron Limited Substrate treatment system
US6338626B1 (en) * 1997-09-10 2002-01-15 Tokyo Electron Limited Load-lock mechanism and processing apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6568552B1 (en) * 1997-11-28 2003-05-27 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6503365B1 (en) * 1998-04-21 2003-01-07 Samsung Electronics Co., Ltd. Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6193507B1 (en) * 1998-05-20 2001-02-27 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6431807B1 (en) * 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6382895B1 (en) * 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US20020034886A1 (en) * 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment
US20030084848A1 (en) * 2001-06-22 2003-05-08 Tokyo Electron Limited Gas temperature control for a plasma process
US20050095088A1 (en) * 2003-10-20 2005-05-05 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070165356A1 (en) * 2003-04-22 2007-07-19 Applied Materials, Inc. Substrate support having heat transfer system
US20110024047A1 (en) * 2003-04-22 2011-02-03 Applied Materials, Inc. Substrate support having fluid channel
US7768765B2 (en) 2003-04-22 2010-08-03 Applied Materials, Inc. Substrate support having heat transfer system
US8279577B2 (en) 2003-04-22 2012-10-02 Applied Materials, Inc. Substrate support having fluid channel
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070157886A1 (en) * 2005-09-30 2007-07-12 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US7822324B2 (en) 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US20080118236A1 (en) * 2006-08-14 2008-05-22 Applied Materials, Inc. Load lock chamber with heater in tube
US9698030B2 (en) * 2007-06-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature controlled loadlock chamber
US20150132100A1 (en) * 2007-06-27 2015-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature Controlled Loadlock Chamber
US20100054905A1 (en) * 2008-08-27 2010-03-04 Mehran Behdjat Load lock chamber for large area substrate processing system
CN102138200A (en) * 2008-08-27 2011-07-27 应用材料股份有限公司 Load lock chamber for large area substrate processing system
US8070408B2 (en) 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2010102187A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
WO2010102187A3 (en) * 2009-03-05 2011-01-13 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
US8246284B2 (en) 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
US20100226736A1 (en) * 2009-03-05 2010-09-09 Applied Materials, Inc. Stacked Load-Lock Apparatus and Method for High Throughput Solar Cell Manufacturing
KR101988014B1 (en) 2012-04-18 2019-06-13 삼성디스플레이 주식회사 Method for fabricating array subtrate and fabrication apparatus used therefor
KR20130117524A (en) * 2012-04-18 2013-10-28 삼성디스플레이 주식회사 Method for fabricating array subtrate and fabrication apparatus used therefor
US10586720B2 (en) 2013-03-15 2020-03-10 Applied Materials, Inc. Wafer processing systems including multi-position batch load lock apparatus with temperature control capability
US10256125B2 (en) * 2013-03-15 2019-04-09 Applied Materials, Inc. Wafer processing systems including multi-position batch load lock apparatus with temperature control capability
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
WO2016182726A1 (en) * 2015-05-08 2016-11-17 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US20210343957A1 (en) * 2018-05-09 2021-11-04 Sakai Display Products Corporation Method and apparatus for manufacturing flexible light-emitting device
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US11195734B2 (en) 2018-05-18 2021-12-07 Applied Materials, Inc. Dual load lock chamber
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US20220348369A1 (en) * 2021-04-29 2022-11-03 Multivac Sepp Haggenmueller Se & Co. Kg Sealing machine for the sealing of packages
WO2023023001A1 (en) * 2021-08-16 2023-02-23 Applied Materials, Inc. Prevention of contamination of substrates during pressure changes in processing systems

Similar Documents

Publication Publication Date Title
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
US7651315B2 (en) Large area substrate transferring method for aligning with horizontal actuation of lever arm
KR100848899B1 (en) Decoupled chamber body
US7665951B2 (en) Multiple slot load lock chamber and method of operation
US8124907B2 (en) Load lock chamber with decoupled slit valve door seal compartment
US7822324B2 (en) Load lock chamber with heater in tube
US7461794B2 (en) Substrate temperature regulating support pins
US6896513B2 (en) Large area substrate processing system
WO2017209881A1 (en) Dodecadon transfer chamber and processing system having the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HOSOKAWA, AKIHIRO;INAGAWA, MAKOTO;REEL/FRAME:016772/0880

Effective date: 20050705

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION