US20070018327A1 - Semiconductor integrated circuit device and process for manufacturing the same - Google Patents

Semiconductor integrated circuit device and process for manufacturing the same Download PDF

Info

Publication number
US20070018327A1
US20070018327A1 US11/529,481 US52948106A US2007018327A1 US 20070018327 A1 US20070018327 A1 US 20070018327A1 US 52948106 A US52948106 A US 52948106A US 2007018327 A1 US2007018327 A1 US 2007018327A1
Authority
US
United States
Prior art keywords
insulating film
film
layer
silicon nitride
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/529,481
Inventor
Tsuyoshi Fujiwara
Masahiro Ushiyama
Katsuhiko Ichinose
Naohumi Ohashi
Tetsuo Saito
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/529,481 priority Critical patent/US20070018327A1/en
Publication of US20070018327A1 publication Critical patent/US20070018327A1/en
Priority to US12/046,789 priority patent/US20080157219A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Definitions

  • the present invention relates to a semiconductor device and a process of manufacture thereof; and, more particularly, the invention relates to a technique that is effective in producing a highly integrated circuit device that has a high performance and a high reliability.
  • Japanese Patent Application Laid-Open No. Hei 11(1999)-26714 discloses a technique which calls for covering, with a silicon nitride film, gate electrodes of a MISFET (Metal Insulator Semiconductor Field Effect Transistor) constituting the memory cell of a DRAM, forming an interlayer insulating film made of a silicon oxide film, and then forming a plug for connecting source and drain regions of the MISFET.
  • MISFET Metal Insulator Semiconductor Field Effect Transistor
  • etching is carried out in two sub-steps, that is, a first etching sub-step permitting etching of the silicon oxide film, but not permitting easy etching of the silicon nitride film, and a second etching sub-step permitting etching of the silicon nitride film.
  • MISFET selective MISFET
  • the silicon nitride film covering the gate electrodes serves as an etching stopper, whereby the connecting hole can be processed in self alignment with the gate electrode.
  • the silicon oxide film is formed so as to be thinner than the silicon oxide film serving as an interlayer insulating film, and the silicon nitride film serves as an etching stopper so that sufficient overetching can be conducted in the above-described first etching sub-step.
  • Even a minute connecting hole, or a connecting hole having a great aspect ratio, can be formed with a uniform thickness on the wafer, and, in addition, the process margin can be increased.
  • the second etching sub-step owing to a sufficiently small thickness of the silicon nitride film serving as a stopper, excessive etching of a substrate can be inhibited even if sufficient over-etching is conducted.
  • a connecting hole can be formed in self alignment with the surface of the substrate.
  • the bottom portion of the connecting hole overlaps with an element isolation region, there is a possibility of the silicon oxide film, which constitutes the element isolation region, being etched excessively.
  • excessive etching of the element isolation region can be controlled within a sufficiently acceptable range.
  • leakage current of the MISFET due to excessive etching of the substrate (element isolation region) can be inhibited, whereby, in the case of a DRAM, the refresh properties can be improved.
  • the above-described self alignment processing relative to the substrate surface can be applied, for example, to a wiring step using a damascene process. More specifically, upon defining a wiring trench for metallization or a connecting hole in an interlayer insulating film, a thin silicon nitride film is formed in advance at a position corresponding to the bottom portion of the wiring trench or bottom portion of the connecting hole, and then, the wiring trench or connecting hole is formed in a manner similar to the above-described two-stage etching step. In such a step, it is possible to inhibit excessive etching of a member at the bottom portion of the wiring trench or connecting hole, improve the uniformity of the depth of the wiring trench or connecting hole, and to actualize definite connection between wiring layers.
  • Japanese Patent Application Laid-Open No. Hei 2(1990)-224430 discloses a technique for using, as an interlayer insulating film or passivation film, a silicon nitride film formed by the ECR (Electron Cyclotron Resonance)-CVD using a raw material gas having silane (SiH 4 ) and nitrogen (N 2 ).
  • ECR Electro Cyclotron Resonance
  • Sho 63(1988)-132434 discloses a technique for using, as a passivation film, a silicon nitride film formed by the ECR-CVD using a raw material gas having silane (SiH 4 ) and nitrogen (N 2 ).
  • a diffusion layer impurity semiconductor region
  • a high-temperature process subsequent to the precisely-controlled formation of a diffusion layer is not preferred, because it causes diffusion of impurities, thereby causing fluctuations in the position of the diffusion layer.
  • a precise control of an impurity concentration in the diffusion layer is also desired so that re-diffusion of the impurities in the diffusion layer presumably causing fluctuations in the impurity concentration is not preferred.
  • Interposition of a high temperature process after the formation of a silicide layer causes various problems due to poor heat resistance of the silicide layer, for example, a change in the composition of the silicide layer owing to the re-reaction between the silicide layer and silicon layer, a lowering in conductivity of the silicide layer owing to this compositional change, an increase in the stress in the silicide layer and appearance of voids.
  • a silicon nitride film for self alignment as a film which covers the gate electrode, or a silicon nitride film for forming a wiring trench or connecting hole of a damascene process in self alignment, by using thermal CVD process, a film formation method which operates at high temperatures (usually, 700° C. or greater).
  • thermal CVD process a film formation method which operates at high temperatures (usually, 700° C. or greater).
  • formation of a silicon nitride film by thermal CVD is accompanied with another problem in that active hydrogen (H) being generated during film formation is diffused in a diffusion layer or channel region of the MISFET, thereby causing the threshold voltage (Vth) to fluctuate.
  • a silicon nitride film formed by plasma CVD however has a disturbance which may deteriorate the device characteristics.
  • the disturbance is that a surface on which the silicon nitride film is to be formed receives plasma-induced damage by radicals generated in a plasma process or ion bombardment. This leads to inactivation of an impurity (boron (B), phosphorus (P), etc.) in a polycrystalline silicon film (gate electrode) on which the silicon nitride film is to be formed or in a diffusion layer (semiconductor substrate), or an increase of dangling bonds in the polycrystalline silicon film or diffusion layer, causing an increase in their resistance.
  • an impurity boron (B), phosphorus (P), etc.
  • silane (SiH 4 ), ammonia (NH 3 ) and nitrogen (N 2 ) are used as raw material gases because they afford good step coverage, but a plasma CVD film (silicon nitride film) formed using such an SiH 4 /NH 3 /N 2 gas as a raw material contains much hydrogen (H).
  • a plasma CVD film formed using such an SiH 4 /NH 3 /N 2 gas as a raw material contains much hydrogen (H).
  • hydrogen is released from the film, causing an increase in the stress of the film (silicon nitride film).
  • An increase in the stress of the film is a cause of deterioration of the device characteristics. A marked increase causes peeling of the film and may cause device failure.
  • Hydrogen thus released is diffused in a polycrystalline silicon film serving as a gate electrode or diffusion layers (source_drain) of a semiconductor substrate and becomes a cause for inactivating impurities in the polycrystalline silicon film or diffusion layers, resulting in an increase in the resistance of the gate electrode or source-drain.
  • the hydrogen thus released and diffused in the polycrystalline silicon film or diffusion layers facilitates movement of impurities (particularly, boron (B)) in the polycrystalline film or diffusion layers and facilitates diffusion of impurities (particularly, boron) in the channel region of the MISFET.
  • This effect causes fluctuations in the threshold voltage (Vth) of the MISFET, thereby deteriorating the performance of the semiconductor device.
  • An object of the present invention is to provide a technique that is capable of forming a silicon nitride film for self alignment at low temperatures while reducing the hydrogen content.
  • Another object of the present invention is to provide a film formation method that is capable of reducing plasma-induced damage upon formation of a silicon nitride film.
  • a further object of the present invention is to provide a semiconductor device with less fluctuations in the resistance of a polycrystalline silicon film and with less fluctuations in the threshold voltage of the MISFET.
  • a still further object of the present invention is to provide a semiconductor device having high performance and high reliability.
  • a silicon nitride film for self alignment when formed by plasma CVD, is formed at 350° C. or greater (preferably 400° C. or greater).
  • the silicon nitride film is formed using a two-element gas having silane and nitrogen as raw material gases.
  • Formation of a silicon nitride film at 350° C. or greater, preferably at 400° C. or greater makes it possible to reduce the hydrogen content of the film in the as deposited state, thereby inhibiting an increase in the film stress and an increase in released hydrogen in the subsequent thermal treatment.
  • use of a two-element gas (silane and nitrogen) makes it possible to reduce plasma-induced damage, thereby reducing the hydrogen content in the as deposited state.
  • a silicon nitride film formed by plasma CVD using a three-element raw material gas is used as a passivation film applied to a semiconductor device, because step coverage is important in order to prevent invasion of water and the device characteristics do not depend on the hydrogen content of the passivation film.
  • the silicon nitride film for self alignment and passivation film are compared, the former has a smaller hydrogen content and is formed at a higher temperature.
  • a manufacturing process of a semiconductor device according to the present invention comprises:
  • a third insulating film for example, a film for self alignment
  • a fourth insulating film for example, an interlayer insulating film
  • the third and fifth insulating films are silicon nitride films formed by plasma CVD and the third insulating film is formed at a temperature higher than that of the fifth insulating film.
  • the step for forming a first opening comprises a step of etching the fourth insulating film under conditions permitting a larger etching amount of the fourth insulating film than that of the third insulating film and a step of etching the third insulating film under conditions permitting a larger etching amount of the third insulating film than that of the first insulating film.
  • the second conductor portion contains a first conductor layer (for example, a titanium nitride layer) and a second conductor layer (for example, a tungsten layer), and the first conductor layer is thinner than the second conductor layer and lies below the second conductor layer.
  • a first conductor layer for example, a titanium nitride layer
  • a second conductor layer for example, a tungsten layer
  • the conductor portion is formed of three conductor layers, that is, a first conductor layer made of silicon, a second conductor layer (for example, tungsten nitride serving as a barrier layer) and a third conductor layer made of a refractory metal (for example, titanium, cobalt or tungsten).
  • a manufacturing process of a semiconductor device according to the present invention comprises:
  • a first conductor portion for example, a gate electrode
  • a second insulating film for example, a gate insulating film
  • a third insulating film for example, a film for self alignment
  • a fourth insulating film for example, an interlayer insulating film
  • the third and fifth insulating films are silicon nitride films formed by plasma CVD and the third insulating film has a hydrogen content smaller than that of the fifth insulating film.
  • a manufacturing process of a semiconductor device according to the present invention comprises:
  • a first insulating film for example, a film for self alignment
  • a third insulating film for example, a passivation film
  • first insulating film and the third insulating film are silicon nitride films formed by plasma CVD and the first insulating film is formed at a temperature higher than that of the third insulating film.
  • a manufacturing process of a semiconductor device according to the present invention comprises:
  • a first insulating film for example, a film for self alignment
  • a third insulating film for example, a passivation film
  • first insulating film and the third insulating film are silicon nitride films formed by plasma CVD and the first insulating film has a hydrogen content smaller than that of the third insulating film.
  • a manufacturing process of a semiconductor device according to the present invention comprises:
  • a second insulating film for example, a film for self alignment
  • a third insulating film for example, an interlayer insulating film
  • the second insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • the opening forming step comprises a step of etching the third insulating film under conditions permitting a larger etching amount of the third insulating film relative to the second insulating film and a step of etching the second insulating film under conditions permitting a larger etching-amount of the second etching film relative to the first insulating film.
  • silicide-layer forming step further comprises (h) depositing a refractory metal film over the semiconductor layer and first insulating film;
  • a manufacturing process of a semiconductor device according to the present invention which comprises:
  • a first conductor portion for example, a gate electrode
  • a second insulating film for example, a gate insulating film
  • a third insulating film for example, a film for self alignment
  • a fourth insulating film for example, an interlayer insulating film
  • the first conductor portion is a boron-containing silicon film
  • the third insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • a manufacturing process of a semiconductor film according to the present invention comprises:
  • a first insulating film for example, a film for self alignment
  • a second insulating film for example, an insulating film for the formation of a damascene trench
  • the first insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • the conductor forming step comprises forming a first conductor layer as a lower layer and a second conductor layer as an upper layer, the second conductor layer is made of copper, and the first conductor layer serves to prevent diffusion of copper.
  • a manufacturing process of a semiconductor device according to the present invention comprises:
  • a first insulating film for example, a gate insulating film
  • a first conductor layer made of silicon for example, silicon
  • a second conductor layer for example, a third conductor layer made of a refractory metal
  • a second insulating film for example, a cap insulating film
  • a third insulating film for example, a film for self alignment
  • the second insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • a semiconductor device comprises:
  • a first insulating film for example, an element isolation region selectively formed on a surface of a semiconductor substrate
  • a first conductor portion for example, a gate electrode
  • a second insulating film for example, a gate insulating film
  • a semiconductor layer for example, source-drain, diffusion layer, interconnection
  • a third insulating film (for example, a film for self alignment) formed over the first conductor portion, first insulating film and semiconductor layer;
  • a fourth insulating film (for example, an interlayer insulating film) formed over the third insulating film;
  • a fifth insulating film for example, a passivation film formed over the second conductor portion
  • the third and fifth insulating films are silicon nitride films formed by plasma CVD and the third insulating film has a hydrogen content smaller than that of the fifth insulating film.
  • a semiconductor device comprises:
  • a first conductor portion for example, a gate electrode
  • a first insulating film for example, a gate insulating film
  • a second insulating film for example, a cap insulating film formed over the first conductor portion
  • a third insulating film for example, a passivation film formed over the second insulating film
  • the second and third insulating films are silicon nitride films formed by plasma CVD and the second insulating film has a hydrogen content smaller than that of the third insulating film.
  • first conductor portion functions as a gate of a transistor
  • first and second semiconductor regions function as source and drain of the transistor
  • second insulating film has a substantially equal width with the first conductor portion in a direction from the source toward the drain.
  • the third insulating film has an opening and in the opening, the externally connecting conductor portion has been connected with the second conductor portion.
  • a semiconductor device comprises:
  • a first conductor portion for example, a gate electrode
  • a first insulating film for example, a gate insulating film
  • a third insulating film for example, a passivation film formed over the first conductor film
  • the second and third insulating films are silicon nitride films formed by plasma CVD and the second insulating film has a hydrogen content smaller than that of the third insulating film.
  • an externally connecting conductor portion for example, a bump connected with the second conductor portion, wherein the third insulating film has an opening and in the opening, the externally connecting conductor portion has been connected with the second conductor portion.
  • a semiconductor device which comprises:
  • a first insulating film for example, a film for self alignment
  • a second insulating film for example, an insulating film for the formation of a wiring trench
  • a third insulating film for example, an interlayer insulating film
  • first and fourth insulating films are silicon nitride films formed by plasma CVD and the first insulating film has a hydrogen content smaller than that of the fourth insulating film.
  • a manufacturing process of a semiconductor device according to the present invention which comprises forming a first silicon nitride film for self alignment and forming a second silicon nitride film for passivation,
  • first silicon nitride film is formed by plasma CVD using a raw material gas having silane and nitrogen
  • second silicon nitride film is formed by plasma CVD using a raw material gas having silane, ammonia and nitrogen.
  • a semiconductor device of the present invention which comprises a first nitride film for self alignment processing and a second silicon nitride film for passivation, wherein between an Si—H/Si—N bonding ratio R1 according to FT-IR analysis of the first silicon nitride film and an Si—H/Si—N bonding ratio R2 according to FT-IR analysis of the second silicon nitride film, there is a relationship of R1 ⁇ R2.
  • a silicon nitride film for self alignment can be formed at a low temperature with a small hydrogen content.
  • a plasma-induced image upon formation of a silicon nitride film can be reduced.
  • a semiconductor device with less fluctuations in the resistance of a polycrystalline film and less fluctuations in the threshold voltage of a MISFET can be provided.
  • a high-performance and high-reliability semiconductor device can be provided.
  • FIGS. 1 ( a ) to 14 are cross-sectional views illustrating, in the order of steps, a process in the manufacture of a semiconductor device according to Embodiment 1 of the present invention
  • FIG. 15 is a graph showing the hydrogen content in a silicon nitride film at varied film forming temperatures
  • FIG. 16 is a graph showing the relationship between the hydrogen content changing ratio by annealing of the silicon nitride film and stress displacement after annealing;
  • FIG. 17 is a graph of the sheet resistance of a polycrystalline silicon film plotted against the annealing temperature when a silicon nitride film disposed over the boron-containing polycrystalline silicon film is annealed;
  • FIG. 18 is a graph showing NBTI characteristics of a MISFET
  • FIG. 19 is a graph illustrating the amount of shift in a flat band voltage.
  • FIGS. 20 to 42 are cross-sectional views illustrating, in the order of steps, a process in the manufacture of a DRAM according to Embodiment 2 of the present invention.
  • FIGS. 1 ( a ) to 14 are cross-sectional views illustrating, in the order of steps, a process in the manufacture of a semiconductor device according to Embodiment 1 of the present invention.
  • an element isolation region 2 is formed over the main surface of a semiconductor substrate 1 , for example, made of p ⁇ type single crystal silicon.
  • This element isolation region 2 can be formed, for example, in the following manner. First, a silicon oxide (SiO) film and a silicon nitride (SiN) film are successively formed over the main surface of the semiconductor substrate 1 . Using a patterned photoresist film, the silicon nitride film is etched. With this etched silicon nitride film being used as a mask, a shallow trench is formed in the semiconductor substrate 1 .
  • An insulating film for example, a silicon oxide film is deposited to embed the shallow trench, followed by removal of the silicon oxide film from a region other than the shallow trench by CMP (chemical mechanical polishing). By wet etching or the like, the silicon nitride film is then removed, whereby the element isolation region 2 (the first insulating film in the item 1) is formed.
  • CMP chemical mechanical polishing
  • impurities are ion-implanted to form a p-type well 3 and an n-type well 4 .
  • a p-conductivity type impurity such as boron (B)
  • B boron
  • p n-conductivity type impurity
  • an n channel type MISFETQn is formed in the p-type well 3 and a p channel type MISFETQp is formed in the n-type well 4 .
  • a silicon oxide film 5 (the second insulating film in the item 1) is formed over each of the regions of the p-type well 3 and n-type well 4 .
  • the silicon oxide film 5 serves as a gate insulating film of the MISFET and is formed, for example, by thermal CVD.
  • This polycrystalline silicon film 6 serves as a gate electrode (the first conductor piece in the item 1) of the MISFET and is formed, for example, by CVD.
  • an n-type impurity (ex. phosphorus (P)) is ion-implanted to the polycrystalline silicon film 6 in a region (the region of p-type well 3 ) wherein the n channel type MISFETQn is to be formed, whereby the n-type region 6 n of the polycrystalline silicon film is formed.
  • a photoresist film (not illustrated) as a mask
  • a p-type impurity ex.
  • boron (B)) is ion-implanted to the polycrystalline silicon film 6 in a region (the region of n-type well 4 ) wherein the p channel type MISFETQp is to be formed, whereby the p-type region 6 p of the polycrystalline silicon film is formed.
  • Separate ion implantation in two regions of the polycrystalline silicon film 6 makes it possible to constitute a so-called dual gate structure wherein the conductivity type of the gate electrode becomes an n type in the case of the n channel type MISFET and a p type in the case of the p channel type MISFET.
  • the Vth (threshold voltage) of the MISFET can be reduced, whereby a MISFET capable of being driven at a low voltage can be formed.
  • the conventional semiconductor device has the inherent drawback that, when a boron-containing polycrystalline silicon film is employed for a portion of the gate electrode, owing to a large thermal diffusion coefficient of boron, boron diffused from the gate electrode (polycrystalline silicon film) reaches the channel region (well), tending to cause the threshold voltage of the MISFET to fluctuate.
  • a silicon nitride film having a small hydrogen content is used as a film for self alignment processing, as will be described later, so that diffusion of boron is suppressed and the high reliability of the semiconductor device can be maintained. This will be described more specifically later.
  • polycrystalline silicon films 6 , 6 n , 6 p are formed into predetermined patterns, whereby gate electrodes 7 are formed.
  • gate electrodes 7 are formed.
  • dry etching is conducted using a photoresist film (not illustrated) as a mask.
  • the gate electrode 7 may be caused to function as an interconnection.
  • an n-type impurity for example, phosphorus or arsenic (As)
  • a photoresist film not illustrated
  • an n-type impurity for example, phosphorus or arsenic (As)
  • the gate electrode 7 also serves as a mask so that the n-type semiconductor region 8 is formed in self alignment with the gate electrode 7 .
  • a photoresist film not illustrated
  • a p-type impurity ex.
  • boron is ion-implanted to the n-type well 4 , whereby a p-type semiconductor region 9 (the semiconductor layer in the item 1) is formed.
  • the gate electrode 7 serves as a mask so that the p-type semiconductor region 9 is formed in self alignment with the gate electrode 7 .
  • side walls 10 are formed on the side walls of the gate electrode 7 .
  • These side walls 10 are formed, for example, by depositing, on the side walls of the gate electrode 7 , a silicon oxide film to a thickness sufficient for providing good step coverage and then anisotropically etching this silicon oxide film.
  • an n + type semiconductor region 1 I and a p + type semiconductor region 12 are formed in the region of p-type well 3 and the region of n-type well 4 , respectively.
  • impurities are introduced at higher concentrations than the n-type semiconductor region 8 and p-type semiconductor region 9 , respectively.
  • the side walls 10 function as masks so that the n+ type semiconductor region 11 and p + type semiconductor region 12 are formed in self alignment with the side walls 10 .
  • a source-drain having an LDD (Lightly Doped Drain) structure formed of the n-type semiconductor region 8 and the n + type semiconductor region 11 or the p-type semiconductor region 9 and the p + type semiconductor region 12 is formed.
  • a resistive element is formed over the wide element isolation region 2 .
  • This resistive element is formed, over the element isolation region 2 , of a conductor film R, an insulating film 13 covering the conductor film R and a withdrawal electrode 14 over the insulating film 13 .
  • a conductor film R a metal (for example, tungsten) having a relatively high resistance or a semiconductor film (for example, a polycrystalline silicon film) to which an introduction amount of an impurity is relatively small can be used.
  • a silicon oxide film or a silicon nitride film can be used.
  • the withdrawal electrode 14 a polycrystalline silicon film can be used.
  • the conductor film R can be formed by depositing a conductor film all over the semiconductor substrate 1 and then patterning it. Then, the insulating film 13 is deposited by CVD, sputtering or the like method. After opening a connecting hole, a polycrystalline silicon film is deposited, for example, by CVD, followed by patterning of this polycrystalline silicon film into a predetermined pattern, whereby the withdrawal electrode 14 is formed.
  • the resistive element exemplified above is equipped with the withdrawal electrode 14 , but another type of a resistive element to be withdrawn directly by a plug without disposing the withdrawal electrode 14 may be employed.
  • the conductor film R is made of a polycrystalline silicon film, it is necessary to cover the surface of the conductor film R with an insulating film in order to prevent silicide formation on the whole surface of the polycrystalline silicon film in the silicide step, which will be described later.
  • the conductor film R may be formed (patterned), followed by formation of an insulating film for forming the side walls 10 to cover the conductor film R.
  • an insulating film for forming the side walls 10 to cover the conductor film R.
  • the insulating film 13 covering the conductor film R can be formed in the formation region of the conductor film R, and, simultaneously, side walls 10 can be formed.
  • a metal film 15 is deposited all over the surface of the semiconductor substrate 1 .
  • a refractory metal for example, titanium, tungsten or cobalt.
  • the metal film 15 is deposited by CVD, sputtering or the like method.
  • the semiconductor substrate 1 is thermally treated using, for example, RTA (Rapid Thermal Anneal).
  • RTA Rapid Thermal Anneal
  • a silicide forming reaction occurs in a region wherein the metal film 15 is brought into contact with a silicon material, whereby a silicide layer 16 is formed.
  • this silicide layer 16 is cobalt silicide (CoSi).
  • An unreacted portion of the metal film 15 is selectively removed. The unreacted portion of the metal film can be wet etched under the conditions permitting etching of the metal film 15 , but not permitting etching of the silicide layer 16 .
  • the silicide layer 16 over the gate electrodes 7 , n + type semiconductor regions 11 , p + type semiconductor regions 12 and withdrawal electrode 14 makes it possible to reduce the connection resistance with the plug in a region wherein a contact is to be formed and also to reduce the sheet resistance in a region constituting interconnections, such as the gate electrodes 7 , n + type semiconductor regions 11 and p + type semiconductor regions 12 .
  • the wiring resistance and wiring-wiring resistance can be reduced, thereby improving the response rate of elements, whereby the performance of the semiconductor device can be improved.
  • the silicide layer 16 itself is poor in heat resistance. It differs in resistance (particularly in the case of cobalt silicide), depending on its crystal phase; and, even if formed of a crystal phase having a small resistance, it happens to undergo a phase change to a crystal phase having high resistance by the subsequent heat treatment.
  • a silicide forming reaction proceeds at an interface between the silicide layer and a not-silicide-formed silicon region, and the silicon element ratio lowers in the silicide layer, leading to a stoichiometric deviation from the crystal structure. In this case, an increase in the resistance becomes a problem.
  • the unreacted metal forms its silicide, and at the same time, transfers to the silicon region as a result of the subsequent heat treatment, whereby voids appear in a region wherein the unreacted metal existed. If such voids are formed in the contact portion, they increase the contact resistance and in the worse case, cause a connection failure.
  • This embodiment is free of such a problem of heat resistance of the silicide layer 16 , because, as will be described later, the subsequent thermal treatment is conducted at a suppressed temperature, particularly, a film for self alignment (silicon nitride film) is formed at a relatively low temperature by plasma CVD, not by thermal CVD.
  • the silicide layer 16 can be used while avoiding the problem of heat resistance, which makes it possible to enhance the performance of a semiconductor device.
  • a silicon nitride film 17 (the third insulating film in the item 1) is formed all over the surface of the semiconductor substrate 1 .
  • This silicon nitride film 17 is used for self alignment processing, as will be described later.
  • the silicon nitride film 17 is formed by plasma CVD at 350° C. or greater, preferably at 400° C. or greater.
  • plasma CVD a silicon nitride film can be formed at a lower temperature compared with thermal CVD, which requires a temperature of 700° C. or greater (for example, about 780° C.) for film formation. It is therefore unnecessary to consider the problem of heat resistance of the silicide layer 16 .
  • the silicon nitride film 17 is formed using a raw material gas having silane (monosilane (SiH 4 )) and nitrogen (N 2 ) but not having ammonia (NH 3 ). In this regard, it differs from a passivation film which will be described later.
  • the passivation film is formed at about 350° C. by using a raw material gas having monosilane, ammonia and nitrogen.
  • the passivation film is formed using an ammonia-containing raw material gas because good step coverage is important for this film, but the silicon nitride film 17 is formed using an ammonia-free raw material gas.
  • the passivation film is formed at a relatively low temperature of about 350° C., but formation of the silicon nitride film 17 needs a temperature of 350° C. or greater, preferably 400° C. or greater. In short, for the formation of the silicon nitride film 17 , ammonia is not used, while for the formation of the passivation film, ammonia is used. In addition, the silicon nitride film 17 is formed at a temperature higher than that of the passivation film. In this specification, the term “temperature” means the substrate temperature.
  • the hydrogen content in the silicon nitride film 17 can be reduced. Since a hydrogen content in the silicon nitride film 17 is lowered, release of hydrogen from the silicon nitride film 17 can be inhibited even by the subsequent heat treatment (for example, sintering or densification at about 700° C. in the case where an interlayer insulating film is made of PSG (Phosphor Silicate Glass) or SOG (Spin On Glass)). As described above, release of hydrogen increases the stress of the silicon nitride film 17 , presumably causing peeling of the silicon nitride film 17 or a connection failure at the bottom portion of a connecting hole.
  • PSG Phosphor Silicate Glass
  • SOG Spin On Glass
  • the released hydrogen inactivates the impurity (particularly, boron) in the impurity-introduced silicon layer (gate electrode 7 , n + type semiconductor region 11 , p + type semiconductor region 12 , withdrawal electrode 14 ), thereby increasing its resistance. It facilitates transfer of an impurity (particularly, boron) and the impurity (particularly, boron) thus rendered diffusible transfers to the channel region of the MISFET and causes the threshold voltage to fluctuate.
  • Such a stress increase of the silicon nitride film fluctuations and increase in the resistance of the silicon layer and fluctuations in the threshold voltage of the MISFET due to release of hydrogen become a cause for the failure and performance deterioration of the resulting semiconductor device.
  • the silicon nitride film 17 does not contain so much hydrogen in the as-deposited state, so that no such problem occurs.
  • ammonia-free raw material gas makes it possible to decrease plasma-induced damage upon formation of the silicon nitride film 17 .
  • the raw material gas contains ammonia
  • plasma is presumed to have an increased density by the Penning effect brought about by the addition of ammonia.
  • the plasma density does not show an excessive increase, and plasma damage or ion bombardment can therefore be suppressed.
  • the hydrogen contained in the silicon nitride film 17 is relatively small, at least smaller than that contained in the passivation film (silicon nitride film) which will be described later.
  • FIG. 15 is a graph showing the hydrogen content in a silicon nitride film at varied film forming temperatures (deposition temperatures).
  • the rhombic data points show the hydrogen content of the film in the as-deposited state, while the square data points show the hydrogen content in the film after annealing at 780° C. for 10 seconds.
  • Line A is a test line showing the hydrogen content of the film in the as-deposited state, while Line B is a test line showing the hydrogen content in the annealed film.
  • the higher the deposition temperature the lower is the hydrogen content in the film.
  • the difference between Line A and Line B becomes smaller with an increase in the deposition temperature. This suggests that the hydrogen content in the as-deposited state can be reduced and the amount of hydrogen released by annealing can be decreased by increasing the deposition temperature.
  • FIG. 16 is a graph illustrating the relationship between the hydrogen content changing ratio by annealing and stress displacement after annealing.
  • Line C is a test line available from each of the data points.
  • the hydrogen content changing ratio is obtained by dividing the hydrogen content after annealing by the hydrogen content in the as-deposited state.
  • FIG. 17 is a graph wherein the sheet resistance, after annealing, of a boron-containing polycrystalline silicon film over which a silicon nitride film has been deposited is plotted versus annealing temperature. An error bar is attached to each of the data.
  • the triangle data points show the data of a silicon nitride film formed at 400° C. by using a raw material gas having monosilane and nitrogen (two-element raw material gas), and Line E is a test line connecting these data.
  • the black circle data points show the data of a silicon nitride film formed at 360° C. using a raw material gas including monosilane, ammonia and nitrogen (three-element raw material gas), and Line F is a test line connecting these data.
  • the rhombic data point G shows the reference datum on the sheet resistance (in the as-deposited state) of an annealing-free polycrystalline silicon film over which no silicon nitride film is deposited. It, of course, shows the lowest resistance.
  • Point H is a datum of a polycrystalline silicon film treated with NH 3 plasma
  • Point I is a datum of a polycrystalline silicon film with N 2 plasma
  • Point J is a datum of a polycrystalline silicon film treated with NH 3 /N 2 O plasma
  • Point K is a datum of a polycrystalline silicon film treated with N 2 plasma, followed by heat treatment at 950° C. for 10 seconds.
  • Comparison between the datum (point H) on treatment with NH 3 plasma or the datum (Point I) on treatment with N 2 plasma and the datum (Point J) on treatment with NH 3 /N 2 O plasma is useful as reference data for explaining the difference between the resistance of the silicon nitride film formed using a two-element gas and the silicon nitride film formed using a three-element gas.
  • Data of Points H and I correspond to the data (Line E) of a two-element gas
  • the datum on Point J corresponds to the datum (Line F) of a three-element gas.
  • the sheet resistance is almost equal in these data.
  • Plasma from a one-element gas is formed in each of NH 3 plasma and N 2 plasma.
  • the polycrystalline silicon film serving as a substrate receives much plasma-induced damage and is presumed to have increased resistance.
  • the sheet resistance of a silicon nitride film formed using a two-element gas does not increase so much even if it is annealed at a high temperature, while the sheet resistance of a silicon nitride film formed by using a three-element gas increases largely by annealing at a high temperature.
  • the datum (Point K) on the treatment of a polycrystalline silicon film with N 2 plasma, followed by thermal treatment at 950° C. for 10 seconds is useful as a reference for explaining the existence of a change in the sheet resistance depending on the annealing temperature.
  • the datum on Point K shows a polycrystalline silicon film subjected only to N 2 plasma treatment and subsequent thermal treatment, so that an increase in the sheet resistance of the polycrystalline silicon film is presumed to result from such treatments.
  • the silicon nitride film 17 of this embodiment of a silicon nitride film formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas including silane and nitrogen peeling of the silicon nitride film 17 can be prevented and release of hydrogen from the silicon nitride film 17 can be suppressed, leading to improvements in the performance and reliability of the semiconductor device.
  • an interlayer insulating film 18 (the fourth insulating film in the item 1, etc.) is formed.
  • This interlayer insulating film 18 is made of, for example, a silicon oxide film formed, for example, by CVD.
  • PSG, SOG or the like film may be used as the interlayer insulating film 18 .
  • a self flowable film, such as PSG or SOG can well embed the miniaturized gate electrode 7 , facilitating surface planarization. When PSG or SOG is used, it is subjected to thermal treatment, such as sintering or densification.
  • the surface of the interlayer insulating film 18 may be planarized, for example, by CMP (Chemical Mechanical Polishing).
  • a photoresist film 19 having openings defined in accordance with connecting hole patterns is formed over the interlayer insulating film 18 .
  • etching is conducted to partially form connecting holes 20 (the first openings in the item 1, etc.).
  • This etching (the first etching step) is conducted under the conditions permitting etching of a silicon oxide film, but not easy etching of a silicon nitride film. Selection of such conditions can make the silicon nitride film 17 function as an etching stopper. This etching makes it possible to expose the upper surface of the silicon nitride film 17 , even if the connecting holes are different in depth.
  • a second etching is conducted to remove the silicon nitride film 17 from the bottom portion of the connecting holes 20 , whereby the opening of connecting holes 20 is completed.
  • the second etching is carried out under conditions facilitating etching of a silicon nitride film, but not facilitating etching of a silicon oxide film. Even if sufficient over-etching is conducted upon second etching, excessive etching of the underlying semiconductor substrate 1 (element isolation region 2 ) can be inhibited.
  • the silicon nitride film 17 is much thinner than the interlayer insulating film 18 , so that over-etching in the second etching step is sufficient when about half of the thickness of the silicon nitride film 17 at most is etched.
  • the over-etching in the second etching step can therefore be suppressed to an extent that is not so large as to excessively etch even the element isolation region 2 or the like and cause a problem. This makes it possible to prevent deterioration in the performance and reliability of the MISFET, which will otherwise occur due to excessive etching in the element isolation region 2 or the like, but to maintain the performance and reliability of the semiconductor device high.
  • the silicon nitride film 17 does not peel off easily as described above, so that no peeling of the silicon nitride film 17 occurs in this opening step of connecting holes 20 .
  • plugs 21 are formed in the connecting holes 20 , for example, in the following manner.
  • a titanium nitride (TiN) film is formed all over the surface of the semiconductor substrate 1 , including the inside of each of the connecting holes 20 .
  • This titanium nitride film can be formed, for example, by CVD. Owing to the excellent step coverage of CVD, the titanium nitride film can be formed with a uniform thickness even inside of the minute connecting holes 20 . Since the silicon nitride film 17 does not peel off easily, the step coverage of the titanium nitride film is not disturbed.
  • a tungsten (W) film is formed to embed the connecting holes therewith.
  • This tungsten film is formed, for example, by CVD. Even the minute connecting holes 20 can be embedded similarly with tungsten by CVD. The titanium nitride film and tungsten film in a region outside the connecting holes 20 are then removed by CMP, whereby the plugs 21 can be formed.
  • a silicon nitride film 22 is formed over the interlayer insulating film 18 and plug 21 , followed by the formation of an insulating film 23 for the first wiring layer.
  • This silicon nitride film 22 is a film serving as an etching stopper upon forming a trench in the insulating film 23 , so that a material having an etching selectivity to the insulating film 23 relative to the silicon nitride film is employed.
  • the insulating film 23 a material having a small dielectric constant is used in order to suppress the capacity between interconnections to as low a level as possible.
  • the insulating film 23 is for example a silicon oxide film.
  • the insulating film 23 may be an organic SOG film having a small dielectric constant or a fluorine-containing SOG film.
  • the silicon nitride film 22 and insulating film 23 With the silicon nitride film 22 and insulating film 23 , a second-layer interconnection will be formed. Thus, their total thickness is determined by a designed thickness necessary for the second-layer interconnection. In consideration of lowering the capacity between interconnections, a silicon nitride film 22 having a high dielectric constant is desired to have a thickness as thin as possible insofar as it has a sufficient thickness to exhibit a stopper function.
  • a silicon nitride film formed in a similar manner to the silicon nitride film 17 at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas including monosilane and nitrogen can be used.
  • a film, that is similar to the silicon nitride film 17 to the silicon nitride film 22 , a film, that is formed without a high-temperature step as in thermal CVD and in which the amount of hydrogen that is released is suppressed, can be used as a stopper film.
  • a photoresist film 24 having an opening formed according to the wiring pattern of the first wiring layer is patterned on the insulating film 23 , and using this photoresist film 24 as a mask, first etching is conducted.
  • a wiring trench 25 is defined partially by this first etching. This etching is conducted under conditions facilitating etching of a silicon oxide film, but not facilitating etching of a silicon nitride film.
  • the silicon nitride film 22 then serves as an etching stopper.
  • second etching is conducted under conditions permitting etching of a silicon nitride film. Since the silicon nitride film 22 is formed so as to be sufficiently thin, as described above, not so much over-etching is necessary upon second etching, and excessive etching of the interlayer insulating film 18 can be suppressed. By such two-stage etching, the wiring trench 25 can be formed uniformly and surely.
  • the interconnection 26 is formed of a barrier layer and a main conductive layer.
  • the barrier layer is made of, for example, a titanium nitride film, while the main conductive layer is made of, for example, copper.
  • the barrier layer has a function of preventing diffusion of copper therearound, and, for this purpose, a titanium nitride film can be employed.
  • the barrier layer is, however, not limited to a titanium nitride film, but another metal film having a function of preventing diffusion of copper can also be employed.
  • tantalum (Ta) or tantalum nitride (TaN) can be used.
  • the barrier layer As the barrier layer, a description will hereinafter be given using a titanium nitride film as an example. As described above, tantalum or tantalum nitride can be used instead.
  • the copper film functions as a main conductive layer and can be formed, for example, by plating. Prior to plating, a thin copper film can be formed by sputtering as a seed film. Alternatively, the copper film may be formed by sputtering. In this case, it is recommended to fluidize the copper film, which has been formed by sputtering, by heat treatment, thereby improving embedding properties in a connecting hole or wiring trench. In the following description, formation of a copper film by plating will be exemplified. As described above, sputtering may be used alternatively.
  • the interconnection 26 is formed as follows. A titanium nitride film is formed all over the semiconductor substrate 1 , including the inside of the wiring trench 25 , followed by formation of a copper film to embed the wiring trench 25 . A metal laminate film 27 thus formed of the titanium nitride film and copper film is embedded in the wiring trench 25 ( FIG. 8 ( a )).
  • CVD and plating are employed, respectively.
  • a copper seed film can be formed, for example, by sputtering.
  • CMP CMP
  • a stopper insulating film 28 and an interlayer insulating film 29 are successively formed over the interconnection 26 and insulating film 23 .
  • the stopper insulating film 28 is formed of a material having an etching selectivity to the interlayer insulating film 29 , and a silicon nitride film can be employed, for example.
  • the interlayer insulating film 29 is made of a silicon oxide film.
  • As the stopper insulating film 28 a silicon nitride film formed under similar conditions to the silicon nitride film 17 can be used.
  • a photoresist film having openings according to connecting hole patterns is patterned on the interlayer insulating film 29 .
  • the interlayer insulating film 29 is etched.
  • conditions are selected to prevent smooth etching of the silicon nitride film, but to facilitate etching of the silicon oxide film, whereby the interlayer insulating film 29 can be etched using the stopper insulating film 28 as an etching stopper.
  • the stopper insulating film 28 is etched under conditions selected to etch the silicon nitride film, whereby connecting holes 30 are formed.
  • excessive etching of the underlying film can be suppressed by this two-stage etching.
  • Plugs 31 are then formed inside of the connecting holes 30 .
  • These plugs 31 are formed as follows. First, a barrier layer is formed all over the semiconductor substrate I including the inside of the connecting holes 30 , followed by formation of a copper (Cu) film for embedding therewith these connecting holes 30 . The copper film and barrier film in a region outside the connecting holes 30 are removed by CMP, whereby the plugs 31 are formed.
  • Cu copper
  • a silicon nitride film 32 and a silicon oxide film 33 are formed, followed by two-stage etching of the silicon oxide film 33 and silicon nitride film 32 to form a wiring trench 34 .
  • An interconnection 35 is formed inside of the wiring trench 34 .
  • the silicon nitride film 32 a silicon nitride film similar to the above-described silicon nitride film 22 can be used.
  • plugs 39 are formed.
  • a stopper insulating film 36 and an interlayer insulating film 37 are formed.
  • connecting holes 38 are formed inside of these connecting holes 38 .
  • the interconnection 40 is then formed over the interlayer insulating film 37 .
  • the interconnection 40 for example, is a laminate of a titanium film, an aluminum film and a titanium nitride film. This interconnection 40 is formed, for example, by successively depositing the titanium film, aluminum film and titanium nitride film, and then etching these films into a predetermined pattern by photolithography.
  • an insulating film 41 is formed for covering the interconnection 40 , followed by the formation of an insulating film 42 over the insulating film 41 .
  • This insulating film 41 is formed from, for example, a silicon oxide film, for example, by CVD.
  • the insulating film 42 is made of, for example, SOG. The use of the SOG film makes it possible to planarize the unevenness on the surface derived from the interconnection 40 .
  • a silicon oxide film may be formed further over the insulating film 42 .
  • an interconnection 43 (the third conductor piece in the item 1, etc.) is formed.
  • the interconnection 43 includes a bonding pad, and it is connected with an external connecting conductor piece (for example, bump).
  • the interconnection 43 is made of, for example, an aluminum film and is formed, for example, by sputtering.
  • a silicon nitride film 44 (the fifth insulating film in the item 1, etc.) is formed to cover the interconnection 43 .
  • This silicon nitride film 44 is a film constituting a passivation film and serves to block the invasion of water or impurities from the outside of the semiconductor device. In addition, it inhibits transmission of a rays, thereby preventing a malfunction of the semiconductor device.
  • the silicon nitride film 44 is required to have a step coverage to satisfy the above-described functions. Accordingly, the silicon nitride film 44 is formed at a substrate temperature of about 350° C. by plasma CVD using a raw material gas having monosilane, ammonia and nitrogen.
  • the silicon nitride film 44 is formed under conditions different from those under which the silicon nitride films 17 , 22 are formed.
  • the silicon nitride film 44 is formed at a temperature lower than that of the silicon nitride films 17 , 22 .
  • Ammonia is used for the formation of the former film, while ammonia is not used for the formation of the latter films. Formation of silicon nitride films under different conditions depending on the purpose of use is one of the characteristics of this embodiment.
  • a silicon oxide film 45 is formed to cover the silicon nitride film 44 .
  • the silicon nitride film 44 and silicon oxide film 45 serve as passivation films.
  • a connecting hole 46 is formed in the silicon oxide film 45 and silicon nitride film 44 to expose the interconnection 43 .
  • a bump 48 is formed as an external connecting conductor piece. The bump 48 is formed to have almost a spherical shape, but a portion of it is omitted in this drawing.
  • the connecting hole 46 can be formed by photolithography and etching, while the bump underlying metal 47 can be formed by patterning of a metal film deposited all over the semiconductor substrate 1 .
  • the bump underlying metal layer 47 gold can be used, for example, while as the bump 48 , gold and solder can be used, for example.
  • the bump 48 is exemplified as an external connecting conductor piece, but alternatively, an inner lead, such as a bonding wire, may be used. Connection to a lead frame via a gold wire is followed by molding with a resin, but description of this step is omitted.
  • the semiconductor device of this embodiment can also be applied to a so-called WPP (Wafer Process Package), wherein, after formation of re-placement and re-routing via a resin film, such as polyimide, a bump is formed on a pad region of this re-placement and re-routing, and then the wafer is divided into individual semiconductor devices.
  • WPP Wafer Process Package
  • FIG. 18 is a graph illustrating the NBTI (Negative Bias Temperature Instability) characteristics of a MISFET.
  • Line L in the graph is a data line of the semiconductor device of this embodiment.
  • Lines M, N, O are data lines provided for comparison. These data are obtained by applying a silicon nitride film (a film formed under similar conditions to the silicon nitride film 44 ), formed at a substrate temperature of about 350° C. by plasma CVD using a raw material gas including monosilane, ammonia and nitrogen, to a film corresponding to the silicon nitride film 17 of this embodiment.
  • silicon nitride films are formed using different apparatuses.
  • tau characteristic value indicating an increasing time of an off-state current
  • the semiconductor device of this embodiment is superior in reliability to the other cases (Line M, N, O). Judging from the fact that the life tau is expressed by a logarithm, the reliability of the semiconductor device of this embodiment is extraordinarily superior.
  • FIG. 19 is a graph illustrating the amount (Vfb) of flat band voltage shift.
  • MISFET a p-channel type MISFET having a p-type impurity (boron) doped to a gate electrode is used.
  • data on the right side (without NH 3 ) relates to the semiconductor device of this embodiment, while data on the left side (with NH 3 ) relates to a semiconductor device obtained by applying a silicon nitride film (a film formed under similar conditions to the silicon nitride film 44 ) formed at a substrate temperature of about 550° C. by plasma CVD using a raw material gas including monosilane, ammonia and nitrogen to a film corresponding to the silicon nitride film 17 of this embodiment.
  • a silicon nitride film a film formed under similar conditions to the silicon nitride film 44
  • the Vfb shift reaches 1.4V when the raw material gas contains NH 3 (on the left side), while it is only 0.45V or so when the raw material gas is free of NH 3 (on the right side).
  • the silicon nitride films 17 , 22 are formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas having monosilane and nitrogen (not ammonia), peeling of these silicon nitride films 17 , 22 can be suppressed and release of hydrogen from these films can be prevented. As a result, the performance and reliability of the semiconductor device can be maintained at a high level.
  • Comparison between a silicon nitride film (first silicon nitride film) used for the silicon nitride film 17 and a silicon nitride film (second silicon nitride film) used for the silicon nitride film 44 reveals a difference between the ratio of Si—H bonds to Si—N bonds, as measured by the FT-IR method. More specifically, there is a relationship R1 ⁇ R2 between the Si—H/Si—N bonds ratio R1 of the first silicon nitride film and the Si—H/Si—N bonds ratio R2 of the second silicon nitride film.
  • the FT-IR measurement performed by the present inventors shows that the number of Si—H bonds of the first silicon nitride film is 1 ⁇ 10 21 cm ⁇ 3 and that of the Si—N bonds is 10 ⁇ 10 21 cm ⁇ 3 , while the number of SiH bonds of the second silicon nitride film is 11 ⁇ 10 21 cm ⁇ 3 and that of the Si—N bonds is 6 ⁇ 10 21 cm ⁇ 3 . Hydrogen release from the second silicon nitride film is therefore presumed to result from Si—H bonds mainly.
  • an element isolating trench 102 of about 350 nm depth is defined by photolithography and etching in a semiconductor substrate 101 (hereinafter simply called a “substrate”) which is formed of a p-type single crystal silicon having a specific resistance of about 10 scm.
  • a thin (about 10 nm thick) silicon oxide film 106 is then formed on the inner wall of the element isolating trench 102 , for example, by wet oxidation at about 850° C. to 900° C. or dry thermal oxidation at about 1000° C.
  • a silicon oxide film (which will hereinafter be called “TEOS oxide film is deposited to a thickness of about 400 nm, for example, by plasma CVD using ozone (O 3 ) and tetraethoxysilane (TEOS) as source gases to embed the element isolating trench 102 with this film.
  • This silicon oxide film is subjected to CMP (Chemical Mechanical Polishing) to remove the silicon oxide film in a region other than the element isolating trench 102 , while leaving the silicon oxide film 107 inside of the element isolating trench 102 , whereby an element isolation region is formed.
  • CMP Chemical Mechanical Polishing
  • a p-type impurity (boron) and an n-type impurity (phosphorus) are diffused by thermal treatment at about 1000° C., whereby a p-type well 103 and an n-type well 105 are formed in the memory cell array of the substrate 101 and the p-type well 103 and n-type well 104 are formed in the peripheral circuit region of the substrate 101 .
  • the surface of the substrate 101 (p-type well 103 and n-type well 104 ) was wet washed with a hydrofluoric acid washing liquid, followed by the formation of a clean gate oxide film 108 of about 6 nm thick on the surface of each of the p-type well 103 and n-type well 104 by thermal oxidation at about 800° C.
  • the W film 109 c and densify the WN film 109 b are thermally treated at about 800° C. in an inert gas atmosphere, such as nitrogen.
  • the silicon oxide film 110 a over the W film 109 c is formed for the purpose of protecting the surface of the W film 109 c upon this thermal treatment and relaxing the stress at the interface between a silicon nitride film ( 110 b ), which will be deposited over the silicon oxide film 110 a in the subsequent step, and the underlying W film 109 c.
  • the silicon nitride film 110 b is dry etched using a photoresist film (not illustrated) as a mask to leave the silicon nitride film 110 b in a region wherein a gate electrode is to be formed.
  • This silicon nitride film 110 b is formed under similar conditions to the silicon nitride film 17 of Embodiment 1. More specifically, it is formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas having monosilane and nitrogen.
  • This silicon nitride film 110 b is used upon self alignment processing of a connecting hole to be formed in a memory cell region, and it serves as a cap insulating film of a gate electrode. If hydrogen is released from this cap insulating film, problems as described in Embodiment 1 will occur, for example, processing failure of a connecting hole due to peeling, increase or fluctuations of resistance of gate electrode or source-drain and fluctuations of the threshold voltage of MISFET. In this embodiment, these problems can be avoided by using, as the silicon nitride film 110 b serving as a cap insulating film, a silicon nitride film which does not easily cause hydrogen release.
  • the silicon oxide film 110 a , W film 109 c , WN film 109 b and polycrystalline silicon film 109 a are dry etched using the silicon nitride film 110 b as a mask, whereby a gate electrode 109 formed of the polycrystalline film 109 a , WN film 109 b and W film 109 c is formed in each of the memory cell array and peripheral circuit regions.
  • a cap insulating film 110 including the silicon oxide film 110 a and silicon nitride film 110 b , is formed.
  • the gate electrode 10 formed in the memory cell array functions as a word line WL.
  • the cap insulating film 110 includes the silicon oxide film 110 a , but the silicon oxide film 110 a is not essential.
  • the cap insulating film 110 may be formed only of the silicon nitride film 110 b.
  • an n-type impurity phosphorus or arsenic
  • an n ⁇ type semiconductor region 111 is formed, while by ion implantation of a p-type impurity (boron) to the n-type well 104 , a p ⁇ type semiconductor region 112 is formed.
  • a silicon nitride film 113 of about 50 nm thick is deposited over the substrate 101 .
  • the silicon nitride film 113 of the peripheral circuit region is anisotropically etched with the upper portion of the substrate 101 in the memory cell array being covered with a photoresist film (not illustrated), whereby side wall spacers 113 a are formed on the side walls of the gate electrode 109 in the peripheral circuit region.
  • the silicon nitride film 113 is formed, in a similar manner to the silicon nitride film 110 b , at a substrate temperature of about 400° C. by plasma CVD using a raw material gas having monosilane and nitrogen. In the memory cell array region, this silicon nitride film 113 is employed, together with the silicon nitride film 110 b , upon self alignment processing of a connecting hole to be formed in a memory cell array region. In short, it serves as a side wall of a gate electrode in the memory cell array.
  • the sidewall spacers 113 a formed by the silicon nitride 113 are also formed from a silicon nitride film not permitting easy release of hydrogen. Accordingly, similar effects are available in the peripheral circuit region.
  • n + type semiconductor regions 114 are formed, while by ion implantation of a p-type impurity (boron) to the n-type well 104 , p + type semiconductor regions (source, drain) are formed.
  • n channel type MISFETQn and p channel type MISFETQp are formed in the peripheral circuit region.
  • a silicon oxide film 116 is formed over the gate electrode 109 , followed by chemical and mechanical polishing of the silicon oxide film 116 to planarize its surface.
  • the silicon oxide film 116 of the memory cell array is dry etched, followed by dry etching of the silicon nitride film 113 below the silicon oxide film 116 , whereby contact holes 118 , 119 are formed above the n ⁇ type semiconductor regions 111 .
  • the silicon oxide film 116 is etched under such conditions that the etching rate of silicon oxide (silicon oxide film 116 ) would be larger than that of silicon nitride, by which complete removal of the silicon nitride film 113 is avoided.
  • the silicon nitride film 113 is, on the other hand, etched under conditions so that the etching rate of silicon nitride would be greater than that of silicon (substrate) or silicon oxide to prevent deep etching of the substrate 101 or silicon oxide film 107 .
  • the silicon nitride film 113 is etched under conditions permitting anisotropic etching of the silicon nitride film 113 , whereby the silicon nitride film 113 is left on each of the side walls of the gate electrode 109 (word line WL). This makes it possible to form the contact holes 118 , 119 having a minute diameter in self alignment with the gate electrodes 109 (word line WL).
  • an n-type impurity (phosphorus or arsenic) is ion-implanted to the p-type wells 103 (n ⁇ type semiconductor regions 111 ) of the memory cell array via the contact holes 118 , 119 , whereby n + type semiconductor regions 117 (source, drain) are formed.
  • a memory selecting MISFETQs formed of an n channel type is formed in the memory cell array.
  • plugs 120 are formed inside of the contact holes 118 , 119 .
  • These plugs 120 are formed by wet washing the inside of the contact holes 118 , 119 with a hydrofluoric-acid-containing washing liquid; depositing, by CVD over the silicon oxide film 116 including the inside of the contact holes 118 , 119 , a low-resistance polycrystalline silicon film having an n-type impurity, such as phosphorus (P) doped thereto; and etching back (or polishing by CMP) the polycrystalline silicon film to leave it only inside of the contact holes 118 , 119 .
  • a hydrofluoric-acid-containing washing liquid depositing, by CVD over the silicon oxide film 116 including the inside of the contact holes 118 , 119 , a low-resistance polycrystalline silicon film having an n-type impurity, such as phosphorus (P) doped thereto.
  • etching back (or polishing by CMP) the polycrystalline silicon film to leave
  • the silicon oxide film 121 and underlying silicon oxide film 116 in the peripheral circuit region are dry etched using a photoresist film (not illustrated) as a mask, whereby contact holes 122 and contact holes 123 are formed over the source and drain (n + type semiconductor regions 114 ) of the n channel type MISFETQn and the source and drain (p + type semiconductor regions 115 ) of the p channel type MISFETQp, respectively.
  • a contact hole 124 is formed over the gate electrode 109 (or the gate electrode 109 in a not-illustrated region of the n channel type MISFETQn), while a through-hole 125 is formed over the contact hole 118 of the memory cell array.
  • plugs 127 are formed inside of the contact holes 122 , 123 , 124 and the through-hole 125 .
  • the above-described silicide film 126 is formed, for example, by depositing a Ti film of about 30 nm thick and a TiN film of about 20 nm thick over the silicon oxide film 121 , including the insides of the contact holes 122 , 123 , 124 and through-hole 125 , by sputtering, and the heat treating the substrate 101 at about 650° C.
  • the plugs 127 are formed, for example, by depositing a TiN film of about 50 nm thick and a W film of about 300 nm thick by CVD over the TiN film including the inside of the contact holes 122 , 123 , 124 and through-hole 125 , and then polishing the W film, TiN film and Ti film over the silicon oxide film 121 by CMP to leave these films only inside of the contact holes 122 , 123 , 124 and through-hole 125 .
  • the silicide film 126 made of Ti silicide on the interface between the source_drain (n + type semiconductor regions 114 , p + type semiconductor regions 115 ) and the plug 127 formed thereover makes it possible to reduce the contact resistance between the source_drain (n + type semiconductor regions 114 , p + type semiconductor regions 115 ) and the plug 127 , leading to an improvement in the speed of operation of the MISFET (n channel type MISFETQn, p channel type MISFETQp) constituting the peripheral circuit.
  • a bit line BL is formed over the silicon oxide film 121 of the memory cell array, while the first-layer interconnections 130 to 133 are formed over the silicon oxide film 121 in the peripheral circuit region.
  • the bit line BL and the first-layer interconnections 130 to 133 can be formed, for example, by depositing a W film of about 100 nm thick over the silicon oxide film 121 by sputtering and then, dry etching this W film using a photoresist film as a mask.
  • the silicon oxide film 116 lying under the bit line BL and interconnections 130 to 133 have been planarized so that the bit line BL and interconnections 130 to 133 can be patterned with high size accuracy.
  • a silicon oxide film 134 of about 300 nm thick is formed over the bit line BL and the first-layer interconnections 130 to 133 .
  • This silicon oxide film 134 is formed in a similar manner to the above-described silicon oxide film 116 .
  • the polycrystalline silicon film 135 of the memory cell array is dry etched using a photoresist film as a mask, whereby a trench 136 is formed in the polycrystalline silicon film 135 above the contact hole 119 .
  • side wall spacers 137 are formed on the side walls of the trench 136 , followed by dry etching of the silicon oxide film 134 and underlying silicon oxide film 121 using these side wall spacers 137 and polycrystalline silicon film 135 as masks, to form a through-hole 138 above the contact hole 119 .
  • the side wall spacers 137 on the side walls of the trench 136 are formed by depositing a polycrystalline silicon film over the polycrystalline silicon film 135 , including the inside of the trench 136 , and then leaving the polycrystalline silicon film on the side walls of the trench 136 by anisotropic etching.
  • the diameter of the through-hole 138 becomes smaller than that of the underlying contact hole 119 . This makes it possible to secure an alignment margin of the bit line BL and through-hole 138 even if the memory cell size is reduced, thereby preventing a short-circuit between the plug 139 which will be embedded inside of the through-hole 138 and the bit line BL.
  • a plug 139 is formed inside of the through-hole 138 as illustrated in FIG. 38 .
  • This plug 139 is formed by depositing a low-resistance polycrystalline silicon film having an n-type impurity (phosphorus) doped thereto over the silicon oxide film including the inside of the through-hole 138 by CVD, and then leaving this polycrystalline silicon film only inside of the through-hole 138 by etching back.
  • a silicon nitride film 140 of about 100 nm thick is deposited over the silicon oxide film 134 by CVD, followed by deposition of a silicon oxide film 141 over the silicon nitride film 140 by CVD.
  • a photoresist film (not illustrated) being used as a mask, the silicon oxide film 141 of the memory cell array is dry etched, and then the silicon nitride film 140 lying under the silicon oxide film 141 is dry etched, whereby a trench 142 is formed above the through-hole 138 .
  • a lower electrode of an information storing capacitor is formed along the inside wall of this trench 142 so that the silicon oxide film 141 forming the trench 142 must be deposited so as to be thick (for example, about 1.3 ⁇ m) in order to enlarge the surface area of the lower electrode, thereby increasing the charge accumulated amount.
  • silicon nitride film 140 a silicon nitride film formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas having monosilane and nitrogen may be used alternatively.
  • the amorphous silicon film 143 a As illustrated in FIG. 40 , after deposition of an amorphous silicon film 143 a having an n-type impurity (phosphorus) doped thereto and having a thickness of about 50 nm over the silicon oxide film 141 including the inside of the trench 142 , the amorphous silicon film 143 a is left along the inside wall of the trench 142 by etching back the amorphous silicon film 143 a over the silicon oxide film 141 . The surface of the amorphous silicon film 143 a left inside of the trench 142 is then wet washed with a hydrofluoric acid washing liquid.
  • a hydrofluoric acid washing liquid As illustrated in FIG. 40 , after deposition of an amorphous silicon film 143 a having an n-type impurity (phosphorus) doped thereto and having a thickness of about 50 nm over the silicon oxide film 141 including the inside of the trench 142 , the amorphous silicon film 143
  • Monosilane (SiH 4 ) is then fed to the surface of the amorphous silicon film 143 a under a vacuum atmosphere, followed by thermal treatment of the substrate 101 to convert the amorphous silicon film 143 a into polycrystalline, and, at the same time, to allow silicon grains to grow on the surface.
  • the polycrystalline silicon film 143 having a roughened surface is formed along the inside wall of the trench 142 .
  • This polycrystalline silicon film 143 serves as a lower electrode of a data storage capacitor.
  • a tantalum oxide (Ta 2 O 5 ) film of about 15 nm thick is deposited by CVD over the silicon oxide film 141 , including the inside of the trench 142 , followed by thermal treatment at about 800° C. for 3 minutes in an oxygen atmosphere to crystallize the tantalum oxide film 144 and, at the same time, to repair defects caused by oxygen fed to the film.
  • This tantalum oxide film 144 serves as a capacitor insulating film of the data storage capacitor.
  • the TiN film 145 and tantalum oxide film 144 are dry etched using a photoresist film (not illustrated) as a mask, whereby a data storage capacitor C is provided, which is formed of an upper electrode made of the TiN film 145 , a capacitor insulating film made of the tantalum oxide film 144 and a lower electrode made of the polycrystalline silicon film 143 .
  • a memory cell of a DRAM formed of the memory cell selecting MISFETQs and data storing capacitor C connected in series therewith is completed.
  • the capacitor insulating film of the data storage capacitor C may be made of a film composed mainly of a high dielectric substance or ferroelectric substance having a perovskite or complex perovskite crystal structure, such as PZT, PLT, PLZT, PbTiO 3 , SrTiO 3 , BaTiO 3 , BST, SBT or Ta 2 O 5 .
  • a second wiring layer is formed by the following method.
  • a silicon oxide film 150 is deposited to a thickness of about 100 nm over the data storage capacitor C by CVD.
  • through-holes 151 , 152 by dry etching the silicon oxide film 150 , 141 , silicon nitride film 140 and silicon oxide film 134 over the first-layer interconnections 130 , 133 in the peripheral circuit region using a photoresist film (not illustrated) as a mask, plugs 153 are formed in the through-holes 151 , 152 .
  • These plugs 153 are formed, for example, by depositing a TiN film of about 100 nm thick over the silicon oxide film 150 by sputtering, depositing thereover a W film of about 500 nm thick by CVD and then etching back these films to leave them only inside of each of the through-holes 151 , 152 .
  • Interconnection layers 154 to 156 are then formed over the silicon oxide film 150 , for example, by depositing a TiN film of about 50 nm thick, an Al (aluminum) alloy film of about 500 nm thick and a Ti film of about 50 nm thick over the silicon oxide film 150 by sputtering, and then dry etching these films using a photoresist film (not illustrated) as a mask.
  • An interlayer insulating film covering the interconnections 154 to 156 therewith, third-layer interconnections and a passivation film formed of a silicon oxide film and a silicon nitride film are then deposited in this order, but illustration thereof is omitted.

Abstract

In the manufacture of a semiconductor device having a high-performance and high-reliability, a silicon nitride film 17 for self alignment, which film is formed to cover the gate electrode of a MISFET, is formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas including monosilane and nitrogen. A silicon nitride film 44 constituting a passivation film is formed at a substrate temperature of about 350° C. by plasma CVD using a raw material gas including monosilane, ammonia and nitrogen. The hydrogen content contained in the silicon nitride film 17 is smaller than that contained in the silicon nitride film 44, making it possible to suppress hydrogen release from the silicon nitride film 17.

Description

  • This application is a Divisional application of application Ser. No. 10/917,289, filed Aug. 13, 2004, which is a Continuation application of application Ser. No. 09/913,896, filed Aug. 21, 2001, the contents of which are incorporated herein by reference in their entirety. No. 09/913,896 is a National Stage application, filed under 35 USC 371, of International (PCT) Application No. PCT/JP99/03703, filed Jul. 8, 1999.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to a semiconductor device and a process of manufacture thereof; and, more particularly, the invention relates to a technique that is effective in producing a highly integrated circuit device that has a high performance and a high reliability.
  • With a tendency to enhance the performance and increase the degree of miniaturization of a semiconductor device, a self alignment technique that is capable of absorbing mask alignment errors has been employed frequently.
  • For example, Japanese Patent Application Laid-Open No. Hei 11(1999)-26714 discloses a technique which calls for covering, with a silicon nitride film, gate electrodes of a MISFET (Metal Insulator Semiconductor Field Effect Transistor) constituting the memory cell of a DRAM, forming an interlayer insulating film made of a silicon oxide film, and then forming a plug for connecting source and drain regions of the MISFET. In the processing step of providing a connecting hole wherein this plug is to be formed, etching is carried out in two sub-steps, that is, a first etching sub-step permitting etching of the silicon oxide film, but not permitting easy etching of the silicon nitride film, and a second etching sub-step permitting etching of the silicon nitride film. Since the MISFET (selecting MISFET) of the DRAM memory cell is processed with a minimum processing size, mask misregistration between a gate electrode pattern and a connecting hole pattern cannot be avoided upon formation of the connecting hole between the gate electrodes, and accurate processing of the connecting hole cannot be attained without using a self alignment technique. In the technique as disclosed in the above-described literature, the silicon nitride film covering the gate electrodes serves as an etching stopper, whereby the connecting hole can be processed in self alignment with the gate electrode.
  • According to the above-described technique, the silicon oxide film is formed so as to be thinner than the silicon oxide film serving as an interlayer insulating film, and the silicon nitride film serves as an etching stopper so that sufficient overetching can be conducted in the above-described first etching sub-step. Even a minute connecting hole, or a connecting hole having a great aspect ratio, can be formed with a uniform thickness on the wafer, and, in addition, the process margin can be increased. In the second etching sub-step, owing to a sufficiently small thickness of the silicon nitride film serving as a stopper, excessive etching of a substrate can be inhibited even if sufficient over-etching is conducted. In short, a connecting hole can be formed in self alignment with the surface of the substrate. In particular, when the bottom portion of the connecting hole overlaps with an element isolation region, there is a possibility of the silicon oxide film, which constitutes the element isolation region, being etched excessively. By adoption of a two-stage etching process, excessive etching of the element isolation region can be controlled within a sufficiently acceptable range. As a result, leakage current of the MISFET due to excessive etching of the substrate (element isolation region) can be inhibited, whereby, in the case of a DRAM, the refresh properties can be improved.
  • The above-described self alignment processing relative to the substrate surface can be applied, for example, to a wiring step using a damascene process. More specifically, upon defining a wiring trench for metallization or a connecting hole in an interlayer insulating film, a thin silicon nitride film is formed in advance at a position corresponding to the bottom portion of the wiring trench or bottom portion of the connecting hole, and then, the wiring trench or connecting hole is formed in a manner similar to the above-described two-stage etching step. In such a step, it is possible to inhibit excessive etching of a member at the bottom portion of the wiring trench or connecting hole, improve the uniformity of the depth of the wiring trench or connecting hole, and to actualize definite connection between wiring layers.
  • There are a variety of film formation methods for formation of a silicon nitride film, for example, the thermal CVD (Chemical Vapor Deposition) and plasma CVD. For example, Japanese Patent Application Laid-Open No. Hei 2(1990)-224430 discloses a technique for using, as an interlayer insulating film or passivation film, a silicon nitride film formed by the ECR (Electron Cyclotron Resonance)-CVD using a raw material gas having silane (SiH4) and nitrogen (N2). Japanese Patent Application Laid-Open No. Sho 63(1988)-132434 discloses a technique for using, as a passivation film, a silicon nitride film formed by the ECR-CVD using a raw material gas having silane (SiH4) and nitrogen (N2).
  • The present inventors, however, have recognized that the above-described techniques involve problems. Recognition on the problems which will be described below was obtained by tests and investigation only by the present inventors, and it has not been published.
  • With a tendency toward miniaturization and improvement in the performance of a semiconductor device, heat treatment has been severely controlled. For miniaturization of a semiconductor device, precise control of the position and depth of a diffusion layer (impurity semiconductor region) is necessary. A high-temperature process subsequent to the precisely-controlled formation of a diffusion layer is not preferred, because it causes diffusion of impurities, thereby causing fluctuations in the position of the diffusion layer. A precise control of an impurity concentration in the diffusion layer is also desired so that re-diffusion of the impurities in the diffusion layer presumably causing fluctuations in the impurity concentration is not preferred. For improvement in the performance of a semiconductor device, it is desired to form a silicide layer over the surface of an impurity diffusion layer or over the surface of a gate electrode. Interposition of a high temperature process after the formation of a silicide layer causes various problems due to poor heat resistance of the silicide layer, for example, a change in the composition of the silicide layer owing to the re-reaction between the silicide layer and silicon layer, a lowering in conductivity of the silicide layer owing to this compositional change, an increase in the stress in the silicide layer and appearance of voids.
  • It is therefore impossible to form a silicon nitride film for self alignment as a film which covers the gate electrode, or a silicon nitride film for forming a wiring trench or connecting hole of a damascene process in self alignment, by using thermal CVD process, a film formation method which operates at high temperatures (usually, 700° C. or greater). According to the recognition of the present inventors, formation of a silicon nitride film by thermal CVD is accompanied with another problem in that active hydrogen (H) being generated during film formation is diffused in a diffusion layer or channel region of the MISFET, thereby causing the threshold voltage (Vth) to fluctuate.
  • Formation of a silicon nitride film using plasma CVD, which permits processing at low temperatures (usually about 400° C.), therefore investigated by the present inventors.
  • A silicon nitride film formed by plasma CVD however has a disturbance which may deteriorate the device characteristics. The disturbance is that a surface on which the silicon nitride film is to be formed receives plasma-induced damage by radicals generated in a plasma process or ion bombardment. This leads to inactivation of an impurity (boron (B), phosphorus (P), etc.) in a polycrystalline silicon film (gate electrode) on which the silicon nitride film is to be formed or in a diffusion layer (semiconductor substrate), or an increase of dangling bonds in the polycrystalline silicon film or diffusion layer, causing an increase in their resistance.
  • Upon formation of a silicon nitride film by plasma CVD, silane (SiH4), ammonia (NH3) and nitrogen (N2) are used as raw material gases because they afford good step coverage, but a plasma CVD film (silicon nitride film) formed using such an SiH4/NH3/N2 gas as a raw material contains much hydrogen (H). During the subsequent heat treatment, hydrogen is released from the film, causing an increase in the stress of the film (silicon nitride film). An increase in the stress of the film is a cause of deterioration of the device characteristics. A marked increase causes peeling of the film and may cause device failure.
  • Hydrogen thus released is diffused in a polycrystalline silicon film serving as a gate electrode or diffusion layers (source_drain) of a semiconductor substrate and becomes a cause for inactivating impurities in the polycrystalline silicon film or diffusion layers, resulting in an increase in the resistance of the gate electrode or source-drain.
  • The hydrogen thus released and diffused in the polycrystalline silicon film or diffusion layers facilitates movement of impurities (particularly, boron (B)) in the polycrystalline film or diffusion layers and facilitates diffusion of impurities (particularly, boron) in the channel region of the MISFET. This effect causes fluctuations in the threshold voltage (Vth) of the MISFET, thereby deteriorating the performance of the semiconductor device.
  • As described above, in a silicon nitride film formed at low temperature, much hydrogen contained in the film is presumed to deteriorate device characteristics. Even if a silicon nitride film formed using SiH4/NH3/N2 as a raw material gas contains much hydrogen in a deposited state, this drawback can be presumed to be overcome by a method of subjecting the resulting film to thermal treatment to release hydrogen from the film, thereby reducing its hydrogen content. But this method causes peeling of a film after thermal treatment and generates foreign matter. In addition, when a contact hole is formed in a portion of film that is just peeling, coverage failure of a connecting member occurs, thereby causing a conduction failure of the contact portion.
  • An object of the present invention is to provide a technique that is capable of forming a silicon nitride film for self alignment at low temperatures while reducing the hydrogen content.
  • Another object of the present invention is to provide a film formation method that is capable of reducing plasma-induced damage upon formation of a silicon nitride film.
  • A further object of the present invention is to provide a semiconductor device with less fluctuations in the resistance of a polycrystalline silicon film and with less fluctuations in the threshold voltage of the MISFET.
  • A still further object of the present invention is to provide a semiconductor device having high performance and high reliability.
  • The above-described and other objects and novel features of the present invention will be apparent from the description herein and the accompanying drawings.
  • SUMMARY OF THE INVENTION
  • Typical aspects and features of the invention, among those disclosed by the present application, will hereinafter be summarized.
  • In a semiconductor device or manufacturing process according to the present invention, a silicon nitride film for self alignment, when formed by plasma CVD, is formed at 350° C. or greater (preferably 400° C. or greater). In addition, the silicon nitride film is formed using a two-element gas having silane and nitrogen as raw material gases.
  • Formation of a silicon nitride film at 350° C. or greater, preferably at 400° C. or greater makes it possible to reduce the hydrogen content of the film in the as deposited state, thereby inhibiting an increase in the film stress and an increase in released hydrogen in the subsequent thermal treatment. In addition, use of a two-element gas (silane and nitrogen) makes it possible to reduce plasma-induced damage, thereby reducing the hydrogen content in the as deposited state. By these effects, peeling of a silicon nitride film for self alignment can be prevented, and release of hydrogen contained in the film can be suppressed. By suppressing hydrogen release from the film, inactivation of impurities in the gate electrode or source and drain regions can be inhibited, whereby fluctuations of their resistance and fluctuations of the threshold voltage of the MISFET can be suppressed. As a result, a semiconductor having improved reliability is available. It is needless to say that when use of a silicide layer to heighten the performance of a semiconductor device (MISFET) is taken into consideration, the formation temperature of the silicon nitride film is not set so high as that for thermal CVD.
  • In accordance with the present invention, a silicon nitride film formed by plasma CVD using a three-element raw material gas (silane, ammonia and nitrogen) is used as a passivation film applied to a semiconductor device, because step coverage is important in order to prevent invasion of water and the device characteristics do not depend on the hydrogen content of the passivation film. When the silicon nitride film for self alignment and passivation film are compared, the former has a smaller hydrogen content and is formed at a higher temperature.
  • Various combinations of the inventions disclosed herein will hereinafter be listed.
  • 1. A manufacturing process of a semiconductor device according to the present invention, comprises:
  • (a) selectively forming a first insulating film (for example, an element isolation region) on a surface of a semiconductor substrate;
  • (b) forming a first conductor portion (for example, a gate electrode) via a second insulating film (for example, a gate insulating film) over the surface of the semiconductor substrate,
  • (c) forming a semiconductor layer (for example, source-drain) in a region, on the surface of the semiconductor substrate, wherein the first insulating film and the first conductor portion do not exist;
  • (d) forming a third insulating film (for example, a film for self alignment) to cover the first conductor portion, semiconductor layer and first insulating film;
  • (e) forming a fourth insulating film (for example, an interlayer insulating film) over the third insulating film;
  • (f) forming a first opening (for example, a contact hole) in the fourth and third insulating films,
  • (g) forming a second conductor portion (for example, a plug) in the first opening; and
  • (h) forming a fifth insulating film (for example, a passivation film) over the fourth insulating film, wherein
  • the third and fifth insulating films are silicon nitride films formed by plasma CVD and the third insulating film is formed at a temperature higher than that of the fifth insulating film.
  • 2. The manufacturing process of a semiconductor device according to the item 1, wherein the first and fourth insulating films are silicon oxide films, and the step for forming a first opening comprises a step of etching the fourth insulating film under conditions permitting a larger etching amount of the fourth insulating film than that of the third insulating film and a step of etching the third insulating film under conditions permitting a larger etching amount of the third insulating film than that of the first insulating film.
  • 3. The manufacturing process of a semiconductor device according to the item 1, wherein the fifth insulating film is formed using an ammonia-containing reaction gas, while the third insulating film is formed using an ammonia-free reaction gas.
  • 4. The manufacturing process of a semiconductor device according to the item 1, further comprising, between the steps (c) and (d), a step of forming a silicide layer over the surface of the semiconductor layer.
  • 5. The manufacturing process of a semiconductor device according to the item 4, wherein the second conductor portion contains a first conductor layer (for example, a titanium nitride layer) and a second conductor layer (for example, a tungsten layer), and the first conductor layer is thinner than the second conductor layer and lies below the second conductor layer.
  • 6. The manufacturing process of a semiconductor device according to the item 1, further comprising, between the steps (g) and (h), (i) a step of forming a third conductor portion (for example, an interconnection) and (j) a step of connecting, in a second opening formed in the fifth insulating film to expose a portion of the third conductor portion, the third conductor portion with an externally connecting conductor portion (for example, a bonding wire or bump electrode).
  • 7. The manufacturing process of a semiconductor device according to the item 1, wherein the first conductor portion is formed of a silicon layer containing boron.
  • 8. The manufacturing process of a semiconductor device according to the item 1, wherein the conductor portion is formed of three conductor layers, that is, a first conductor layer made of silicon, a second conductor layer (for example, tungsten nitride serving as a barrier layer) and a third conductor layer made of a refractory metal (for example, titanium, cobalt or tungsten).
  • 9. A manufacturing process of a semiconductor device according to the present invention, comprises:
  • (a) selectively forming a first insulating film (for example, an element isolation region) on a surface of a semiconductor substrate;
  • (b) forming a first conductor portion (for example, a gate electrode) over the surface of the semiconductor substrate via a second insulating film (for example, a gate insulating film),
  • (c) forming a semiconductor layer (for example, source-drain) in a region, over the surface of the semiconductor substrate, wherein the first insulating film and the first conductor portion do not exist;
  • (d) forming a third insulating film (for example, a film for self alignment) to cover the first conductor portion, semiconductor layer and first insulating film;
  • (e) forming a fourth insulating film (for example, an interlayer insulating film) over the third insulating film;
  • (f) forming a first opening (for example, a contact hole) in the fourth and third insulating films,
  • (g) forming a second conductor portion (for example, a plug) in the first opening; and
  • (h) forming a fifth insulating film (for example, a passivation film) over the fourth insulating film,
  • wherein the third and fifth insulating films are silicon nitride films formed by plasma CVD and the third insulating film has a hydrogen content smaller than that of the fifth insulating film.
  • 10. A manufacturing process of a semiconductor device according to the present invention, comprises:
  • (a) forming a first insulating film (for example, a film for self alignment) on a surface of a semiconductor substrate;
  • (b) forming a second insulating film (for example, an insulating film for metallization) over the first insulating film;
  • (c) forming an opening (for example, a trench for damascene) in the second and first insulating films;
  • (d) forming a conductor layer (for example, an interconnection) in the opening; and
  • (e) forming a third insulating film (for example, a passivation film) over the conductor layer,
  • wherein the first insulating film and the third insulating film are silicon nitride films formed by plasma CVD and the first insulating film is formed at a temperature higher than that of the third insulating film.
  • 11. A manufacturing process of a semiconductor device according to the present invention, comprises:
  • (a) forming a first insulating film (for example, a film for self alignment) on a surface of a semiconductor substrate;
  • (b) forming a second insulating film (for example, an insulating film for metallization) over the first insulating film;
  • (c) forming an opening (for example, a trench for damascene) in the second and first insulating films;
  • (d) forming a conductor layer (for example, an interconnection) in the opening; and
  • (e) forming a third insulating film (for example, a passivation film) over the conductor layer,
  • wherein the first insulating film and the third insulating film are silicon nitride films formed by plasma CVD and the first insulating film has a hydrogen content smaller than that of the third insulating film.
  • 12. A manufacturing process of a semiconductor device according to the present invention, comprises:
  • (a) selectively forming a first insulating film (for example, an element isolation region) over a surface of a semiconductor substrate;
  • (b) forming a semiconductor layer (for example, source-drain) in a region, over the surface of the semiconductor substrate, wherein the first insulating film does not exist;
  • (c) forming a refractory metal silicide layer over the surface of the semiconductor layer;
  • (d) forming a second insulating film (for example, a film for self alignment) to cover the refractory metal silicide layer and the first insulating film;
  • (e) forming a third insulating film (for example, an interlayer insulating film) over the second insulating film;
  • (f) forming an opening (for example, a contact hole) in the third and second insulating films, and
  • (g) forming a conductor portion (for example, a plug) in the opening,
  • wherein the second insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • 13. The manufacturing process of a semiconductor device as described in the item 12, wherein the second insulating film is formed using a reaction gas having monosilane and nitrogen but free of ammonia.
  • 14. The manufacturing process of a semiconductor device according to the item 12, wherein the third insulating film is a silicon oxide film, and the opening forming step comprises a step of etching the third insulating film under conditions permitting a larger etching amount of the third insulating film relative to the second insulating film and a step of etching the second insulating film under conditions permitting a larger etching-amount of the second etching film relative to the first insulating film.
  • 15. The manufacturing process of a semiconductor device according to the item 12, wherein the silicide-layer forming step further comprises (h) depositing a refractory metal film over the semiconductor layer and first insulating film;
  • (i) heat treating the semiconductor substrate, thereby forming a silicide layer over a surface of the semiconductor layer; and
  • (j) removing the refractory metal film over the first insulating film.
  • 16. The manufacturing process of a semiconductor device according to the item 12, wherein the conductor piece contains a first conductor layer and a second conductor layer, and the first conductor layer is thinner than the second conductor layer and lies below the second conductor layer.
  • 17. The manufacturing process of a semiconductor device according to the item 16, wherein the first conductor layer is a titanium nitride layer, while the second conductor layer is a tungsten layer.
  • 18. A manufacturing process of a semiconductor device according to the present invention, which comprises:
  • (a) selectively forming a first insulating film (for example, an element isolation region) on a surface of a semiconductor substrate;
  • (b) forming a first conductor portion (for example, a gate electrode) over the surface of the semiconductor substrate via a second insulating film (for example, a gate insulating film),
  • (c) forming a semiconductor layer (for example, source-drain) in a region, over the surface of the semiconductor substrate, wherein the first insulating film and the first conductor portion do not exist;
  • (d) forming a third insulating film (for example, a film for self alignment) to cover the first conductor portion, semiconductor layer and first insulating film; and
  • (e) forming a fourth insulating film (for example, an interlayer insulating film) over the third insulating film; wherein the first conductor portion is a boron-containing silicon film and the third insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • 19. The manufacturing process of a semiconductor device according to the item 18, wherein the third insulating film is formed using a reaction gas having monosilane and nitrogen but free of ammonia.
  • 20. A manufacturing process of a semiconductor film according to the present invention, comprises:
  • (a) forming a first insulating film (for example, a film for self alignment) over a semiconductor substrate;
  • (b) forming a second insulating film (for example, an insulating film for the formation of a damascene trench) over the first insulating film;
  • (c) forming an opening (for example, a trench for damascene) in the second and first insulating films; and
  • (d) forming a conductor layer (for example, an interconnection) in the opening,
  • wherein the first insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • 21. The manufacturing process of a semiconductor device according to the item 20, wherein the second insulating film is a silicon oxide film.
  • 22. The manufacturing process of a semiconductor device according to the item 20, wherein the conductor forming step comprises forming a first conductor layer as a lower layer and a second conductor layer as an upper layer, the second conductor layer is made of copper, and the first conductor layer serves to prevent diffusion of copper.
  • 23. A manufacturing process of a semiconductor device according to the present invention, comprises:
  • (a) depositing, via a first insulating film (for example, a gate insulating film), a first conductor layer made of silicon, a second conductor layer, a third conductor layer made of a refractory metal and a second insulating film (for example, a cap insulating film) over a semiconductor substrate;
  • (b) processing the second insulating film, and the third, second and first conductor layers into a predetermined pattern; and
  • (c) forming a third insulating film (for example, a film for self alignment) over the second insulating film, wherein the second insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • 24. The manufacturing process of a semiconductor device according to the item 23, wherein the third insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater.
  • 25. A semiconductor device according to the present invention, comprises:
  • (a) a semiconductor substrate,
  • (b) a first insulating film (for example, an element isolation region) selectively formed on a surface of a semiconductor substrate;
  • (c) a first conductor portion (for example, a gate electrode) formed over the surface of the semiconductor substrate via a second insulating film (for example, a gate insulating film),
  • (d) a semiconductor layer (for example, source-drain, diffusion layer, interconnection) disposed between the first insulating film and first conductor portion over the surface of the semiconductor substrate;
  • (e) a third insulating film (for example, a film for self alignment) formed over the first conductor portion, first insulating film and semiconductor layer;
  • (f) a fourth insulating film (for example, an interlayer insulating film) formed over the third insulating film;
  • (g) a second conductor portion (for example, a plug) formed in the opening defined in the third and fourth insulating films; and
  • (h) a fifth insulating film (for example, a passivation film) formed over the second conductor portion,
  • wherein the third and fifth insulating films are silicon nitride films formed by plasma CVD and the third insulating film has a hydrogen content smaller than that of the fifth insulating film.
  • 26. The semiconductor device according to the item 25, wherein the second conductor portion contains a first conductor layer and a second conductor layer, and the first conductor layer is thinner than the second conductor layer and lies below the second conductor layer.
  • 27. The semiconductor device according to the item 26, wherein the first conductor layer is a titanium nitride layer and the second conductor layer is a tungsten layer.
  • 28. The semiconductor device according to the item 25, wherein a refractory metal silicide layer is formed over the surface of the semiconductor layer.
  • 29. The semiconductor device according to the item 25, wherein the first conductor portion is formed of a boron-containing silicon layer.
  • 30. A semiconductor device according to the present invention, comprises:
  • (a) a semiconductor substrate;
  • (b) a first conductor portion (for example, a gate electrode) formed over the semiconductor substrate via a first insulating film (for example, a gate insulating film);
  • (c) a second insulating film (for example, a cap insulating film) formed over the first conductor portion, and
  • (d) a third insulating film (for example, a passivation film) formed over the second insulating film,
  • wherein the second and third insulating films are silicon nitride films formed by plasma CVD and the second insulating film has a hydrogen content smaller than that of the third insulating film.
  • 31. The semiconductor device according to the item 30, further comprising:
  • (e) first and second conductor regions disposed on opposite ends of the first conductor portion on the surface of the semiconductor substrate,
  • wherein the first conductor portion functions as a gate of a transistor, the first and second semiconductor regions function as source and drain of the transistor, and the second insulating film has a substantially equal width with the first conductor portion in a direction from the source toward the drain.
  • 32. The semiconductor device according to the item 30, further comprising (e) a second conductor portion (for example, an interconnection) formed over the second insulating film and (f) an externally connecting conductor portion (for example, a bump) connected with the second conductor portion,
  • wherein the third insulating film has an opening and in the opening, the externally connecting conductor portion has been connected with the second conductor portion.
  • 33. A semiconductor device according to the present invention, comprises:
  • (a) a semiconductor substrate;
  • (b) a first conductor portion (for example, a gate electrode) formed over the semiconductor substrate via a first insulating film (for example, a gate insulating film) and having a side wall;
  • (c) a second insulating film (for example, a side wall) formed over the side wall of the first conductor portion; and
  • (d) a third insulating film (for example, a passivation film) formed over the first conductor film,
  • wherein the second and third insulating films are silicon nitride films formed by plasma CVD and the second insulating film has a hydrogen content smaller than that of the third insulating film.
  • 34. The semiconductor device according to the item 33, further comprising (3) a second conductor portion (for example, an interconnection) formed over the second insulating film; and
  • (f) an externally connecting conductor portion (for example, a bump) connected with the second conductor portion, wherein the third insulating film has an opening and in the opening, the externally connecting conductor portion has been connected with the second conductor portion.
  • 35. A semiconductor device according to the present invention, which comprises:
  • (a) a semiconductor substrate;
  • (b)) a first insulating film (for example, a film for self alignment) over the semiconductor substrate;
  • (c) a second insulating film (for example, an insulating film for the formation of a wiring trench) over the first insulating film,
  • (d) a first conductor portion (for example, an interconnection) formed in a first opening defined in the first and second insulating films;
  • (e) a third insulating film (for example, an interlayer insulating film) over the first conductor portion,
  • (f) a second conductor portion (for example, an interconnection) over the third insulating film, and
  • (g) a fourth insulating film (for example, a passivation film) over the second conductor portion,
  • wherein the first and fourth insulating films are silicon nitride films formed by plasma CVD and the first insulating film has a hydrogen content smaller than that of the fourth insulating film.
  • 36. The semiconductor device according to the item 35, further comprising (h) an externally connecting conductor portion connected with the second conductor portion, wherein the fourth insulating film has a second opening, and this second opening, the externally connecting conductor piece has been connected with the second conductor portion.
  • 37. The semiconductor device according to the item 36, wherein the second insulating film is a silicon oxide film.
  • 38. The manufacturing process of a semiconductor device according to the item 12, further comprising, between the steps (a) and (b), a step of forming a first conductor portion (for example, a gate electrode) made of a silicon material, wherein in the step (c), a high refractory silicide layer is formed on the surface of the first conductor portion.
  • 39. The semiconductor device according to the item 25, wherein the first conductor piece is made of a silicon material and a refractory metal silicide layer has been formed over the surface of the first conductor portion.
  • 40. A manufacturing process of a semiconductor device according to the present invention, which comprises forming a first silicon nitride film for self alignment and forming a second silicon nitride film for passivation,
  • wherein the first silicon nitride film is formed by plasma CVD using a raw material gas having silane and nitrogen, and the second silicon nitride film is formed by plasma CVD using a raw material gas having silane, ammonia and nitrogen.
  • 41. The manufacturing process of a semiconductor device according to the item 40, wherein the first silicon nitride film is formed at a temperature higher than that of the second silicon nitride film.
  • 42. The manufacturing process of a semiconductor device according to the item 40, wherein the first silicon nitride film is formed at 400° C. or greater.
  • 43. A semiconductor device of the present invention, which comprises a first nitride film for self alignment processing and a second silicon nitride film for passivation, wherein between an Si—H/Si—N bonding ratio R1 according to FT-IR analysis of the first silicon nitride film and an Si—H/Si—N bonding ratio R2 according to FT-IR analysis of the second silicon nitride film, there is a relationship of R1<R2.
  • 44. The semiconductor device according to the item 43, wherein the Si—H bonding by the FT-IR analysis of the first silicon nitride film is 2×1021 cm−3 or less.
  • The members in the parentheses are indicated as exemplarily only and the present invention is not limited thereby.
  • Effects available by typical aspects and features of the invention among the above-disclosed combinations will next be described briefly.
  • (1) A silicon nitride film for self alignment can be formed at a low temperature with a small hydrogen content.
  • (2) A plasma-induced image upon formation of a silicon nitride film can be reduced.
  • (3) A semiconductor device with less fluctuations in the resistance of a polycrystalline film and less fluctuations in the threshold voltage of a MISFET can be provided.
  • (4) A high-performance and high-reliability semiconductor device can be provided.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1(a) to 14 are cross-sectional views illustrating, in the order of steps, a process in the manufacture of a semiconductor device according to Embodiment 1 of the present invention;
  • FIG. 15 is a graph showing the hydrogen content in a silicon nitride film at varied film forming temperatures;
  • FIG. 16 is a graph showing the relationship between the hydrogen content changing ratio by annealing of the silicon nitride film and stress displacement after annealing;
  • FIG. 17 is a graph of the sheet resistance of a polycrystalline silicon film plotted against the annealing temperature when a silicon nitride film disposed over the boron-containing polycrystalline silicon film is annealed;
  • FIG. 18 is a graph showing NBTI characteristics of a MISFET;
  • FIG. 19 is a graph illustrating the amount of shift in a flat band voltage; and
  • FIGS. 20 to 42 are cross-sectional views illustrating, in the order of steps, a process in the manufacture of a DRAM according to Embodiment 2 of the present invention.
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • The present invention will hereinafter be described in detail based on the accompanying drawings. In all the drawings the embodiments, like members having the same function will be identified by like reference numerals and overlapping descriptions will be omitted.
  • Embodiment 1
  • FIGS. 1(a) to 14 are cross-sectional views illustrating, in the order of steps, a process in the manufacture of a semiconductor device according to Embodiment 1 of the present invention.
  • As illustrated in FIG. 1(a), over the main surface of a semiconductor substrate 1, for example, made of p type single crystal silicon, an element isolation region 2 is formed. This element isolation region 2 can be formed, for example, in the following manner. First, a silicon oxide (SiO) film and a silicon nitride (SiN) film are successively formed over the main surface of the semiconductor substrate 1. Using a patterned photoresist film, the silicon nitride film is etched. With this etched silicon nitride film being used as a mask, a shallow trench is formed in the semiconductor substrate 1. An insulating film, for example, a silicon oxide film is deposited to embed the shallow trench, followed by removal of the silicon oxide film from a region other than the shallow trench by CMP (chemical mechanical polishing). By wet etching or the like, the silicon nitride film is then removed, whereby the element isolation region 2 (the first insulating film in the item 1) is formed.
  • With the patterned photoresist film being used as a mask, impurities are ion-implanted to form a p-type well 3 and an n-type well 4. In the p-type well 3, a p-conductivity type impurity, such as boron (B), is ion-implanted, while in the n-type well 4, an n-conductivity type impurity, such as phosphorus (p), is ion-implanted. In this manner, an n channel type MISFETQn is formed in the p-type well 3 and a p channel type MISFETQp is formed in the n-type well 4.
  • As illustrated in FIG. 1(b), a silicon oxide film 5 (the second insulating film in the item 1) is formed over each of the regions of the p-type well 3 and n-type well 4. The silicon oxide film 5 serves as a gate insulating film of the MISFET and is formed, for example, by thermal CVD.
  • Then, a polycrystalline silicon film 6 is formed. This polycrystalline silicon film 6 serves as a gate electrode (the first conductor piece in the item 1) of the MISFET and is formed, for example, by CVD.
  • As illustrated in FIG. 1(c), using a photoresist film (not illustrated) as a mask, an n-type impurity (ex. phosphorus (P)) is ion-implanted to the polycrystalline silicon film 6 in a region (the region of p-type well 3) wherein the n channel type MISFETQn is to be formed, whereby the n-type region 6 n of the polycrystalline silicon film is formed. Using a photoresist film (not illustrated) as a mask, a p-type impurity (ex. boron (B)) is ion-implanted to the polycrystalline silicon film 6 in a region (the region of n-type well 4) wherein the p channel type MISFETQp is to be formed, whereby the p-type region 6 p of the polycrystalline silicon film is formed.
  • Separate ion implantation in two regions of the polycrystalline silicon film 6 makes it possible to constitute a so-called dual gate structure wherein the conductivity type of the gate electrode becomes an n type in the case of the n channel type MISFET and a p type in the case of the p channel type MISFET. By adopting this dual gate structure, the Vth (threshold voltage) of the MISFET can be reduced, whereby a MISFET capable of being driven at a low voltage can be formed. The conventional semiconductor device has the inherent drawback that, when a boron-containing polycrystalline silicon film is employed for a portion of the gate electrode, owing to a large thermal diffusion coefficient of boron, boron diffused from the gate electrode (polycrystalline silicon film) reaches the channel region (well), tending to cause the threshold voltage of the MISFET to fluctuate. In this Embodiment, however, a silicon nitride film having a small hydrogen content is used as a film for self alignment processing, as will be described later, so that diffusion of boron is suppressed and the high reliability of the semiconductor device can be maintained. This will be described more specifically later.
  • As illustrated in FIG. 2(a), polycrystalline silicon films 6,6 n,6 p are formed into predetermined patterns, whereby gate electrodes 7 are formed. For this patterning, dry etching is conducted using a photoresist film (not illustrated) as a mask. The gate electrode 7 may be caused to function as an interconnection.
  • As illustrated in FIG. 2(b), using a photoresist film (not illustrated) as a mask, an n-type impurity (for example, phosphorus or arsenic (As)) is ion-implanted to the p-type well 3, whereby an n-type semiconductor region 8 (the semiconductor layer in the item 1) is formed. The gate electrode 7 also serves as a mask so that the n-type semiconductor region 8 is formed in self alignment with the gate electrode 7. With a photoresist film (not illustrated) being used as a mask, a p-type impurity (ex. boron) is ion-implanted to the n-type well 4, whereby a p-type semiconductor region 9 (the semiconductor layer in the item 1) is formed. Similarly, the gate electrode 7 serves as a mask so that the p-type semiconductor region 9 is formed in self alignment with the gate electrode 7.
  • As illustrated in FIG. 2(c), side walls 10 are formed on the side walls of the gate electrode 7. These side walls 10 are formed, for example, by depositing, on the side walls of the gate electrode 7, a silicon oxide film to a thickness sufficient for providing good step coverage and then anisotropically etching this silicon oxide film.
  • As in the step of FIG. 2(b), an n+ type semiconductor region 1I and a p+ type semiconductor region 12 are formed in the region of p-type well 3 and the region of n-type well 4, respectively. To the n+ type semiconductor region 11 and p+ type semiconductor region 12, impurities are introduced at higher concentrations than the n-type semiconductor region 8 and p-type semiconductor region 9, respectively. In this ion implantation step, the side walls 10 function as masks so that the n+ type semiconductor region 11 and p+ type semiconductor region 12 are formed in self alignment with the side walls 10. Thus, a source-drain having an LDD (Lightly Doped Drain) structure formed of the n-type semiconductor region 8 and the n+ type semiconductor region 11 or the p-type semiconductor region 9 and the p+ type semiconductor region 12 is formed.
  • As illustrated in FIG. 3(a), a resistive element is formed over the wide element isolation region 2. This resistive element is formed, over the element isolation region 2, of a conductor film R, an insulating film 13 covering the conductor film R and a withdrawal electrode 14 over the insulating film 13. For the conductor film R, a metal (for example, tungsten) having a relatively high resistance or a semiconductor film (for example, a polycrystalline silicon film) to which an introduction amount of an impurity is relatively small can be used. For the insulating film, a silicon oxide film or a silicon nitride film can be used. For the withdrawal electrode 14, a polycrystalline silicon film can be used. The conductor film R can be formed by depositing a conductor film all over the semiconductor substrate 1 and then patterning it. Then, the insulating film 13 is deposited by CVD, sputtering or the like method. After opening a connecting hole, a polycrystalline silicon film is deposited, for example, by CVD, followed by patterning of this polycrystalline silicon film into a predetermined pattern, whereby the withdrawal electrode 14 is formed.
  • The resistive element exemplified above is equipped with the withdrawal electrode 14, but another type of a resistive element to be withdrawn directly by a plug without disposing the withdrawal electrode 14 may be employed. In this case, if the conductor film R is made of a polycrystalline silicon film, it is necessary to cover the surface of the conductor film R with an insulating film in order to prevent silicide formation on the whole surface of the polycrystalline silicon film in the silicide step, which will be described later.
  • Prior to the formation of the side walls 10, as illustrated in FIG. 2(c), the conductor film R may be formed (patterned), followed by formation of an insulating film for forming the side walls 10 to cover the conductor film R. In this case, by anisotropic etching of the insulating film using a photoresist film, which is formed to cover the patterned conductor film R, as a mask, the insulating film 13 covering the conductor film R can be formed in the formation region of the conductor film R, and, simultaneously, side walls 10 can be formed.
  • As illustrated in FIG. 3(b), a metal film 15 is deposited all over the surface of the semiconductor substrate 1. For the metal film 15, a refractory metal, for example, titanium, tungsten or cobalt, is used. The metal film 15 is deposited by CVD, sputtering or the like method.
  • As illustrated in FIG. 3(c), the semiconductor substrate 1 is thermally treated using, for example, RTA (Rapid Thermal Anneal). By this thermal treatment, a silicide forming reaction occurs in a region wherein the metal film 15 is brought into contact with a silicon material, whereby a silicide layer 16 is formed. When the metal film 15 is made of cobalt, this silicide layer 16 is cobalt silicide (CoSi). An unreacted portion of the metal film 15 is selectively removed. The unreacted portion of the metal film can be wet etched under the conditions permitting etching of the metal film 15, but not permitting etching of the silicide layer 16.
  • Thus, formation of the silicide layer 16 over the gate electrodes 7, n+ type semiconductor regions 11, p+ type semiconductor regions 12 and withdrawal electrode 14 makes it possible to reduce the connection resistance with the plug in a region wherein a contact is to be formed and also to reduce the sheet resistance in a region constituting interconnections, such as the gate electrodes 7, n+ type semiconductor regions 11 and p+ type semiconductor regions 12. As a result, the wiring resistance and wiring-wiring resistance can be reduced, thereby improving the response rate of elements, whereby the performance of the semiconductor device can be improved.
  • The silicide layer 16 itself is poor in heat resistance. It differs in resistance (particularly in the case of cobalt silicide), depending on its crystal phase; and, even if formed of a crystal phase having a small resistance, it happens to undergo a phase change to a crystal phase having high resistance by the subsequent heat treatment. Alternatively, a silicide forming reaction proceeds at an interface between the silicide layer and a not-silicide-formed silicon region, and the silicon element ratio lowers in the silicide layer, leading to a stoichiometric deviation from the crystal structure. In this case, an increase in the resistance becomes a problem. Moreover, when an unreacted metal region exists, the unreacted metal forms its silicide, and at the same time, transfers to the silicon region as a result of the subsequent heat treatment, whereby voids appear in a region wherein the unreacted metal existed. If such voids are formed in the contact portion, they increase the contact resistance and in the worse case, cause a connection failure.
  • This embodiment is free of such a problem of heat resistance of the silicide layer 16, because, as will be described later, the subsequent thermal treatment is conducted at a suppressed temperature, particularly, a film for self alignment (silicon nitride film) is formed at a relatively low temperature by plasma CVD, not by thermal CVD. In short, the silicide layer 16 can be used while avoiding the problem of heat resistance, which makes it possible to enhance the performance of a semiconductor device.
  • As illustrated in FIG. 4(a), a silicon nitride film 17 (the third insulating film in the item 1) is formed all over the surface of the semiconductor substrate 1. This silicon nitride film 17 is used for self alignment processing, as will be described later.
  • The silicon nitride film 17 is formed by plasma CVD at 350° C. or greater, preferably at 400° C. or greater. By the use of plasma CVD, a silicon nitride film can be formed at a lower temperature compared with thermal CVD, which requires a temperature of 700° C. or greater (for example, about 780° C.) for film formation. It is therefore unnecessary to consider the problem of heat resistance of the silicide layer 16.
  • In addition, the silicon nitride film 17 is formed using a raw material gas having silane (monosilane (SiH4)) and nitrogen (N2) but not having ammonia (NH3). In this regard, it differs from a passivation film which will be described later. The passivation film is formed at about 350° C. by using a raw material gas having monosilane, ammonia and nitrogen. The passivation film is formed using an ammonia-containing raw material gas because good step coverage is important for this film, but the silicon nitride film 17 is formed using an ammonia-free raw material gas. The passivation film is formed at a relatively low temperature of about 350° C., but formation of the silicon nitride film 17 needs a temperature of 350° C. or greater, preferably 400° C. or greater. In short, for the formation of the silicon nitride film 17, ammonia is not used, while for the formation of the passivation film, ammonia is used. In addition, the silicon nitride film 17 is formed at a temperature higher than that of the passivation film. In this specification, the term “temperature” means the substrate temperature.
  • By using such an ammonia-free raw material gas, the hydrogen content in the silicon nitride film 17 can be reduced. Since a hydrogen content in the silicon nitride film 17 is lowered, release of hydrogen from the silicon nitride film 17 can be inhibited even by the subsequent heat treatment (for example, sintering or densification at about 700° C. in the case where an interlayer insulating film is made of PSG (Phosphor Silicate Glass) or SOG (Spin On Glass)). As described above, release of hydrogen increases the stress of the silicon nitride film 17, presumably causing peeling of the silicon nitride film 17 or a connection failure at the bottom portion of a connecting hole. In addition, the released hydrogen, as described above, inactivates the impurity (particularly, boron) in the impurity-introduced silicon layer (gate electrode 7, n+ type semiconductor region 11, p+ type semiconductor region 12, withdrawal electrode 14), thereby increasing its resistance. It facilitates transfer of an impurity (particularly, boron) and the impurity (particularly, boron) thus rendered diffusible transfers to the channel region of the MISFET and causes the threshold voltage to fluctuate. Such a stress increase of the silicon nitride film, fluctuations and increase in the resistance of the silicon layer and fluctuations in the threshold voltage of the MISFET due to release of hydrogen become a cause for the failure and performance deterioration of the resulting semiconductor device. In this embodiment, however, the silicon nitride film 17 does not contain so much hydrogen in the as-deposited state, so that no such problem occurs.
  • Use of an ammonia-free raw material gas makes it possible to decrease plasma-induced damage upon formation of the silicon nitride film 17. When the raw material gas contains ammonia, plasma is presumed to have an increased density by the Penning effect brought about by the addition of ammonia. In this embodiment, because of use of a raw material gas free of ammonia, the plasma density does not show an excessive increase, and plasma damage or ion bombardment can therefore be suppressed. As a result, damage to a silicon layer (gate electrode 7, n+ type semiconductor region 11, p+ type semiconductor region 12 and withdrawal electrode 14, or silicide layer 16) to serve as a substrate over which the silicon nitride film 17 is formed can be reduced, and generation of dangling bonds and an increase in the resistance due to these dangling bonds can be prevented.
  • As described above, the hydrogen contained in the silicon nitride film 17 is relatively small, at least smaller than that contained in the passivation film (silicon nitride film) which will be described later.
  • Test results by the present inventors on the hydrogen content in the silicon nitride film 17 or the quality of the silicon nitride film related to the hydrogen content will be described.
  • FIG. 15 is a graph showing the hydrogen content in a silicon nitride film at varied film forming temperatures (deposition temperatures). The rhombic data points show the hydrogen content of the film in the as-deposited state, while the square data points show the hydrogen content in the film after annealing at 780° C. for 10 seconds. Line A is a test line showing the hydrogen content of the film in the as-deposited state, while Line B is a test line showing the hydrogen content in the annealed film. As is apparent from Line A, the higher the deposition temperature, the lower is the hydrogen content in the film. The difference between Line A and Line B (hydrogen content released by annealing) becomes smaller with an increase in the deposition temperature. This suggests that the hydrogen content in the as-deposited state can be reduced and the amount of hydrogen released by annealing can be decreased by increasing the deposition temperature.
  • FIG. 16 is a graph illustrating the relationship between the hydrogen content changing ratio by annealing and stress displacement after annealing. Line C is a test line available from each of the data points. Here, the hydrogen content changing ratio is obtained by dividing the hydrogen content after annealing by the hydrogen content in the as-deposited state. As this diagram suggests, there is a close relationship between the hydrogen content changing ratio and stress displacement after annealing. The greater the hydrogen content changing ratio (the higher the deposition temperature), the smaller the stress displacement. With a line of about 0.7 (Line D) as a boundary, peeling of a film occurs in a region wherein the hydrogen amount changing ratio is smaller (at a lower deposition temperature), while peeling of the film does not occur in a region wherein it is greater (at a higher deposition temperature). Results of the test show that the peeling of a silicon nitride film can be prevented almost completely by setting the deposition temperature at 400° C. This is the reason why the silicon nitride film 17 is formed preferably at 400° C. or greater.
  • FIG. 17 is a graph wherein the sheet resistance, after annealing, of a boron-containing polycrystalline silicon film over which a silicon nitride film has been deposited is plotted versus annealing temperature. An error bar is attached to each of the data.
  • The triangle data points show the data of a silicon nitride film formed at 400° C. by using a raw material gas having monosilane and nitrogen (two-element raw material gas), and Line E is a test line connecting these data.
  • The black circle data points show the data of a silicon nitride film formed at 360° C. using a raw material gas including monosilane, ammonia and nitrogen (three-element raw material gas), and Line F is a test line connecting these data.
  • The rhombic data point G shows the reference datum on the sheet resistance (in the as-deposited state) of an annealing-free polycrystalline silicon film over which no silicon nitride film is deposited. It, of course, shows the lowest resistance.
  • The square data points show various comparison data provided for consideration. Point H is a datum of a polycrystalline silicon film treated with NH3 plasma, Point I is a datum of a polycrystalline silicon film with N2 plasma, Point J is a datum of a polycrystalline silicon film treated with NH3/N2O plasma and Point K is a datum of a polycrystalline silicon film treated with N2 plasma, followed by heat treatment at 950° C. for 10 seconds.
  • Data in FIG. 17 have revealed that the sheet resistance of a polycrystalline silicon film is lower (in other words, closer to the polycrystalline silicon film in the as-deposited state) when a silicon nitride film is formed at 400° C. by using a two-element gas (Line E) than when a silicon nitride film is formed at 360° C. by using a three-element gas (Line F), suggesting that the polycrystalline silicon film is not deteriorated. Comparison between the datum (point H) on treatment with NH3 plasma or the datum (Point I) on treatment with N2 plasma and the datum (Point J) on treatment with NH3/N2O plasma is useful as reference data for explaining the difference between the resistance of the silicon nitride film formed using a two-element gas and the silicon nitride film formed using a three-element gas. Data of Points H and I correspond to the data (Line E) of a two-element gas, while the datum on Point J corresponds to the datum (Line F) of a three-element gas. The sheet resistance is almost equal in these data. Plasma from a one-element gas is formed in each of NH3 plasma and N2 plasma. On the other hand, plasma from a two-element gas is formed in NH3/N2O plasma; and, in this case, the Penning effect, that is, a phenomenon wherein the degree of plasma dissociation becomes higher compared with the case of a one-element gas, is presumed to occur. The difference between the data at Points H and I and datum at Point J is presumed to be due to plasma-induced damage of a polycrystalline silicon film resulting from the Penning effect. When similar consideration is applied to Line E and Line F, the Penning effect resulting from ammonia occurs when the silicon nitride film is deposited using a three-element gas (Line F). Compared with the two-element gas (Line E), the polycrystalline silicon film serving as a substrate receives much plasma-induced damage and is presumed to have increased resistance. This experimentally indicates that, in this embodiment, with provision of the silicon nitride film 17 in the form of a silicon nitride film formed using a two-element gas at a substrate temperature of 400° C. or greater, the resistance of the gate electrode 7 or the like can be maintained low and the performance of a semiconductor device can be maintained high.
  • The sheet resistance of a silicon nitride film formed using a two-element gas does not increase so much even if it is annealed at a high temperature, while the sheet resistance of a silicon nitride film formed by using a three-element gas increases largely by annealing at a high temperature. The datum (Point K) on the treatment of a polycrystalline silicon film with N2 plasma, followed by thermal treatment at 950° C. for 10 seconds is useful as a reference for explaining the existence of a change in the sheet resistance depending on the annealing temperature. The datum on Point K shows a polycrystalline silicon film subjected only to N2 plasma treatment and subsequent thermal treatment, so that an increase in the sheet resistance of the polycrystalline silicon film is presumed to result from such treatments. In short, without the influence of hydrogen, an increase in sheet resistance to an extent as shown in Point K occurs. The data (Line E) on the use of a two-element gas and thermal treatment (annealing) at about 950° C. are almost equal to that of Point K, but the data (Line F) on the use of a three-element gas indicate a large increase in the sheet resistance.
  • As illustrated in FIG. 15, when a two-element gas is used, the influence by hydrogen is negligible, but a large amount of hydrogen is released when a three-element gas is employed. The resistance of the polycrystalline silicon film is presumed to increase owing to this hydrogen. In short, an increase in the resistance of the polycrystalline silicon film (Line F) with an increase in the annealing temperature is presumed to occur because released hydrogen inactivates an impurity (boron) in the polycrystalline silicon film. This experimentally indicates provision of the silicon nitride film 17 of the present invention of a silicon nitride film formed at 400° C. or greater by using a two-element gas makes it possible to suppress fluctuations in the resistance of the gate electrode 7 or the like and maintain the reliability of the semiconductor device, even if a step requiring a high treating temperature exists after the formation of the silicon nitride film.
  • Thus, by providing the silicon nitride film 17 of this embodiment of a silicon nitride film formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas including silane and nitrogen, peeling of the silicon nitride film 17 can be prevented and release of hydrogen from the silicon nitride film 17 can be suppressed, leading to improvements in the performance and reliability of the semiconductor device.
  • As illustrated in FIG. 4(b), an interlayer insulating film 18 (the fourth insulating film in the item 1, etc.) is formed. This interlayer insulating film 18 is made of, for example, a silicon oxide film formed, for example, by CVD. Alternatively, PSG, SOG or the like film may be used as the interlayer insulating film 18. A self flowable film, such as PSG or SOG, can well embed the miniaturized gate electrode 7, facilitating surface planarization. When PSG or SOG is used, it is subjected to thermal treatment, such as sintering or densification. Since the release of hydrogen from the silicon nitride film 17 is suppressed, as described above, problems which will otherwise occur after heat treatment, such as peeling of the silicon nitride film 17, a rise or fluctuations in the resistance of the gate electrode 7 or fluctuation in the threshold voltage, do not occur.
  • The surface of the interlayer insulating film 18 may be planarized, for example, by CMP (Chemical Mechanical Polishing).
  • As illustrated in FIG. 5(a), a photoresist film 19 having openings defined in accordance with connecting hole patterns is formed over the interlayer insulating film 18. With this photoresist film 19 being used as a mask, etching is conducted to partially form connecting holes 20 (the first openings in the item 1, etc.). This etching (the first etching step) is conducted under the conditions permitting etching of a silicon oxide film, but not easy etching of a silicon nitride film. Selection of such conditions can make the silicon nitride film 17 function as an etching stopper. This etching makes it possible to expose the upper surface of the silicon nitride film 17, even if the connecting holes are different in depth. In other words, sufficient over-etching can be effected until the deepest hole is formed, whereby holes different in depth can be formed definitely. In addition, even if the etching rate on the wafer is not uniform, sufficient over-etching can be carried out until the completion of the processing of a hole whose etching is completed last. The processing margin of the connecting holes can therefore be increased.
  • As illustrated in FIG. 5(b), a second etching is conducted to remove the silicon nitride film 17 from the bottom portion of the connecting holes 20, whereby the opening of connecting holes 20 is completed. The second etching is carried out under conditions facilitating etching of a silicon nitride film, but not facilitating etching of a silicon oxide film. Even if sufficient over-etching is conducted upon second etching, excessive etching of the underlying semiconductor substrate 1 (element isolation region 2) can be inhibited. More specifically, the silicon nitride film 17 is much thinner than the interlayer insulating film 18, so that over-etching in the second etching step is sufficient when about half of the thickness of the silicon nitride film 17 at most is etched. The over-etching in the second etching step can therefore be suppressed to an extent that is not so large as to excessively etch even the element isolation region 2 or the like and cause a problem. This makes it possible to prevent deterioration in the performance and reliability of the MISFET, which will otherwise occur due to excessive etching in the element isolation region 2 or the like, but to maintain the performance and reliability of the semiconductor device high.
  • The silicon nitride film 17 does not peel off easily as described above, so that no peeling of the silicon nitride film 17 occurs in this opening step of connecting holes 20.
  • As illustrated in FIG. 6(a), plugs 21 are formed in the connecting holes 20, for example, in the following manner. A titanium nitride (TiN) film is formed all over the surface of the semiconductor substrate 1, including the inside of each of the connecting holes 20. This titanium nitride film can be formed, for example, by CVD. Owing to the excellent step coverage of CVD, the titanium nitride film can be formed with a uniform thickness even inside of the minute connecting holes 20. Since the silicon nitride film 17 does not peel off easily, the step coverage of the titanium nitride film is not disturbed. Next, a tungsten (W) film is formed to embed the connecting holes therewith. This tungsten film is formed, for example, by CVD. Even the minute connecting holes 20 can be embedded similarly with tungsten by CVD. The titanium nitride film and tungsten film in a region outside the connecting holes 20 are then removed by CMP, whereby the plugs 21 can be formed.
  • As illustrated in FIG. 6(b), a silicon nitride film 22 is formed over the interlayer insulating film 18 and plug 21, followed by the formation of an insulating film 23 for the first wiring layer. This silicon nitride film 22 is a film serving as an etching stopper upon forming a trench in the insulating film 23, so that a material having an etching selectivity to the insulating film 23 relative to the silicon nitride film is employed. As the insulating film 23, a material having a small dielectric constant is used in order to suppress the capacity between interconnections to as low a level as possible. The insulating film 23 is for example a silicon oxide film. Alternatively, the insulating film 23 may be an organic SOG film having a small dielectric constant or a fluorine-containing SOG film. With the silicon nitride film 22 and insulating film 23, a second-layer interconnection will be formed. Thus, their total thickness is determined by a designed thickness necessary for the second-layer interconnection. In consideration of lowering the capacity between interconnections, a silicon nitride film 22 having a high dielectric constant is desired to have a thickness as thin as possible insofar as it has a sufficient thickness to exhibit a stopper function.
  • As the silicon nitride film 22, a silicon nitride film formed in a similar manner to the silicon nitride film 17 at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas including monosilane and nitrogen can be used. By application of a film, that is similar to the silicon nitride film 17, to the silicon nitride film 22, a film, that is formed without a high-temperature step as in thermal CVD and in which the amount of hydrogen that is released is suppressed, can be used as a stopper film. As a result, even if a step causing hydrogen release exists after this step, peeling of the silicon nitride film 22 does not occur and the release of hydrogen is suppressed, whereby there is no room for released hydrogen causing a deterioration in the characteristics of the MISFET.
  • As illustrated in FIG. 7(a), a photoresist film 24 having an opening formed according to the wiring pattern of the first wiring layer is patterned on the insulating film 23, and using this photoresist film 24 as a mask, first etching is conducted. In the insulating film 23, a wiring trench 25 is defined partially by this first etching. This etching is conducted under conditions facilitating etching of a silicon oxide film, but not facilitating etching of a silicon nitride film. The silicon nitride film 22 then serves as an etching stopper.
  • As illustrated in FIG. 7(b), second etching is conducted under conditions permitting etching of a silicon nitride film. Since the silicon nitride film 22 is formed so as to be sufficiently thin, as described above, not so much over-etching is necessary upon second etching, and excessive etching of the interlayer insulating film 18 can be suppressed. By such two-stage etching, the wiring trench 25 can be formed uniformly and surely.
  • Then, an interconnection 26 of the first wiring layer is formed inside of the wiring trench 25. The interconnection 26 is formed of a barrier layer and a main conductive layer. The barrier layer is made of, for example, a titanium nitride film, while the main conductive layer is made of, for example, copper. The barrier layer has a function of preventing diffusion of copper therearound, and, for this purpose, a titanium nitride film can be employed. The barrier layer is, however, not limited to a titanium nitride film, but another metal film having a function of preventing diffusion of copper can also be employed. Instead of titanium nitride, tantalum (Ta) or tantalum nitride (TaN) can be used. As the barrier layer, a description will hereinafter be given using a titanium nitride film as an example. As described above, tantalum or tantalum nitride can be used instead. The copper film functions as a main conductive layer and can be formed, for example, by plating. Prior to plating, a thin copper film can be formed by sputtering as a seed film. Alternatively, the copper film may be formed by sputtering. In this case, it is recommended to fluidize the copper film, which has been formed by sputtering, by heat treatment, thereby improving embedding properties in a connecting hole or wiring trench. In the following description, formation of a copper film by plating will be exemplified. As described above, sputtering may be used alternatively.
  • The interconnection 26 is formed as follows. A titanium nitride film is formed all over the semiconductor substrate 1, including the inside of the wiring trench 25, followed by formation of a copper film to embed the wiring trench 25. A metal laminate film 27 thus formed of the titanium nitride film and copper film is embedded in the wiring trench 25 (FIG. 8(a)).
  • For the formation of the titanium nitride film and the copper film, CVD and plating, for example, are employed, respectively. Prior to the formation of the copper film by plating, a copper seed film can be formed, for example, by sputtering. Then, the copper film and titanium nitride film in a region outside the wiring trench 25 are removed by CMP, whereby interconnection 26 is formed (FIG. 8(b)).
  • As illustrated in FIG. 9(a), a stopper insulating film 28 and an interlayer insulating film 29 are successively formed over the interconnection 26 and insulating film 23. The stopper insulating film 28 is formed of a material having an etching selectivity to the interlayer insulating film 29, and a silicon nitride film can be employed, for example. The interlayer insulating film 29, for example, is made of a silicon oxide film. As the stopper insulating film 28, a silicon nitride film formed under similar conditions to the silicon nitride film 17 can be used.
  • A photoresist film having openings according to connecting hole patterns is patterned on the interlayer insulating film 29. With this photoresist film being used as a mask, the interlayer insulating film 29 is etched. Upon this etching, conditions are selected to prevent smooth etching of the silicon nitride film, but to facilitate etching of the silicon oxide film, whereby the interlayer insulating film 29 can be etched using the stopper insulating film 28 as an etching stopper. Then, the stopper insulating film 28 is etched under conditions selected to etch the silicon nitride film, whereby connecting holes 30 are formed. As described above, excessive etching of the underlying film can be suppressed by this two-stage etching.
  • Plugs 31 are then formed inside of the connecting holes 30. These plugs 31 are formed as follows. First, a barrier layer is formed all over the semiconductor substrate I including the inside of the connecting holes 30, followed by formation of a copper (Cu) film for embedding therewith these connecting holes 30. The copper film and barrier film in a region outside the connecting holes 30 are removed by CMP, whereby the plugs 31 are formed.
  • As illustrated in FIG. 9(b), similar to the interconnection 26, a silicon nitride film 32 and a silicon oxide film 33 are formed, followed by two-stage etching of the silicon oxide film 33 and silicon nitride film 32 to form a wiring trench 34. An interconnection 35, similar to the interconnection 26, is formed inside of the wiring trench 34. As the silicon nitride film 32, a silicon nitride film similar to the above-described silicon nitride film 22 can be used.
  • As illustrated in FIG. 10, in a similar manner to the plugs 31, plugs 39 are formed. First, a stopper insulating film 36 and an interlayer insulating film 37 are formed. By two stage etching of the stopper insulating film 36 and interlayer insulating film 37, connecting holes 38 are formed. Inside of these connecting holes 38, the plugs 39 similar to the plugs 31 are formed.
  • An interconnection 40 is then formed over the interlayer insulating film 37. The interconnection 40, for example, is a laminate of a titanium film, an aluminum film and a titanium nitride film. This interconnection 40 is formed, for example, by successively depositing the titanium film, aluminum film and titanium nitride film, and then etching these films into a predetermined pattern by photolithography.
  • As illustrated in FIG. 11, an insulating film 41 is formed for covering the interconnection 40, followed by the formation of an insulating film 42 over the insulating film 41. This insulating film 41 is formed from, for example, a silicon oxide film, for example, by CVD. The insulating film 42 is made of, for example, SOG. The use of the SOG film makes it possible to planarize the unevenness on the surface derived from the interconnection 40. Upon formation of this SOG film, thermal treatment is conducted for reflow of the SOG film, but high performance and reliability of the resulting semiconductor device are not lost, because a silicon nitride film that has an excellent peeling resistance and is capable of suppressing hydrogen release has been used for the silicon nitride films 17 and 22. A silicon oxide film may be formed further over the insulating film 42.
  • Over the insulating film 42, an interconnection 43 (the third conductor piece in the item 1, etc.) is formed. The interconnection 43 includes a bonding pad, and it is connected with an external connecting conductor piece (for example, bump). The interconnection 43 is made of, for example, an aluminum film and is formed, for example, by sputtering.
  • As illustrated in FIG. 12, a silicon nitride film 44 (the fifth insulating film in the item 1, etc.) is formed to cover the interconnection 43. This silicon nitride film 44 is a film constituting a passivation film and serves to block the invasion of water or impurities from the outside of the semiconductor device. In addition, it inhibits transmission of a rays, thereby preventing a malfunction of the semiconductor device. The silicon nitride film 44 is required to have a step coverage to satisfy the above-described functions. Accordingly, the silicon nitride film 44 is formed at a substrate temperature of about 350° C. by plasma CVD using a raw material gas having monosilane, ammonia and nitrogen. Formation of a silicon nitride film under such conditions makes it possible to give the film an excellent step coverage, and the film thus formed can effectively prevent invasion of water and impurities. As described above, the silicon nitride film 44 is formed under conditions different from those under which the silicon nitride films 17, 22 are formed. The silicon nitride film 44 is formed at a temperature lower than that of the silicon nitride films 17,22. Ammonia is used for the formation of the former film, while ammonia is not used for the formation of the latter films. Formation of silicon nitride films under different conditions depending on the purpose of use is one of the characteristics of this embodiment.
  • As illustrated in FIG. 13, a silicon oxide film 45 is formed to cover the silicon nitride film 44. The silicon nitride film 44 and silicon oxide film 45 serve as passivation films. As illustrated in FIG. 14, a connecting hole 46 is formed in the silicon oxide film 45 and silicon nitride film 44 to expose the interconnection 43. After formation of a bump underlying metal layer 47 so as to cover the surface of this connecting hole 46, a bump 48 is formed as an external connecting conductor piece. The bump 48 is formed to have almost a spherical shape, but a portion of it is omitted in this drawing. The connecting hole 46 can be formed by photolithography and etching, while the bump underlying metal 47 can be formed by patterning of a metal film deposited all over the semiconductor substrate 1. As the bump underlying metal layer 47, gold can be used, for example, while as the bump 48, gold and solder can be used, for example.
  • Then, a semiconductor device is completed after mounting on a package substrate, but an explanation thereof is omitted.
  • Here, the bump 48 is exemplified as an external connecting conductor piece, but alternatively, an inner lead, such as a bonding wire, may be used. Connection to a lead frame via a gold wire is followed by molding with a resin, but description of this step is omitted.
  • The semiconductor device of this embodiment can also be applied to a so-called WPP (Wafer Process Package), wherein, after formation of re-placement and re-routing via a resin film, such as polyimide, a bump is formed on a pad region of this re-placement and re-routing, and then the wafer is divided into individual semiconductor devices.
  • The effect of this embodiment will be described with reference to FIGS. 18 and 19. FIG. 18 is a graph illustrating the NBTI (Negative Bias Temperature Instability) characteristics of a MISFET. Line L in the graph is a data line of the semiconductor device of this embodiment. Lines M, N, O are data lines provided for comparison. These data are obtained by applying a silicon nitride film (a film formed under similar conditions to the silicon nitride film 44), formed at a substrate temperature of about 350° C. by plasma CVD using a raw material gas including monosilane, ammonia and nitrogen, to a film corresponding to the silicon nitride film 17 of this embodiment. In Lines M, N, O, silicon nitride films are formed using different apparatuses.
  • As illustrated in FIG. 18, Line L shows the largest life time (tau: characteristic value indicating an increasing time of an off-state current) under a practical use state (for example, Vgs=−1V) of a source-drain voltage (Vgs). In other words, the semiconductor device of this embodiment is superior in reliability to the other cases (Line M, N, O). Judging from the fact that the life tau is expressed by a logarithm, the reliability of the semiconductor device of this embodiment is extraordinarily superior.
  • FIG. 19 is a graph illustrating the amount (Vfb) of flat band voltage shift. As the MISFET, a p-channel type MISFET having a p-type impurity (boron) doped to a gate electrode is used. In the graph, data on the right side (without NH3) relates to the semiconductor device of this embodiment, while data on the left side (with NH3) relates to a semiconductor device obtained by applying a silicon nitride film (a film formed under similar conditions to the silicon nitride film 44) formed at a substrate temperature of about 550° C. by plasma CVD using a raw material gas including monosilane, ammonia and nitrogen to a film corresponding to the silicon nitride film 17 of this embodiment.
  • As illustrated in FIG. 19, the Vfb shift reaches 1.4V when the raw material gas contains NH3 (on the left side), while it is only 0.45V or so when the raw material gas is free of NH3 (on the right side). Consideration that the Vfb shift occurs by diffusion of an impurity (boron) from the gate electrode reveals that diffusion of boron from the gate electrode is effectively suppressed in the semiconductor device of this embodiment
  • According to this embodiment, since the silicon nitride films 17,22 are formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas having monosilane and nitrogen (not ammonia), peeling of these silicon nitride films 17,22 can be suppressed and release of hydrogen from these films can be prevented. As a result, the performance and reliability of the semiconductor device can be maintained at a high level.
  • Comparison between a silicon nitride film (first silicon nitride film) used for the silicon nitride film 17 and a silicon nitride film (second silicon nitride film) used for the silicon nitride film 44 reveals a difference between the ratio of Si—H bonds to Si—N bonds, as measured by the FT-IR method. More specifically, there is a relationship R1<R2 between the Si—H/Si—N bonds ratio R1 of the first silicon nitride film and the Si—H/Si—N bonds ratio R2 of the second silicon nitride film. The FT-IR measurement performed by the present inventors shows that the number of Si—H bonds of the first silicon nitride film is 1×1021 cm−3 and that of the Si—N bonds is 10×1021 cm−3, while the number of SiH bonds of the second silicon nitride film is 11×1021 cm−3 and that of the Si—N bonds is 6×1021 cm−3. Hydrogen release from the second silicon nitride film is therefore presumed to result from Si—H bonds mainly.
  • Embodiment 2
  • The process of manufacture of a DRAM (Dynamic Random Access Memory) according to Embodiment 2 of the invention will next be described in the order of steps based on FIGS. 20 to 42. In each of the drawings illustrating the cross-section of a substrate, a region (memory cell array) wherein a memory cell of a DRAM is to be formed is illustrated on the left side, while a peripheral circuit region is illustrated on the right side.
  • As illustrated in FIG. 20, an element isolating trench 102 of about 350 nm depth is defined by photolithography and etching in a semiconductor substrate 101 (hereinafter simply called a “substrate”) which is formed of a p-type single crystal silicon having a specific resistance of about 10 scm. A thin (about 10 nm thick) silicon oxide film 106 is then formed on the inner wall of the element isolating trench 102, for example, by wet oxidation at about 850° C. to 900° C. or dry thermal oxidation at about 1000° C. A silicon oxide film (which will hereinafter be called “TEOS oxide film is deposited to a thickness of about 400 nm, for example, by plasma CVD using ozone (O3) and tetraethoxysilane (TEOS) as source gases to embed the element isolating trench 102 with this film. This silicon oxide film is subjected to CMP (Chemical Mechanical Polishing) to remove the silicon oxide film in a region other than the element isolating trench 102, while leaving the silicon oxide film 107 inside of the element isolating trench 102, whereby an element isolation region is formed.
  • As illustrated in FIG. 21, after ion implantation of a p-type impurity (boron) and an n-type impurity (phosphorus) to the substrate 101, these impurities are diffused by thermal treatment at about 1000° C., whereby a p-type well 103 and an n-type well 105 are formed in the memory cell array of the substrate 101 and the p-type well 103 and n-type well 104 are formed in the peripheral circuit region of the substrate 101. The surface of the substrate 101 (p-type well 103 and n-type well 104) was wet washed with a hydrofluoric acid washing liquid, followed by the formation of a clean gate oxide film 108 of about 6 nm thick on the surface of each of the p-type well 103 and n-type well 104 by thermal oxidation at about 800° C.
  • As illustrated in FIG. 22, a low-resistance polycrystalline silicon film 109 a of about 100 nm thick, which has been doped with phosphorus (P), is deposited over the gate oxide film 108 by CVD, followed by deposition thereover of a WN film 109 b of about 5 nm thick and a W film 109 c of about 50 nm thick by sputtering. Over the W film, a silicon oxide film 110 a of about 100 nm thick is deposited by CVD.
  • In order to relax the stress of the W film 109 c and densify the WN film 109 b, they are thermally treated at about 800° C. in an inert gas atmosphere, such as nitrogen. The silicon oxide film 110 a over the W film 109 c is formed for the purpose of protecting the surface of the W film 109 c upon this thermal treatment and relaxing the stress at the interface between a silicon nitride film (110 b), which will be deposited over the silicon oxide film 110 a in the subsequent step, and the underlying W film 109 c.
  • As illustrated in FIG. 23, after deposition of the silicon nitride film 110 b of about 100 nm thick over the silicon oxide film 110 a, the silicon nitride film 110 b is dry etched using a photoresist film (not illustrated) as a mask to leave the silicon nitride film 110 b in a region wherein a gate electrode is to be formed.
  • This silicon nitride film 110 b is formed under similar conditions to the silicon nitride film 17 of Embodiment 1. More specifically, it is formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas having monosilane and nitrogen. This silicon nitride film 110 b, as will be described later, is used upon self alignment processing of a connecting hole to be formed in a memory cell region, and it serves as a cap insulating film of a gate electrode. If hydrogen is released from this cap insulating film, problems as described in Embodiment 1 will occur, for example, processing failure of a connecting hole due to peeling, increase or fluctuations of resistance of gate electrode or source-drain and fluctuations of the threshold voltage of MISFET. In this embodiment, these problems can be avoided by using, as the silicon nitride film 110 b serving as a cap insulating film, a silicon nitride film which does not easily cause hydrogen release.
  • After removal of the photoresist film, the silicon oxide film 110 a, W film 109 c, WN film 109 b and polycrystalline silicon film 109 a are dry etched using the silicon nitride film 110 b as a mask, whereby a gate electrode 109 formed of the polycrystalline film 109 a, WN film 109 b and W film 109 c is formed in each of the memory cell array and peripheral circuit regions. Over the gate electrode 109, a cap insulating film 110, including the silicon oxide film 110 a and silicon nitride film 110 b, is formed. The gate electrode 10 formed in the memory cell array functions as a word line WL. In this embodiment, the cap insulating film 110 includes the silicon oxide film 110 a, but the silicon oxide film 110 a is not essential. The cap insulating film 110 may be formed only of the silicon nitride film 110 b.
  • As illustrated in FIG. 25, by ion implantation of an n-type impurity (phosphorus or arsenic) to the p-type well 103 on both sides of the gate electrode 109, an n type semiconductor region 111 is formed, while by ion implantation of a p-type impurity (boron) to the n-type well 104, a p type semiconductor region 112 is formed.
  • As illustrated in FIG. 26, a silicon nitride film 113 of about 50 nm thick is deposited over the substrate 101. The silicon nitride film 113 of the peripheral circuit region is anisotropically etched with the upper portion of the substrate 101 in the memory cell array being covered with a photoresist film (not illustrated), whereby side wall spacers 113 a are formed on the side walls of the gate electrode 109 in the peripheral circuit region.
  • The silicon nitride film 113 is formed, in a similar manner to the silicon nitride film 110 b, at a substrate temperature of about 400° C. by plasma CVD using a raw material gas having monosilane and nitrogen. In the memory cell array region, this silicon nitride film 113 is employed, together with the silicon nitride film 110 b, upon self alignment processing of a connecting hole to be formed in a memory cell array region. In short, it serves as a side wall of a gate electrode in the memory cell array. If hydrogen is released from such a silicon nitride film 113, problems similar to those described with reference to Embodiment 1 occur, for example, processing failure of a connecting hole due to peeling, an increase or fluctuations of resistance of the gate electrode or source-drain and fluctuations of the threshold voltage of MISFET. In this embodiment, however, these problems can be avoided by using, as the silicon nitride film 113, a hydrogen-release-suppressed silicon nitride film.
  • The sidewall spacers 113 a formed by the silicon nitride 113 are also formed from a silicon nitride film not permitting easy release of hydrogen. Accordingly, similar effects are available in the peripheral circuit region.
  • By ion implantation of an n-type impurity (phosphorus or arsenic) to the p-type well 103 of the peripheral circuit region, n+ type semiconductor regions 114 (source, drain) are formed, while by ion implantation of a p-type impurity (boron) to the n-type well 104, p+ type semiconductor regions (source, drain) are formed. By the steps so far described, an n channel type MISFETQn and p channel type MISFETQp, each equipped with a source and a drain having an LDD (Lightly Doped Drain) structure, are formed in the peripheral circuit region.
  • As illustrated in FIG. 27, a silicon oxide film 116 is formed over the gate electrode 109, followed by chemical and mechanical polishing of the silicon oxide film 116 to planarize its surface.
  • As illustrated in FIG. 28, using a photoresist film (not illustrated) as a mask, the silicon oxide film 116 of the memory cell array is dry etched, followed by dry etching of the silicon nitride film 113 below the silicon oxide film 116, whereby contact holes 118,119 are formed above the n type semiconductor regions 111.
  • The silicon oxide film 116 is etched under such conditions that the etching rate of silicon oxide (silicon oxide film 116) would be larger than that of silicon nitride, by which complete removal of the silicon nitride film 113 is avoided. The silicon nitride film 113 is, on the other hand, etched under conditions so that the etching rate of silicon nitride would be greater than that of silicon (substrate) or silicon oxide to prevent deep etching of the substrate 101 or silicon oxide film 107. In addition, the silicon nitride film 113 is etched under conditions permitting anisotropic etching of the silicon nitride film 113, whereby the silicon nitride film 113 is left on each of the side walls of the gate electrode 109 (word line WL). This makes it possible to form the contact holes 118,119 having a minute diameter in self alignment with the gate electrodes 109 (word line WL).
  • As illustrated in FIG. 30, an n-type impurity (phosphorus or arsenic) is ion-implanted to the p-type wells 103 (n type semiconductor regions 111) of the memory cell array via the contact holes 118,119, whereby n+ type semiconductor regions 117 (source, drain) are formed. By the steps so far described, a memory selecting MISFETQs formed of an n channel type is formed in the memory cell array.
  • As illustrated in FIG. 31, plugs 120 are formed inside of the contact holes 118,119. These plugs 120 are formed by wet washing the inside of the contact holes 118,119 with a hydrofluoric-acid-containing washing liquid; depositing, by CVD over the silicon oxide film 116 including the inside of the contact holes 118,119, a low-resistance polycrystalline silicon film having an n-type impurity, such as phosphorus (P) doped thereto; and etching back (or polishing by CMP) the polycrystalline silicon film to leave it only inside of the contact holes 118,119.
  • As illustrated in FIG. 32, after deposition of a silicon oxide film 121 of about 20 nm thick over the silicon oxide film 116 by CVD, the silicon oxide film 121 and underlying silicon oxide film 116 in the peripheral circuit region are dry etched using a photoresist film (not illustrated) as a mask, whereby contact holes 122 and contact holes 123 are formed over the source and drain (n+ type semiconductor regions 114) of the n channel type MISFETQn and the source and drain (p+ type semiconductor regions 115) of the p channel type MISFETQp, respectively. At the same time, a contact hole 124 is formed over the gate electrode 109 (or the gate electrode 109 in a not-illustrated region of the n channel type MISFETQn), while a through-hole 125 is formed over the contact hole 118 of the memory cell array.
  • As illustrated in FIG. 33, after formation of a silicide film 126 over each surface of the source and drain (n+ type semiconductor regions 114) of the n-channel type MISFETQn, the source and drain (p+ type semiconductor regions 115) of the p-channel type MISFETQp, and the plug 120 inside of the contact hole 118, plugs 127 are formed inside of the contact holes 122,123,124 and the through-hole 125.
  • The above-described silicide film 126 is formed, for example, by depositing a Ti film of about 30 nm thick and a TiN film of about 20 nm thick over the silicon oxide film 121, including the insides of the contact holes 122,123,124 and through-hole 125, by sputtering, and the heat treating the substrate 101 at about 650° C. The plugs 127 are formed, for example, by depositing a TiN film of about 50 nm thick and a W film of about 300 nm thick by CVD over the TiN film including the inside of the contact holes 122,123,124 and through-hole 125, and then polishing the W film, TiN film and Ti film over the silicon oxide film 121 by CMP to leave these films only inside of the contact holes 122,123,124 and through-hole 125.
  • Formation of the silicide film 126 made of Ti silicide on the interface between the source_drain (n+ type semiconductor regions 114, p+ type semiconductor regions 115) and the plug 127 formed thereover makes it possible to reduce the contact resistance between the source_drain (n+ type semiconductor regions 114, p+ type semiconductor regions 115) and the plug 127, leading to an improvement in the speed of operation of the MISFET (n channel type MISFETQn, p channel type MISFETQp) constituting the peripheral circuit.
  • As illustrated in FIG. 34, a bit line BL is formed over the silicon oxide film 121 of the memory cell array, while the first-layer interconnections 130 to 133 are formed over the silicon oxide film 121 in the peripheral circuit region. The bit line BL and the first-layer interconnections 130 to 133 can be formed, for example, by depositing a W film of about 100 nm thick over the silicon oxide film 121 by sputtering and then, dry etching this W film using a photoresist film as a mask. At this time, the silicon oxide film 116 lying under the bit line BL and interconnections 130 to 133 have been planarized so that the bit line BL and interconnections 130 to 133 can be patterned with high size accuracy.
  • As illustrated in FIG. 35, a silicon oxide film 134 of about 300 nm thick is formed over the bit line BL and the first-layer interconnections 130 to 133. This silicon oxide film 134 is formed in a similar manner to the above-described silicon oxide film 116.
  • As illustrated in FIG. 36, after deposition of a polycrystalline silicon film 135 of about 200 nm thick over the silicon oxide film 134 by CVD, the polycrystalline silicon film 135 of the memory cell array is dry etched using a photoresist film as a mask, whereby a trench 136 is formed in the polycrystalline silicon film 135 above the contact hole 119.
  • As illustrated in FIG. 37, side wall spacers 137 are formed on the side walls of the trench 136, followed by dry etching of the silicon oxide film 134 and underlying silicon oxide film 121 using these side wall spacers 137 and polycrystalline silicon film 135 as masks, to form a through-hole 138 above the contact hole 119. The side wall spacers 137 on the side walls of the trench 136 are formed by depositing a polycrystalline silicon film over the polycrystalline silicon film 135, including the inside of the trench 136, and then leaving the polycrystalline silicon film on the side walls of the trench 136 by anisotropic etching.
  • By forming the through-hole 138 at the bottom of the trench 136 having the side wall spacers 137 formed on the side walls thereof, the diameter of the through-hole 138 becomes smaller than that of the underlying contact hole 119. This makes it possible to secure an alignment margin of the bit line BL and through-hole 138 even if the memory cell size is reduced, thereby preventing a short-circuit between the plug 139 which will be embedded inside of the through-hole 138 and the bit line BL.
  • After removal of the polycrystalline silicon film 135 and side wall spacers 137 by dry etching, a plug 139 is formed inside of the through-hole 138 as illustrated in FIG. 38. This plug 139 is formed by depositing a low-resistance polycrystalline silicon film having an n-type impurity (phosphorus) doped thereto over the silicon oxide film including the inside of the through-hole 138 by CVD, and then leaving this polycrystalline silicon film only inside of the through-hole 138 by etching back.
  • As illustrated in FIG. 39, a silicon nitride film 140 of about 100 nm thick is deposited over the silicon oxide film 134 by CVD, followed by deposition of a silicon oxide film 141 over the silicon nitride film 140 by CVD. With a photoresist film (not illustrated) being used as a mask, the silicon oxide film 141 of the memory cell array is dry etched, and then the silicon nitride film 140 lying under the silicon oxide film 141 is dry etched, whereby a trench 142 is formed above the through-hole 138. A lower electrode of an information storing capacitor is formed along the inside wall of this trench 142 so that the silicon oxide film 141 forming the trench 142 must be deposited so as to be thick (for example, about 1.3 μm) in order to enlarge the surface area of the lower electrode, thereby increasing the charge accumulated amount.
  • As the silicon nitride film 140, a silicon nitride film formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas having monosilane and nitrogen may be used alternatively.
  • As illustrated in FIG. 40, after deposition of an amorphous silicon film 143 a having an n-type impurity (phosphorus) doped thereto and having a thickness of about 50 nm over the silicon oxide film 141 including the inside of the trench 142, the amorphous silicon film 143 a is left along the inside wall of the trench 142 by etching back the amorphous silicon film 143 a over the silicon oxide film 141. The surface of the amorphous silicon film 143 a left inside of the trench 142 is then wet washed with a hydrofluoric acid washing liquid. Monosilane (SiH4) is then fed to the surface of the amorphous silicon film 143 a under a vacuum atmosphere, followed by thermal treatment of the substrate 101 to convert the amorphous silicon film 143 a into polycrystalline, and, at the same time, to allow silicon grains to grow on the surface. By this treatment, the polycrystalline silicon film 143 having a roughened surface is formed along the inside wall of the trench 142. This polycrystalline silicon film 143 serves as a lower electrode of a data storage capacitor.
  • As illustrated in FIG. 41, a tantalum oxide (Ta2O5) film of about 15 nm thick is deposited by CVD over the silicon oxide film 141, including the inside of the trench 142, followed by thermal treatment at about 800° C. for 3 minutes in an oxygen atmosphere to crystallize the tantalum oxide film 144 and, at the same time, to repair defects caused by oxygen fed to the film. This tantalum oxide film 144 serves as a capacitor insulating film of the data storage capacitor. After deposition of a TiN film 145 of about 150 nm thick over the tantalum oxide film 144 including the inside of the trench 142 by using, in combination, CVD and sputtering, the TiN film 145 and tantalum oxide film 144 are dry etched using a photoresist film (not illustrated) as a mask, whereby a data storage capacitor C is provided, which is formed of an upper electrode made of the TiN film 145, a capacitor insulating film made of the tantalum oxide film 144 and a lower electrode made of the polycrystalline silicon film 143. By the steps so far described, a memory cell of a DRAM formed of the memory cell selecting MISFETQs and data storing capacitor C connected in series therewith is completed.
  • The capacitor insulating film of the data storage capacitor C may be made of a film composed mainly of a high dielectric substance or ferroelectric substance having a perovskite or complex perovskite crystal structure, such as PZT, PLT, PLZT, PbTiO3, SrTiO3, BaTiO3, BST, SBT or Ta2O5.
  • As illustrated in FIG. 42, over the data storage capacitor C, a second wiring layer is formed by the following method.
  • First, a silicon oxide film 150 is deposited to a thickness of about 100 nm over the data storage capacitor C by CVD. After formation of through- holes 151,152 by dry etching the silicon oxide film 150,141, silicon nitride film 140 and silicon oxide film 134 over the first- layer interconnections 130,133 in the peripheral circuit region using a photoresist film (not illustrated) as a mask, plugs 153 are formed in the through- holes 151,152. These plugs 153 are formed, for example, by depositing a TiN film of about 100 nm thick over the silicon oxide film 150 by sputtering, depositing thereover a W film of about 500 nm thick by CVD and then etching back these films to leave them only inside of each of the through- holes 151,152. Interconnection layers 154 to 156 are then formed over the silicon oxide film 150, for example, by depositing a TiN film of about 50 nm thick, an Al (aluminum) alloy film of about 500 nm thick and a Ti film of about 50 nm thick over the silicon oxide film 150 by sputtering, and then dry etching these films using a photoresist film (not illustrated) as a mask.
  • An interlayer insulating film covering the interconnections 154 to 156 therewith, third-layer interconnections and a passivation film formed of a silicon oxide film and a silicon nitride film are then deposited in this order, but illustration thereof is omitted. By the steps so far described, a DRAM of this embodiment is substantially completed.

Claims (29)

1. A manufacturing process of a semiconductor device, comprising:
(a) selectively forming a first insulating film over a surface of a semiconductor substrate;
(b) forming a semiconductor layer in the semiconductor substrate in a region, in the surface of the semiconductor substrate, wherein the first insulating film does not exist;
(c) forming a refractory metal silicide layer over the surface of the semiconductor layer;
(d) forming a second insulating film to cover the refractory metal suicide layer and the first insulating film, the second insulating film providing self-alignment during self-alignment processing;
(e) forming a third insulating film over the second insulating film;
(f) forming an opening in the third and second insulating films; and
(g) forming a conductor piece in the opening,
wherein the second insulating film is a silicon nitride film formed by plasma CVD at 400° C. or greater, and
wherein the second insulating film is formed using a reaction gas having a silane and nitrogen gas in an ammonia-free atmosphere.
2. A manufacturing process of a semiconductor device according to claim 1, wherein the second insulating film is formed using monosilane and nitrogen.
3. A manufacturing process of a semiconductor device according to claim 1, wherein the third insulating film is a silicon oxide film, and wherein the opening forming step comprises a step of etching under conditions permitting a larger etching amount of the third insulating film relative to the second insulating film and a step of etching under conditions permitting a larger etching amount of the second insulating film relative to the first insulating film.
4. A manufacturing process of a semiconductor device according to claim 1, wherein the silicide-layer forming step further comprises:
(h) depositing a refractory metal film over the semiconductor layer and first insulating film;
(i) heat treating the semiconductor substrate, thereby forming a silicide layer over a surface of the semiconductor layer; and
(j) removing the refractory metal film over the first insulating film.
5. A manufacturing process of a semiconductor device according to claim 1, wherein the conductor piece contains a first conductor layer and a second conductor layer, and wherein the first conductor layer is thinner than the second conductor layer and lies below the second conductor layer.
6. A manufacturing process of a semiconductor device according to claim 5, wherein the first conductor layer is a titanium nitride layer, while the second conductor layer is a tungsten layer.
7. A manufacturing process of a semiconductor device according to claim 1, further comprising, between the steps (a) and (b),
forming a first conductor piece made of a silicon material, wherein a refractory metal silicide layer is formed on the surface of the first conductor piece in the step (c).
8. A manufacturing process of a semiconductor device, comprising:
(a) selectively forming element isolation regions on a surface of a semiconductor substrate;
(b) forming a gate electrode over the surface of the semiconductor substrate via a gate insulating film;
(c) forming source and drain regions in the semiconductor substrate by introducing impurities;
(d) forming a first insulating film to cover the gate electrode, source and drain regions and element isolation regions, wherein the first insulating film provides self-alignment during self-alignment processing; and
(e) forming a second insulating film over the first insulating film,
wherein the first insulating film is formed by a plasma CVD method at a temperature of at least 400° C., using silane and nitrogen gas in an ammonia-free atmosphere.
9. A manufacturing process of a semiconductor device according to claim 8, wherein the first insulating film is formed using monosilane and nitrogen.
10. A manufacturing process of a semiconductor device according to claim 8, further comprising, between the steps (c) and (d):
(f) depositing a refractory metal film to cover at least the gate electrode, source region, and drain region;
(g) heat treating the semiconductor substrate, thereby forming a silicide layer over at least a surface of the gate electrode, and source and drain regions; and
(h) after the step (g), removing the remaining refractory metal film.
11. A manufacturing process of a semiconductor device, comprising:
(a) forming a first insulating film over a semiconductor substrate, wherein the first insulating film provides self-alignment during self-alignment processing;
(b) forming a second insulating film over the first insulating film;
(c) forming an opening in the second and first insulating films; and
(d) forming a conductor layer in the opening,
wherein the first insulating film is a silicon nitride film formed by plasma CVD at a temperature of at least 400° C., using a silane and nitrogen gas in an ammonia-free atmosphere.
12. A manufacturing process of a semiconductor device according to claim 11, wherein the silicon nitride film is formed using monosilane and nitrogen.
13. A manufacturing process of a semiconductor device according to claim 11, wherein the second insulating film is a silicon oxide film.
14. A manufacturing process of a semiconductor device according to claim 11, wherein the conductor-layer forming step comprises forming a first conductor layer as a lower layer and a second conductor layer as an upper layer, wherein the second conductor layer is made of copper, and wherein the first conductor layer serves to prevent diffusion of copper.
15. A semiconductor device, comprising:
(a) a semiconductor substrate,
(b) a first insulating film selectively formed in the semiconductor substrate;
(c) a first conductor piece formed over the surface of the semiconductor substrate via a second insulating film,
(d) a semiconductor layer disposed between the first insulating film and first conductor piece in the semiconductor substrate;
(e) a third insulating film formed over the first conductor piece, first insulating film and semiconductor layer;
(f) a fourth insulating film formed over the third insulating film;
(g) a second conductor piece formed in the opening made in the third and fourth insulating films; and
(h) a fifth insulating film formed over the second conductor piece,
wherein the third and fifth insulating films are silicon nitride films formed by plasma CVD, and wherein the third insulating film has a hydrogen content smaller than that of the fifth insulating film.
16. A semiconductor device according to claim 15, wherein the second conductor piece contains a first conductor layer and a second conductor layer, and wherein the first conductor layer is thinner than the second conductor layer and lies below the second conductor layer.
17. A semiconductor device according to claim 16, wherein the first conductor layer is a titanium nitride layer, and wherein the second conductor layer is a tungsten layer.
18. A semiconductor device according to claim 15, wherein a refractory metal silicide layer is formed over the surface of the semiconductor layer.
19. A semiconductor device according to claim 15, wherein the first conductor piece is formed of a boron-containing silicon layer.
20. A semiconductor device according to claim 15, wherein the first conductor piece is made of a silicon material, and wherein a refractory metal silicide layer has been formed over the surface of the first conductor piece.
21. A semiconductor device comprising:
(a) a semiconductor substrate;
(b) a first conductor piece formed over the semiconductor substrate via a first insulating film;
(c) a second insulating film formed over the first conductor piece, and
(d) a third insulating film formed over the second insulating film, wherein the second and third insulating films are silicon nitride films formed by plasma CVD, and wherein the second insulating film has a hydrogen content smaller than that of the third insulating film.
22. A semiconductor device according to claim 21, further comprising:
(e) first and second conductor regions disposed on opposite ends of the first conductor piece on the surface of the semiconductor substrate,
wherein the first conductor piece functions as a gate of a transistor, wherein the first and second semiconductor regions function as source and drain of the transistor, respectively, and wherein the second insulating film has a substantially equal width with the first conductor piece in a direction from the source toward the drain.
23. A semiconductor device according to claim 21, further comprising:
(e) a second conductor piece formed over the second insulating film; and
(f) an externally connecting conductor piece connected to the second conductor piece,
wherein the third insulating film has an opening and in the opening, the externally connecting conductor piece is connected with the second conductor piece.
24. A semiconductor device according to claim 19, further comprising:
(h) an externally connecting conductor piece connected with the second semiconductor piece,
wherein the fourth insulating film has a second opening, and wherein, in the second opening, the externally connecting conductor piece has been connected with the second conductor piece.
25. A semiconductor device comprising:
(a) a semiconductor substrate;
(b) a first conductor piece formed via a first insulating film over the semiconductor substrate and having a side wall;
(c) a second insulating film formed over the side wall of the first conductor piece; and
(d) a third insulating film formed over the first conductor film,
wherein the second and third insulating films are silicon nitride films formed by plasma CVD, and wherein the second insulating film has a hydrogen content smaller than that of the third insulating film.
26. A semiconductor device according to claim 25, further comprising:
(e) a second conductor piece formed over the second insulating film; and
(f) an externally connecting conductor piece connected with the second conductor piece,
wherein the third insulating film has an opening, and wherein, in the opening, the externally connecting conductor piece is connected with the second conductor piece.
27. A semiconductor device comprising:
(a) a semiconductor substrate;
(b) a first insulating film over the semiconductor substrate;
(c) a second insulating film over the first insulating film,
(d) a first conductor piece formed in a first opening made in the first and second insulating films;
(e) a third insulating film over the first conductor piece,
(f) a second conductor piece over the third insulating film, and
(g) a fourth insulating film over the second conductor piece,
wherein the first and fourth insulating films are silicon nitride films formed by plasma CVD, and wherein the first insulating film has a hydrogen content smaller than that of the fourth insulating film.
28. A semiconductor device, which comprises a first silicon nitride film for self alignment processing and a second silicon nitride film for passivation, wherein between an Si—H/Si—N bonds ratio R1 according to FT-IR analysis of the first silicon nitride film and an Si—H/Si—N bonds ratio R2 according to FT-IR analysis of the second silicon nitride film, there is a relationship of R1<R2.
29. A semiconductor device according to claim 28, wherein the number of the Si—H bonds by the FT-IR analysis of the first silicon nitride film is 2×1021 cm−3 or less.
US11/529,481 1999-07-08 2006-09-29 Semiconductor integrated circuit device and process for manufacturing the same Abandoned US20070018327A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/529,481 US20070018327A1 (en) 1999-07-08 2006-09-29 Semiconductor integrated circuit device and process for manufacturing the same
US12/046,789 US20080157219A1 (en) 1999-07-08 2008-03-12 Semiconductor integrated circuit device and process for manufacturing the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
PCT/JP1999/003703 WO2001004946A1 (en) 1999-07-08 1999-07-08 Semiconductor device and method for producing the same
US91389601A 2001-08-21 2001-08-21
US10/917,289 US7163886B2 (en) 1999-07-08 2004-08-13 Semiconductor integrated circuit device and process for manufacturing the same
US11/529,481 US20070018327A1 (en) 1999-07-08 2006-09-29 Semiconductor integrated circuit device and process for manufacturing the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/917,289 Division US7163886B2 (en) 1999-07-08 2004-08-13 Semiconductor integrated circuit device and process for manufacturing the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/046,789 Division US20080157219A1 (en) 1999-07-08 2008-03-12 Semiconductor integrated circuit device and process for manufacturing the same

Publications (1)

Publication Number Publication Date
US20070018327A1 true US20070018327A1 (en) 2007-01-25

Family

ID=14236201

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/917,289 Expired - Fee Related US7163886B2 (en) 1999-07-08 2004-08-13 Semiconductor integrated circuit device and process for manufacturing the same
US11/529,481 Abandoned US20070018327A1 (en) 1999-07-08 2006-09-29 Semiconductor integrated circuit device and process for manufacturing the same
US12/046,789 Abandoned US20080157219A1 (en) 1999-07-08 2008-03-12 Semiconductor integrated circuit device and process for manufacturing the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/917,289 Expired - Fee Related US7163886B2 (en) 1999-07-08 2004-08-13 Semiconductor integrated circuit device and process for manufacturing the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/046,789 Abandoned US20080157219A1 (en) 1999-07-08 2008-03-12 Semiconductor integrated circuit device and process for manufacturing the same

Country Status (6)

Country Link
US (3) US7163886B2 (en)
JP (1) JP4142293B2 (en)
KR (2) KR100768642B1 (en)
CN (1) CN1220257C (en)
TW (1) TW471001B (en)
WO (1) WO2001004946A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050200026A1 (en) * 2004-03-10 2005-09-15 Taiwan Semiconductor Manufacturing Co. Ltd. Contact structure for nanometer characteristic dimensions
US20080297975A1 (en) * 2007-05-30 2008-12-04 International Business Machines Corporation Vertical parallel plate capacitor structures
US20090102016A1 (en) * 2007-10-22 2009-04-23 International Business Machines Corporation Design structure incorporating vertical parallel plate capacitor structures
US20120199913A1 (en) * 2011-02-08 2012-08-09 Renesas Electronics Corporation Semiconductor Device Having Insulating Film With Increased Tensile Stress and Manufacturing Method Thereof
EP2662663A1 (en) 2012-05-08 2013-11-13 Airbus Operations GmbH Optical measuring device with a slider and optical measurement method
US10475829B2 (en) 2017-07-11 2019-11-12 Canon Kabushiki Kaisha Semiconductor apparatus and equipment

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381642B2 (en) * 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
JP2002343962A (en) * 2001-05-15 2002-11-29 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
JP3773448B2 (en) * 2001-06-21 2006-05-10 松下電器産業株式会社 Semiconductor device
JP5000057B2 (en) * 2001-07-17 2012-08-15 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7094708B2 (en) * 2003-01-24 2006-08-22 Tokyo Electron Limited Method of CVD for forming silicon nitride film on substrate
US7256087B1 (en) 2003-12-22 2007-08-14 Cypress Semiconductor Corporation Techniques for improving negative bias temperature instability (NBTI) lifetime of field effect transistors
JP4508881B2 (en) * 2004-09-24 2010-07-21 Okiセミコンダクタ株式会社 Mixed memory device and manufacturing method thereof
JP4410075B2 (en) * 2004-09-28 2010-02-03 株式会社東芝 Semiconductor device and manufacturing method thereof
US20060118892A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
US20060138467A1 (en) * 2004-12-29 2006-06-29 Hsiang-Lan Lung Method of forming a small contact in phase-change memory and a memory cell produced by the method
US8063655B2 (en) * 2005-07-19 2011-11-22 Cypress Semiconductor Corporation Method and circuit for reducing degradation in a regulated circuit
JP2007073681A (en) * 2005-09-06 2007-03-22 Renesas Technology Corp Semiconductor device and its manufacturing method
US7332756B2 (en) * 2005-11-21 2008-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene gate structure with a resistive device
KR100732847B1 (en) * 2005-12-29 2007-06-27 매그나칩 반도체 유한회사 Method for manufacturing image sensor
KR100679270B1 (en) * 2006-01-27 2007-02-06 삼성전자주식회사 Phase-change ram and method for manufacturing the same
US8592977B2 (en) * 2006-06-28 2013-11-26 Megit Acquisition Corp. Integrated circuit (IC) chip and method for fabricating the same
JP2008186926A (en) * 2007-01-29 2008-08-14 Fujitsu Ltd Semiconductor device and manufacturing method therefor
JP4688832B2 (en) * 2007-03-12 2011-05-25 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP2008262956A (en) * 2007-04-10 2008-10-30 Elpida Memory Inc Semiconductor device and its manufacturing method
JP2008263006A (en) * 2007-04-11 2008-10-30 Elpida Memory Inc Semiconductor device and its manufacturing method
US7544992B2 (en) * 2007-05-16 2009-06-09 United Microelectronics Corp. Illuminating efficiency-increasable and light-erasable embedded memory structure
JP2009016688A (en) * 2007-07-06 2009-01-22 Sharp Corp Method of manufacturing semiconductor device
JP5751748B2 (en) * 2009-09-16 2015-07-22 信越化学工業株式会社 Polycrystalline silicon lump group and method for producing polycrystalline silicon lump group
JP5585241B2 (en) * 2010-06-25 2014-09-10 セイコーエプソン株式会社 Pyroelectric detector, pyroelectric detector and electronic device
KR101724084B1 (en) * 2011-03-03 2017-04-07 삼성전자 주식회사 Methods of fabricating a semiconductor device
US9184226B2 (en) * 2011-08-15 2015-11-10 Texas Instruments Incorporated Embedded tungsten resistor
JP6144003B2 (en) * 2011-08-29 2017-06-07 富士通株式会社 Wiring structure and manufacturing method thereof, electronic device and manufacturing method thereof
KR20130063564A (en) * 2011-12-07 2013-06-17 삼성전자주식회사 Semiconductor device and method of fabricating the same
US8835996B2 (en) * 2011-12-28 2014-09-16 United Microelectronics Corporation Integrated circuit configuration having extension conductor structure and fabricating method thereof
KR101907694B1 (en) * 2012-03-06 2018-10-12 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of the same
KR20210109658A (en) * 2012-05-10 2021-09-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
US20130320522A1 (en) * 2012-05-30 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Re-distribution Layer Via Structure and Method of Making Same
US8884400B2 (en) 2012-12-27 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in Post-Passivation structures and methods of forming the same
CN103441076B (en) * 2013-08-02 2016-01-27 上海华力微电子有限公司 A kind of preparation method forming side wall
US9590053B2 (en) * 2014-11-25 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methodology and structure for field plate design
US11164970B2 (en) 2014-11-25 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact field plate
US10756208B2 (en) 2014-11-25 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated chip and method of forming the same
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
JP7099158B2 (en) * 2018-08-09 2022-07-12 富士電機株式会社 Defect inspection method for simulated elements and resistance elements
CN110364567A (en) * 2019-07-24 2019-10-22 上海华虹宏力半导体制造有限公司 LDMOS device and forming method thereof
JP2021044426A (en) * 2019-09-12 2021-03-18 キオクシア株式会社 Semiconductor storage device
CN113658921B (en) * 2021-08-13 2023-10-17 长鑫存储技术有限公司 Method for manufacturing semiconductor structure and semiconductor structure

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5714408A (en) * 1995-12-14 1998-02-03 Denso Corporation Method of forming silicon nitride with varied hydrogen concentration
US5717254A (en) * 1993-09-20 1998-02-10 Fujitsu Limited Semiconductor device including a plurality of transistors
US6130482A (en) * 1995-09-26 2000-10-10 Fujitsu Limited Semiconductor device and method for fabricating the same
US6222269B1 (en) * 1996-12-25 2001-04-24 Nec Corporation Semiconductor device and fabrication process thereof
US6274417B1 (en) * 1997-05-29 2001-08-14 Nec Corporation Method of forming a semiconductor device
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6326064B1 (en) * 1991-05-17 2001-12-04 Lam Research Corporation Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content
US6358802B1 (en) * 1997-01-20 2002-03-19 Nec Corporation Method for manufacturing semiconductor device having a gate electrode film containing nitrogen

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03286532A (en) * 1990-04-03 1991-12-17 Hitachi Electron Eng Co Ltd Formation of passivation film in plasma cvd apparatus
JPH0547753A (en) * 1991-08-13 1993-02-26 Oki Electric Ind Co Ltd Method of forming protective film of semiconductor element
EP0605980A3 (en) * 1993-01-07 1995-08-02 Ramtron Int Corp Method for depositing silicon nitride and silicon oxynitride films.
JPH08203998A (en) * 1995-01-20 1996-08-09 Sony Corp Method of forming multilayer wiring
US6222289B1 (en) * 1995-06-05 2001-04-24 Tempco Electric Heater Corp. Electric motor housings with integrated heat removal facilities
JP3677755B2 (en) * 1995-09-26 2005-08-03 富士通株式会社 Semiconductor device and manufacturing method thereof
JP3703885B2 (en) * 1995-09-29 2005-10-05 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP3183190B2 (en) * 1995-12-14 2001-07-03 株式会社デンソー Method for manufacturing semiconductor device
JP3305961B2 (en) * 1996-09-26 2002-07-24 株式会社東芝 Method of manufacturing polycrystalline silicon thin film transistor
JPH10321719A (en) * 1997-05-19 1998-12-04 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6326064B1 (en) * 1991-05-17 2001-12-04 Lam Research Corporation Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content
US5717254A (en) * 1993-09-20 1998-02-10 Fujitsu Limited Semiconductor device including a plurality of transistors
US6130482A (en) * 1995-09-26 2000-10-10 Fujitsu Limited Semiconductor device and method for fabricating the same
US5714408A (en) * 1995-12-14 1998-02-03 Denso Corporation Method of forming silicon nitride with varied hydrogen concentration
US6222269B1 (en) * 1996-12-25 2001-04-24 Nec Corporation Semiconductor device and fabrication process thereof
US6358802B1 (en) * 1997-01-20 2002-03-19 Nec Corporation Method for manufacturing semiconductor device having a gate electrode film containing nitrogen
US6274417B1 (en) * 1997-05-29 2001-08-14 Nec Corporation Method of forming a semiconductor device
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050200026A1 (en) * 2004-03-10 2005-09-15 Taiwan Semiconductor Manufacturing Co. Ltd. Contact structure for nanometer characteristic dimensions
US20080297975A1 (en) * 2007-05-30 2008-12-04 International Business Machines Corporation Vertical parallel plate capacitor structures
US7876547B2 (en) * 2007-05-30 2011-01-25 International Business Machines Corporation Vertical parallel plate capacitor structures
US20090102016A1 (en) * 2007-10-22 2009-04-23 International Business Machines Corporation Design structure incorporating vertical parallel plate capacitor structures
US20120199913A1 (en) * 2011-02-08 2012-08-09 Renesas Electronics Corporation Semiconductor Device Having Insulating Film With Increased Tensile Stress and Manufacturing Method Thereof
US8492847B2 (en) * 2011-02-08 2013-07-23 Renesas Electronics Corporation Semiconductor device having insulating film with increased tensile stress and manufacturing method thereof
EP2662663A1 (en) 2012-05-08 2013-11-13 Airbus Operations GmbH Optical measuring device with a slider and optical measurement method
DE102012008905A1 (en) 2012-05-08 2013-11-14 Airbus Operations Gmbh Optical measuring device and displacement device and optical measuring method
US9279669B2 (en) 2012-05-08 2016-03-08 Airbus Operations Gmbh Optical measuring device with a slider and optical measurement method
US10475829B2 (en) 2017-07-11 2019-11-12 Canon Kabushiki Kaisha Semiconductor apparatus and equipment

Also Published As

Publication number Publication date
US7163886B2 (en) 2007-01-16
KR100854555B1 (en) 2008-08-26
US20050020021A1 (en) 2005-01-27
KR100768642B1 (en) 2007-10-18
KR20070035117A (en) 2007-03-29
KR20010110457A (en) 2001-12-13
CN1220257C (en) 2005-09-21
WO2001004946A1 (en) 2001-01-18
JP4142293B2 (en) 2008-09-03
CN1338114A (en) 2002-02-27
TW471001B (en) 2002-01-01
US20080157219A1 (en) 2008-07-03

Similar Documents

Publication Publication Date Title
US7163886B2 (en) Semiconductor integrated circuit device and process for manufacturing the same
US6395612B1 (en) Semiconductor device and method of manufacturing the same
KR100281017B1 (en) Semiconductor Memory and Manufacturing Method
US6750500B1 (en) Capacitor electrode for integrating high K materials
US6642564B2 (en) Semiconductor memory and method for fabricating the same
US5518947A (en) Method of forming a semiconductor memory device having silicon nitride overlying only in peripheral circuit area
US6426255B1 (en) Process for making a semiconductor integrated circuit device having a dynamic random access memory
US6300239B1 (en) Method of manufacturing semiconductor device
JPH1187651A (en) Semiconductor integrated circuit device and method for manufacturing the same
JP4012382B2 (en) Semiconductor integrated circuit device and manufacturing method thereof
US6723612B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
JP2002026295A (en) High dielectric capacitor and its manufacturing method
JP2004228589A (en) Manufacturing method of semiconductor device and semiconductor device
JP2001024169A (en) Semiconductor device and its manufacture
US6323098B1 (en) Manufacturing method of a semiconductor device
KR100868607B1 (en) Semiconductor device and method for producing the same
US10847522B2 (en) Semiconductor device and fabrication method
CN1722433A (en) Semiconductor device and method for manufacturing the same
JP2001250792A (en) Method for fabricating semiconductor integrated circuit device
JP2004228588A (en) Semiconductor device
JP4820785B2 (en) Manufacturing method of semiconductor integrated circuit device
JP3503666B2 (en) Semiconductor memory device and method of manufacturing the same
JP2000323679A (en) Semiconductor device and its manufacture

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION