US20070042598A1 - Dielectric with sidewall passivating layer - Google Patents

Dielectric with sidewall passivating layer Download PDF

Info

Publication number
US20070042598A1
US20070042598A1 US11/590,020 US59002006A US2007042598A1 US 20070042598 A1 US20070042598 A1 US 20070042598A1 US 59002006 A US59002006 A US 59002006A US 2007042598 A1 US2007042598 A1 US 2007042598A1
Authority
US
United States
Prior art keywords
layer
opening
polymer dielectric
depth
passivating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/590,020
Inventor
Hyun-Mog Park
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/590,020 priority Critical patent/US20070042598A1/en
Publication of US20070042598A1 publication Critical patent/US20070042598A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Definitions

  • the present invention relates to a method for making integrated circuits, and more specifically to the formation of layers having low dielectric constants.
  • Integrated circuits are made by forming on a substrate, such as a silicon wafer, layers of conductive material that are separated by layers of a dielectric material. Openings called vias and trenches may be etched through the dielectric layers, then filled with a conducting material to electrically connect the separated conductive layers.
  • a commonly used material to form a dielectric layer is silicon dioxide. Although a thermally stable and mechanically strong material, silicon dioxide has a relatively high dielectric constant. Consequently, certain materials such as various organic polymers that have a relatively low dielectric constant may be used as a dielectric material in place of silicon dioxide. When such materials are used in place of those with a higher dielectric constant, RC delay may be reduced, which can enable a higher speed device.
  • Etching is a process of removing selected portions of a layer from a wafer surface through openings in a hard mask with a specified resist pattern. Dry etching typically is used to obtain sufficient control and precision for integrated circuits with features below 3 ⁇ m. Dry etch techniques include plasma etching, ion beam etching, and reactive ion etching. Plasma etching requires a chemical etchant and an energy source.
  • a plasma etcher may include a chamber, vacuum system, gas supply, and power supply. The wafers may be loaded into the chamber, the pressure inside is reduced by the vacuum system, and the chamber is filled with the reactive gas. For example, to etch silicon dioxide, the gas may be CF 4 mixed with oxygen.
  • the energy source such as a power supply that creates a radio frequency field through electrodes in the chamber, energizes the gas mixture to a plasma state.
  • the etchant attacks the dielectric material, converting it into volatile components that are removed by the vacuum system.
  • Polymer-based dielectrics may be etched with chemical etchants that include and/or are based on O 2 -based chemistry.
  • the etchant also may include additives such as N 2 , H 2 , or CO.
  • Ion bombardment also may be used to etch a polymer dielectric material, in conjunction with a chemical etchant.
  • some over-etching is done of the polymeric dielectric material. Over-etching may be needed to ensure complete removal of the selected material, at least in part due to variations in material thickness and etch non-uniformity across the surface.
  • undercutting is the unwanted removal of dielectric material below the edges of a mask.
  • etching of a dielectric can result in undercutting because etching tends to be somewhat non-directional, or isotropic, especially with polymer dielectrics. Undercutting results in sidewall surfaces that are not vertical, but are bowed. If etch time is increased, the etching may remove even more of the polymeric dielectric material from underneath the mask, worsening the undercutting problem.
  • the undercutting problem is an obstacle to the development of smaller and faster devices, because undercutting can result in variations and departures from designs that seek to minimize spacing of dielectric materials between conductive elements such as conductive elements in vias and trenches, misalignment of conductors and/or insulating elements extending through or into the etched dielectric layers, and other similar problems.
  • What is needed is a device without the undercutting problem, that has more vertical sidewalls on the sides of an opening in a polymer dielectric layer.
  • a method for etching a polymer dielectric with a low dielectric constant is needed that will reduce, minimize or eliminate the undercutting problem.
  • FIGS. 1A-1E illustrate cross-sections of a device that may result after certain steps are used, when making the device using the method according to one embodiment of the present invention.
  • FIG. 2 is a process flow diagram in accordance with one embodiment of the present invention.
  • substrate 100 is provided, which may include a myriad of devices, materials and structures used to form integrated circuits.
  • Conductive layer 101 is formed on substrate 100 .
  • Conductive layer 101 may be formed by a chemical vapor or physical deposition process, like those that are well known to those skilled in the art.
  • a conventional copper electroplating process may be used. Such a process typically comprises depositing a barrier layer followed by depositing a seed material, then performing a copper electroplating process to produce the copper line.
  • barrier layer 102 is formed on conductive layer 101 .
  • Barrier layer 102 will serve to prevent an unacceptable amount of copper, or other metal, from diffusing into polymer dielectric layer 103 .
  • Barrier layer 102 may also act as an etch stop for preventing subsequent via and trench etch steps from etching into an insulating material formed adjacent to conductive layer 101 .
  • Barrier layer 102 preferably is made from silicon nitride, but may be made from other materials that can serve such functions, as is well known to those skilled in the art. When formed from nitride, a chemical vapor deposition process may be used to form barrier layer 102 .
  • Conductive layer 101 and barrier layer 102 may be planarized, after they are deposited, using a chemical mechanical polishing (CMP) step.
  • CMP chemical mechanical polishing
  • Dielectric layer 103 also referred to as interlayer dielectric (ILD), comprises a polymer formed on top of barrier layer 102 .
  • Dielectric layer 103 may be formed by spin coating the polymer onto the surface of barrier layer 102 , using conventionally employed equipment and process steps.
  • Dielectric layer 103 preferably is between about 2,000 and about 20,000 angstroms thick.
  • Dielectric layer 103 preferably has a low dielectric constant; i.e., less than about 3.5 and more preferably less than about 2.0. Because of layer 103 's low dielectric constant, the capacitance between various conductive elements that are separated by layer 103 may be reduced, when compared to the capacitance resulting from use of other conventionally used dielectric materials such as silicon dioxide. Such reduced capacitance may decrease the RC delay that would otherwise exist and may also decrease undesirable cross-talk between conductive lines.
  • ILD interlayer dielectric
  • Dielectric layer 103 may comprise an organic polymer.
  • organic polymers include, for example, polyimides, parylenes, polyarylethers, polynaphthalenes, and polyquinolines, or copolymers thereof.
  • materials that can be used to make dielectric layer 103 have been identified here, any polymer that may insulate one conductive layer from another is within the spirit and scope of the present invention.
  • the hard masking layer 104 may be formed by chemical vapor deposition, for example.
  • the minimum thickness required for hard masking layer 104 may be dictated by the process used to perform a subsequent polishing step to remove excess metal formed on the surface of that layer.
  • the preferred materials for making hard masking layer 104 are silicon nitride and silicon dioxide, although other materials, such as SiOF, may be used.
  • photoresist layer 105 may be patterned on top of the hard masking layer to define a trench or via formation region for receiving a subsequently formed conductive layer.
  • Photoresist layer 105 may be patterned using conventional photolithographic techniques, such as masking the layer of photoresist, exposing the masked layer to light, then developing the unexposed portions.
  • FIG. 1B shows a semiconductor structure after removal of that part of the hard masking layer not covered by the photoresist.
  • FIG. 1C shows a semiconductor structure after a portion of the polymer dielectric layer 103 has been removed to provide an opening having a first depth.
  • a portion of the polymer dielectric layer may be removed to form an opening by etching the polymer dielectric material.
  • This first or initial removal of dielectric material should not penetrate all the way through the polymer dielectric layer.
  • the first depth should be sufficiently shallow so that it does not reach barrier layer 102 .
  • the first depth may be limited by time, temperature and/or chemical parameters for removing the polymer dielectric material.
  • At least a thin layer of the polymer dielectric remains to define a bottom surface in the opening, which may be referred to as a trench bottom or via bottom.
  • the polymer dielectric may be etched for approximately 25 seconds using a conventional plasma etch and/or ion beam etch process as is well know to those skilled in the art.
  • the etch chemistry to form an opening in the polymer dielectric layer may include a plasma that contains nitrogen and either hydrogen or oxygen.
  • photoresist 105 may be etched at substantially the same rate as the polymer dielectric layer.
  • FIG. 1D shows a semiconductor structure after passivating layer 106 is formed over the opening in the polymer dielectric layer.
  • the passivating layer may be formed on the opposing substantially vertical sidewall surfaces of the previously etched opening in the polymer dielectric.
  • substantially vertical means that the sidewall surfaces are substantially normal, or perpendicular, to the horizontal plane of the substrate surface.
  • the passivating layer also may cover some or all of the horizontal bottom surface (i.e., the trench bottom or via bottom) as well as the opposing vertical sidewall surfaces of the opening.
  • Passivating layer 106 may be formed using conventional equipment used for etching, i.e., an ion beam source and plasma gas chemistry.
  • the passivating layer has a depth or thickness of between about 2 nanometers and about 20 nanometers over the polymer dielectric.
  • the depth of the passivating layer may be varied based on the chemistry used and time to form the passivating layer. For example, in one embodiment, after the passivating layer is initially formed, it may have a greater depth or thickness on the bottom surface than on the sidewall surfaces. In one embodiment, a passivating layer may be formed in approximately 10 seconds.
  • the passivating layer may be a carbon and fluorine-based material such as CF 2 , C 2 F 4 , etc.
  • the chemistry used to form the passivating layer may be a carbon-fluoro gas or hydrocarbon-flouro gas, such as C 2 F 8 , C 2 F 6 , CHF 3 , CH 3 F, or CH 2 F 2 , and also may include or be mixed with other gases such as argon, oxygen or nitrogen. The gas may be broken into several different ions during formation of the passivation layer.
  • the chemistry used to form the passivating layer should be selected so it does not penetrate, attack or etch the polymer dielectric layer significiantly.
  • FIG. 1E a semiconductor structure is shown after more of the polymer dielectric layer is removed, increasing the depth of the opening to a second depth.
  • the sidewall passivating layer forms a barrier to protect the sidewalls from ion bombardment and/or chemical etchants that could isotropically etch, penetrate and/or removing polymer dielectric material from the sidewalls.
  • the sidewall passivating layer assures that the opposing sidewalls stay substantially vertical, without undercutting of the polymer dielectric material below the edges of a mask during formation on an opening, such as a trench or via, in the polymer dielectric.
  • a conventional etch technique may be used to remove more of the polymer dielectric layer at the bottom of the opening, including but not limited to etching using an ion beam and plasma etchant.
  • the same or similar etch techniques may be used that were used for the initial formation of the opening in the polymer dielectric layer.
  • the ion beam used to remove additional polymer dielectric material should be in a direction normal to the horizontal bottom surface of the opening. If there is passivating material on the bottom surface of the trench, the ion beam may remove that passivating material and the remaining polymer dielectric layer on the bottom surface below the passivating layer.
  • the ion beam source should be configured to penetrate and remove any passivating material on the horizontal bottom surface of the opening.
  • the polymer dielectric layer on the bottom surface of the opening may be removed until a second depth is reached.
  • the second depth may be at or near the depth of barrier layer 102 .
  • the remaining polymer dielectric material may be removed by etching for approximately 25 seconds. After the removal of the additional dielectric material on the bottom surface, however, some or all of the passivating layer on the sidewall surfaces may remain.
  • FIG. 2 is a process flow diagram that depicts a method of providing a sidewall passivating layer according to one embodiment.
  • initial removal of the polymer interlayer dielectric takes place.
  • Initial removal of polymer dielectric material in block 201 creates an opening that does not completely penetrate through the polymer dielectric layer.
  • the opening has a first depth that is sufficiently shallow so that it does not reach the underlying barrier layer. At least a thin layer of the polymer dielectric remains over the barrier layer.
  • the first depth of the opening may be limited by time, temperature and/or chemical parameters.
  • the initial removal of polymer dielectric material may be done for approximately one third of the duration of a conventional etch process, i.e., 25 seconds.
  • a conventional plasma etch process may be used, and/or ion beam etch techniques that are well know to those skilled in the art. If a dry etch is used, the etch chemistry may include a plasma that contains nitrogen and either hydrogen or oxygen. During the initial etching, the photoresist layer above the polymer dielectric also may be etched at substantially the same rate as the polymer dielectric layer.
  • a passivating layer is formed on the opposing sidewalls of the opening in the polymer dielectric layer.
  • the passivating layer also may be formed on the bottom surface of the opening.
  • the passivating layer may be formed by using an ion beam source and suitable etch chemistry to create a layer of carbon and fluorine-based material to a depth or thickness of between about 2 nanometers and about 20 nanometers. In one embodiment, the passivating layer may reach a greater depth over the bottom surface than the sidewall surfaces of the opening.
  • the chemistry used to form the passivating layer in block 202 may include a carbon-fluoro gas, such as C 2 F 8 , C 2 F 6 , CHF 3 , CH 3 F, CH 2 F 2 , or CH 3 F, and also may include or be mixed with other gases such as argon, oxygen or nitrogen.
  • a passivating layer may be formed in approximately 10 seconds.
  • additional polymer dielectric material may be removed from the bottom of the opening to reach a second depth.
  • the same or similar methods or techniques may be used as in block 201 .
  • conventional etch techniques may be used that include ion bombardment and/or etch chemistries. If there is a passivating layer on the bottom surface, ion beam etching techniques may be used to remove the passivating layer therefrom, as well as the remaining polymer dielectric layer on the bottom surface of the opening, until reaching a second depth.
  • the second depth may be at or near the barrier layer.
  • Techniques for removal of the polymer dielectric include employing an ion beam in a direction normal to the substrate surface.
  • Such techniques allow removal of the passivating layer and the polymer dielectric from the bottom surface of the opening, without significantly penetrating or removing the passivating layer and/or polymer dielectric from the sidewall surfaces.
  • the remaining polymer dielectric material may be removed from the bottom surface of the opening in approximately 25 seconds.
  • the passivating layer may remain on the sidewall surfaces.
  • the passivating layer on the sidewalls protects the polymer dielectric sidewalls from isotropic etching that may result in undercutting.
  • some or all of the passivating material remaining on the sidewalls may be removed subsequently through a conventional wet clean process.
  • the passivating layer may be formed between cycles of a cyclic etch process.
  • a polymer dielectric etch process of 75 seconds duration may be split into two or more intervals, and the sidewall passivating layer may be formed between two of the intervals.
  • the polymer dielectric etch process is divided into three 25 second intervals.
  • an opening in the polymer dielectric layer may have a significantly improved profile for a via or trench.
  • the improved etch profile may be characterized by vertical sidewalls, with little or no undercutting of the polymer dielectric material below the edges of a hard mask.
  • the present invention significantly reduces the undercut or etch bias of a low-k polymer dielectric.

Abstract

A polymer dielectric material includes a sidewall passivating layer on the opposing sidewall surfaces of an opening in the dielectric layer for a via or trench. The sidewall passivating layer may be deposited on the sidewall surfaces, as well as the bottom surface of an opening having a first depth in the polymer dielectric layer. After the sidewall passivating layer is added, the depth of the opening may be increased to a second depth. The sidewall passivating layer provides a barrier to removal of the polymer dielectric from the sidewalls, preventing or reducing undercutting below a hard mask.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 10/379,061, filed on Mar. 4, 2003.
  • FIELD OF THE INVENTION
  • The present invention relates to a method for making integrated circuits, and more specifically to the formation of layers having low dielectric constants.
  • BACKGROUND
  • Integrated circuits are made by forming on a substrate, such as a silicon wafer, layers of conductive material that are separated by layers of a dielectric material. Openings called vias and trenches may be etched through the dielectric layers, then filled with a conducting material to electrically connect the separated conductive layers.
  • A commonly used material to form a dielectric layer is silicon dioxide. Although a thermally stable and mechanically strong material, silicon dioxide has a relatively high dielectric constant. Consequently, certain materials such as various organic polymers that have a relatively low dielectric constant may be used as a dielectric material in place of silicon dioxide. When such materials are used in place of those with a higher dielectric constant, RC delay may be reduced, which can enable a higher speed device.
  • Etching is a process of removing selected portions of a layer from a wafer surface through openings in a hard mask with a specified resist pattern. Dry etching typically is used to obtain sufficient control and precision for integrated circuits with features below 3 μm. Dry etch techniques include plasma etching, ion beam etching, and reactive ion etching. Plasma etching requires a chemical etchant and an energy source. For example, a plasma etcher may include a chamber, vacuum system, gas supply, and power supply. The wafers may be loaded into the chamber, the pressure inside is reduced by the vacuum system, and the chamber is filled with the reactive gas. For example, to etch silicon dioxide, the gas may be CF4 mixed with oxygen. The energy source, such as a power supply that creates a radio frequency field through electrodes in the chamber, energizes the gas mixture to a plasma state. In the energized state, the etchant attacks the dielectric material, converting it into volatile components that are removed by the vacuum system.
  • Polymer-based dielectrics may be etched with chemical etchants that include and/or are based on O2-based chemistry. The etchant also may include additives such as N2, H2, or CO. Ion bombardment also may be used to etch a polymer dielectric material, in conjunction with a chemical etchant. Typically, some over-etching is done of the polymeric dielectric material. Over-etching may be needed to ensure complete removal of the selected material, at least in part due to variations in material thickness and etch non-uniformity across the surface.
  • However, over-etching also can cause or increase a problem referred to as undercutting. Undercutting is the unwanted removal of dielectric material below the edges of a mask. In general, etching of a dielectric can result in undercutting because etching tends to be somewhat non-directional, or isotropic, especially with polymer dielectrics. Undercutting results in sidewall surfaces that are not vertical, but are bowed. If etch time is increased, the etching may remove even more of the polymeric dielectric material from underneath the mask, worsening the undercutting problem. Although attempts to reduce undercutting have been made by simply reducing the over-etch time, this is not a practical solution because some over-etch is needed to compensate for material thickness variations and etch non-uniformity.
  • The undercutting problem is an obstacle to the development of smaller and faster devices, because undercutting can result in variations and departures from designs that seek to minimize spacing of dielectric materials between conductive elements such as conductive elements in vias and trenches, misalignment of conductors and/or insulating elements extending through or into the etched dielectric layers, and other similar problems. What is needed is a device without the undercutting problem, that has more vertical sidewalls on the sides of an opening in a polymer dielectric layer. A method for etching a polymer dielectric with a low dielectric constant is needed that will reduce, minimize or eliminate the undercutting problem.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1E illustrate cross-sections of a device that may result after certain steps are used, when making the device using the method according to one embodiment of the present invention.
  • FIG. 2 is a process flow diagram in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • In FIGS. 1A through 1E, cross sections of a semiconductor structure are shown and described in accordance with one embodiment of the invention. First referring to FIG. 1A, substrate 100 is provided, which may include a myriad of devices, materials and structures used to form integrated circuits. Conductive layer 101 is formed on substrate 100. Conductive layer 101 may be formed by a chemical vapor or physical deposition process, like those that are well known to those skilled in the art. Alternatively, where copper is used to make conductive layer 101, a conventional copper electroplating process may be used. Such a process typically comprises depositing a barrier layer followed by depositing a seed material, then performing a copper electroplating process to produce the copper line.
  • Still referring to FIG. 1A, after forming conductive layer 101 on substrate 100, barrier layer 102 is formed on conductive layer 101. Barrier layer 102 will serve to prevent an unacceptable amount of copper, or other metal, from diffusing into polymer dielectric layer 103. Barrier layer 102 may also act as an etch stop for preventing subsequent via and trench etch steps from etching into an insulating material formed adjacent to conductive layer 101. Barrier layer 102 preferably is made from silicon nitride, but may be made from other materials that can serve such functions, as is well known to those skilled in the art. When formed from nitride, a chemical vapor deposition process may be used to form barrier layer 102. Conductive layer 101 and barrier layer 102 may be planarized, after they are deposited, using a chemical mechanical polishing (CMP) step.
  • Dielectric layer 103, also referred to as interlayer dielectric (ILD), comprises a polymer formed on top of barrier layer 102. Dielectric layer 103 may be formed by spin coating the polymer onto the surface of barrier layer 102, using conventionally employed equipment and process steps. Dielectric layer 103 preferably is between about 2,000 and about 20,000 angstroms thick. Dielectric layer 103 preferably has a low dielectric constant; i.e., less than about 3.5 and more preferably less than about 2.0. Because of layer 103's low dielectric constant, the capacitance between various conductive elements that are separated by layer 103 may be reduced, when compared to the capacitance resulting from use of other conventionally used dielectric materials such as silicon dioxide. Such reduced capacitance may decrease the RC delay that would otherwise exist and may also decrease undesirable cross-talk between conductive lines.
  • Dielectric layer 103 may comprise an organic polymer. Such organic polymers include, for example, polyimides, parylenes, polyarylethers, polynaphthalenes, and polyquinolines, or copolymers thereof. Although several examples of materials that can be used to make dielectric layer 103 have been identified here, any polymer that may insulate one conductive layer from another is within the spirit and scope of the present invention.
  • Above dielectric layer 103 is hard masking layer 104. The hard masking layer 104 may be formed by chemical vapor deposition, for example. The minimum thickness required for hard masking layer 104 may be dictated by the process used to perform a subsequent polishing step to remove excess metal formed on the surface of that layer. The preferred materials for making hard masking layer 104 are silicon nitride and silicon dioxide, although other materials, such as SiOF, may be used.
  • In one embodiment, photoresist layer 105 may be patterned on top of the hard masking layer to define a trench or via formation region for receiving a subsequently formed conductive layer. Photoresist layer 105 may be patterned using conventional photolithographic techniques, such as masking the layer of photoresist, exposing the masked layer to light, then developing the unexposed portions. FIG. 1B shows a semiconductor structure after removal of that part of the hard masking layer not covered by the photoresist.
  • FIG. 1C shows a semiconductor structure after a portion of the polymer dielectric layer 103 has been removed to provide an opening having a first depth. For example, a portion of the polymer dielectric layer may be removed to form an opening by etching the polymer dielectric material. This first or initial removal of dielectric material should not penetrate all the way through the polymer dielectric layer. In other words, the first depth should be sufficiently shallow so that it does not reach barrier layer 102. The first depth may be limited by time, temperature and/or chemical parameters for removing the polymer dielectric material. At least a thin layer of the polymer dielectric remains to define a bottom surface in the opening, which may be referred to as a trench bottom or via bottom. For example, in one embodiment, the polymer dielectric may be etched for approximately 25 seconds using a conventional plasma etch and/or ion beam etch process as is well know to those skilled in the art. The etch chemistry to form an opening in the polymer dielectric layer may include a plasma that contains nitrogen and either hydrogen or oxygen. During the etching, photoresist 105 may be etched at substantially the same rate as the polymer dielectric layer.
  • FIG. 1D shows a semiconductor structure after passivating layer 106 is formed over the opening in the polymer dielectric layer. In one embodiment, the passivating layer may be formed on the opposing substantially vertical sidewall surfaces of the previously etched opening in the polymer dielectric. As used herein, substantially vertical means that the sidewall surfaces are substantially normal, or perpendicular, to the horizontal plane of the substrate surface. Additionally, in one embodiment, the passivating layer also may cover some or all of the horizontal bottom surface (i.e., the trench bottom or via bottom) as well as the opposing vertical sidewall surfaces of the opening.
  • Passivating layer 106 may be formed using conventional equipment used for etching, i.e., an ion beam source and plasma gas chemistry. Preferably, the passivating layer has a depth or thickness of between about 2 nanometers and about 20 nanometers over the polymer dielectric. However, the depth of the passivating layer may be varied based on the chemistry used and time to form the passivating layer. For example, in one embodiment, after the passivating layer is initially formed, it may have a greater depth or thickness on the bottom surface than on the sidewall surfaces. In one embodiment, a passivating layer may be formed in approximately 10 seconds.
  • In one embodiment, the passivating layer may be a carbon and fluorine-based material such as CF2, C2F4, etc. The chemistry used to form the passivating layer may be a carbon-fluoro gas or hydrocarbon-flouro gas, such as C2F8, C2F6, CHF3, CH3F, or CH2F2, and also may include or be mixed with other gases such as argon, oxygen or nitrogen. The gas may be broken into several different ions during formation of the passivation layer. The chemistry used to form the passivating layer should be selected so it does not penetrate, attack or etch the polymer dielectric layer significiantly.
  • Now referring to FIG. 1E, a semiconductor structure is shown after more of the polymer dielectric layer is removed, increasing the depth of the opening to a second depth. The sidewall passivating layer forms a barrier to protect the sidewalls from ion bombardment and/or chemical etchants that could isotropically etch, penetrate and/or removing polymer dielectric material from the sidewalls. Thus, the sidewall passivating layer assures that the opposing sidewalls stay substantially vertical, without undercutting of the polymer dielectric material below the edges of a mask during formation on an opening, such as a trench or via, in the polymer dielectric.
  • To make the structure shown in FIG. 1E, a conventional etch technique may be used to remove more of the polymer dielectric layer at the bottom of the opening, including but not limited to etching using an ion beam and plasma etchant. In one embodiment, the same or similar etch techniques may be used that were used for the initial formation of the opening in the polymer dielectric layer. The ion beam used to remove additional polymer dielectric material should be in a direction normal to the horizontal bottom surface of the opening. If there is passivating material on the bottom surface of the trench, the ion beam may remove that passivating material and the remaining polymer dielectric layer on the bottom surface below the passivating layer. The ion beam source should be configured to penetrate and remove any passivating material on the horizontal bottom surface of the opening.
  • The polymer dielectric layer on the bottom surface of the opening may be removed until a second depth is reached. For example, the second depth may be at or near the depth of barrier layer 102. In one embodiment, the remaining polymer dielectric material may be removed by etching for approximately 25 seconds. After the removal of the additional dielectric material on the bottom surface, however, some or all of the passivating layer on the sidewall surfaces may remain.
  • FIG. 2 is a process flow diagram that depicts a method of providing a sidewall passivating layer according to one embodiment. In block 201, initial removal of the polymer interlayer dielectric takes place. Initial removal of polymer dielectric material in block 201 creates an opening that does not completely penetrate through the polymer dielectric layer. The opening has a first depth that is sufficiently shallow so that it does not reach the underlying barrier layer. At least a thin layer of the polymer dielectric remains over the barrier layer. The first depth of the opening may be limited by time, temperature and/or chemical parameters. In one embodiment, the initial removal of polymer dielectric material may be done for approximately one third of the duration of a conventional etch process, i.e., 25 seconds. A conventional plasma etch process may be used, and/or ion beam etch techniques that are well know to those skilled in the art. If a dry etch is used, the etch chemistry may include a plasma that contains nitrogen and either hydrogen or oxygen. During the initial etching, the photoresist layer above the polymer dielectric also may be etched at substantially the same rate as the polymer dielectric layer.
  • In block 202, a passivating layer is formed on the opposing sidewalls of the opening in the polymer dielectric layer. In one embodiment, the passivating layer also may be formed on the bottom surface of the opening. The passivating layer may be formed by using an ion beam source and suitable etch chemistry to create a layer of carbon and fluorine-based material to a depth or thickness of between about 2 nanometers and about 20 nanometers. In one embodiment, the passivating layer may reach a greater depth over the bottom surface than the sidewall surfaces of the opening.
  • The chemistry used to form the passivating layer in block 202 may include a carbon-fluoro gas, such as C2F8, C2F6, CHF3, CH3F, CH2F2, or CH3F, and also may include or be mixed with other gases such as argon, oxygen or nitrogen. The depth of the passivating layer may depend on the time, chemistry and other parameters. In one embodiment, a passivating layer may be formed in approximately 10 seconds.
  • In block 203, additional polymer dielectric material may be removed from the bottom of the opening to reach a second depth. The same or similar methods or techniques may be used as in block 201. For example, conventional etch techniques may be used that include ion bombardment and/or etch chemistries. If there is a passivating layer on the bottom surface, ion beam etching techniques may be used to remove the passivating layer therefrom, as well as the remaining polymer dielectric layer on the bottom surface of the opening, until reaching a second depth. In one embodiment, the second depth may be at or near the barrier layer. Techniques for removal of the polymer dielectric include employing an ion beam in a direction normal to the substrate surface. Such techniques allow removal of the passivating layer and the polymer dielectric from the bottom surface of the opening, without significantly penetrating or removing the passivating layer and/or polymer dielectric from the sidewall surfaces. In one embodiment, the remaining polymer dielectric material may be removed from the bottom surface of the opening in approximately 25 seconds.
  • After the polymer dielectric is removed from the bottom surface of the opening to reach a desired via or trench depth, some or all of the passivating layer may remain on the sidewall surfaces. Significantly, the passivating layer on the sidewalls protects the polymer dielectric sidewalls from isotropic etching that may result in undercutting. Optionally, some or all of the passivating material remaining on the sidewalls may be removed subsequently through a conventional wet clean process.
  • In one embodiment, the passivating layer may be formed between cycles of a cyclic etch process. For example, a polymer dielectric etch process of 75 seconds duration may be split into two or more intervals, and the sidewall passivating layer may be formed between two of the intervals. In one embodiment, the polymer dielectric etch process is divided into three 25 second intervals.
  • As a result of including a sidewall passivating layer, an opening in the polymer dielectric layer may have a significantly improved profile for a via or trench. The improved etch profile may be characterized by vertical sidewalls, with little or no undercutting of the polymer dielectric material below the edges of a hard mask. Thus, the present invention significantly reduces the undercut or etch bias of a low-k polymer dielectric.
  • While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims (11)

1. A device comprising:
a semiconductor substrate having a conductive layer, a barrier layer over the conductive layer, and a polymer dielectric layer over the barrier layer;
an opening in the polymer dielectric layer having a bottom surface and substantially vertical opposing sidewall surfaces; and
a passivating layer on at least the opposing sidewall surfaces of the opening.
2. The device of claim 1 wherein the passivating layer comprises a barrier material including at least carbon and fluorine.
3. The device of claim 1 further comprising a passivating layer on the bottom surface of the opening.
4. The device of claim 1 wherein the passivating layer has a depth of between about 2 nanometers and about 20 nanometers.
5. The device of claim 1 wherein the bottom layer of the opening is spaced from the barrier layer.
6. The device of claim 1 further comprising a conductive element in the opening.
7. A method comprising:
etching a polymer dielectric material over a barrier layer on a substrate to provide an opening having a first depth, the opening having a bottom surface and sidewall surfaces;
forming a passivating layer over the bottom surface and sidewall surfaces of the opening, the passivating layer providing a barrier layer; and
etching the polymer dielectric material at the bottom of the opening to remove the passivating layer and the polymer dielectric material from the bottom surface of the opening and increase the depth of the opening to a second depth.
8. The method of claim 7 wherein forming the passivating layer comprises depositing a carbon and fluorine based material over the polymer dielectric material.
9. The method of claim 7 wherein the first depth is above the barrier layer.
10. The method of claim 7 further comprising cleaning the passivating layer from the sidewall surfaces.
11. The method of claim 7 wherein forming the passivating layer comprises forming a passivating layer to a depth of between about 2 nanometers and about 20 nanometers.
US11/590,020 2003-03-04 2006-10-31 Dielectric with sidewall passivating layer Abandoned US20070042598A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/590,020 US20070042598A1 (en) 2003-03-04 2006-10-31 Dielectric with sidewall passivating layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/379,061 US7176122B2 (en) 2003-03-04 2003-03-04 Dielectric with sidewall passivating layer
US11/590,020 US20070042598A1 (en) 2003-03-04 2006-10-31 Dielectric with sidewall passivating layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/379,061 Division US7176122B2 (en) 2003-03-04 2003-03-04 Dielectric with sidewall passivating layer

Publications (1)

Publication Number Publication Date
US20070042598A1 true US20070042598A1 (en) 2007-02-22

Family

ID=32926603

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/379,061 Expired - Fee Related US7176122B2 (en) 2003-03-04 2003-03-04 Dielectric with sidewall passivating layer
US11/590,020 Abandoned US20070042598A1 (en) 2003-03-04 2006-10-31 Dielectric with sidewall passivating layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/379,061 Expired - Fee Related US7176122B2 (en) 2003-03-04 2003-03-04 Dielectric with sidewall passivating layer

Country Status (1)

Country Link
US (2) US7176122B2 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060042952A1 (en) * 2004-08-24 2006-03-02 Oliver Steven D Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
US20060216862A1 (en) * 2003-11-13 2006-09-28 Micron Technology, Inc. Microelectronic devices, methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US20080050911A1 (en) * 2006-08-28 2008-02-28 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US20080153247A1 (en) * 2006-12-26 2008-06-26 Hynix Semiconductor Inc. Method For Manufacturing Semiconductor Device
US20090008144A1 (en) * 2004-08-27 2009-01-08 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US20090146312A1 (en) * 2007-12-06 2009-06-11 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20100052183A1 (en) * 2005-09-01 2010-03-04 Micron Technology, Inc. Microfeature workpiece substrates having through-substrate vias, and associated methods of formation
US7683458B2 (en) 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7830018B2 (en) 2007-08-31 2010-11-09 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US7829976B2 (en) 2004-06-29 2010-11-09 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7915736B2 (en) 2005-09-01 2011-03-29 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US20130078776A1 (en) * 2011-09-23 2013-03-28 Samsung Electronics Co., Ltd. Methods of Manufacturing a Three-Dimensional Semiconductor Device
US8536485B2 (en) 2004-05-05 2013-09-17 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US9214391B2 (en) 2004-12-30 2015-12-15 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US8735283B2 (en) * 2011-06-23 2014-05-27 International Business Machines Corporation Method for forming small dimension openings in the organic masking layer of tri-layer lithography
US9502365B2 (en) * 2013-12-31 2016-11-22 Texas Instruments Incorporated Opening in a multilayer polymeric dielectric layer without delamination
CN110211920A (en) * 2018-02-28 2019-09-06 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor devices
KR20200128184A (en) * 2018-03-30 2020-11-11 램 리써치 코포레이션 Topographic-selective and area-selective ALD using fluorocarbon barrier layers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US20020173142A1 (en) * 2001-02-15 2002-11-21 Serge Vanhaelemeersch Method of fabricating a semiconductor device
US6489248B2 (en) * 1999-10-06 2002-12-03 Applied Materials, Inc. Method and apparatus for etch passivating and etching a substrate
US20020187629A1 (en) * 2001-06-06 2002-12-12 I-Hsiung Huang Method for dual damascene process without using gap-filling materials
US6613666B2 (en) * 2001-12-07 2003-09-02 Applied Materials Inc. Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303489B1 (en) * 1998-06-03 2001-10-16 Advanced Micro Devices, Inc. Spacer - defined dual damascene process method
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US6372634B1 (en) * 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6458691B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Dual inlaid process using an imaging layer to protect via from poisoning
US6410424B1 (en) * 2001-04-19 2002-06-25 Taiwan Semiconductor Manufacturing Company Process flow to optimize profile of ultra small size photo resist free contact
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6489248B2 (en) * 1999-10-06 2002-12-03 Applied Materials, Inc. Method and apparatus for etch passivating and etching a substrate
US20020173142A1 (en) * 2001-02-15 2002-11-21 Serge Vanhaelemeersch Method of fabricating a semiconductor device
US20020187629A1 (en) * 2001-06-06 2002-12-12 I-Hsiung Huang Method for dual damascene process without using gap-filling materials
US6613666B2 (en) * 2001-12-07 2003-09-02 Applied Materials Inc. Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653420B2 (en) 2003-11-13 2017-05-16 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US20060216862A1 (en) * 2003-11-13 2006-09-28 Micron Technology, Inc. Microelectronic devices, methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US20060264041A1 (en) * 2003-11-13 2006-11-23 Micron Technology, Inc. Microelectronic devices, methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US7759800B2 (en) 2003-11-13 2010-07-20 Micron Technology, Inc. Microelectronics devices, having vias, and packaged microelectronic devices having vias
US11177175B2 (en) 2003-12-10 2021-11-16 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US8748311B2 (en) 2003-12-10 2014-06-10 Micron Technology, Inc. Microelectronic devices and methods for filing vias in microelectronic devices
US10010977B2 (en) 2004-05-05 2018-07-03 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US9452492B2 (en) 2004-05-05 2016-09-27 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8536485B2 (en) 2004-05-05 2013-09-17 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8664562B2 (en) 2004-05-05 2014-03-04 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8686313B2 (en) 2004-05-05 2014-04-01 Micron Technology, Inc. System and methods for forming apertures in microfeature workpieces
US7829976B2 (en) 2004-06-29 2010-11-09 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US20060042952A1 (en) * 2004-08-24 2006-03-02 Oliver Steven D Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
US20090008144A1 (en) * 2004-08-27 2009-01-08 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US8322031B2 (en) 2004-08-27 2012-12-04 Micron Technology, Inc. Method of manufacturing an interposer
US8669179B2 (en) 2004-09-02 2014-03-11 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7683458B2 (en) 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US8502353B2 (en) 2004-09-02 2013-08-06 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7956443B2 (en) 2004-09-02 2011-06-07 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US9214391B2 (en) 2004-12-30 2015-12-15 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US9293367B2 (en) 2005-06-28 2016-03-22 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US8008192B2 (en) 2005-06-28 2011-08-30 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US11476160B2 (en) 2005-09-01 2022-10-18 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7915736B2 (en) 2005-09-01 2011-03-29 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20100052183A1 (en) * 2005-09-01 2010-03-04 Micron Technology, Inc. Microfeature workpiece substrates having through-substrate vias, and associated methods of formation
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7973411B2 (en) 2006-08-28 2011-07-05 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US20080050911A1 (en) * 2006-08-28 2008-02-28 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US8610279B2 (en) 2006-08-28 2013-12-17 Micron Technologies, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US9099539B2 (en) 2006-08-31 2015-08-04 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US9570350B2 (en) 2006-08-31 2017-02-14 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7585780B2 (en) * 2006-12-26 2009-09-08 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
US20080153247A1 (en) * 2006-12-26 2008-06-26 Hynix Semiconductor Inc. Method For Manufacturing Semiconductor Device
US8536046B2 (en) 2007-08-31 2013-09-17 Micron Technology Partitioned through-layer via and associated systems and methods
US7830018B2 (en) 2007-08-31 2010-11-09 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US8367538B2 (en) 2007-08-31 2013-02-05 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US9281241B2 (en) 2007-12-06 2016-03-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20090146312A1 (en) * 2007-12-06 2009-06-11 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8247907B2 (en) 2007-12-06 2012-08-21 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20130078776A1 (en) * 2011-09-23 2013-03-28 Samsung Electronics Co., Ltd. Methods of Manufacturing a Three-Dimensional Semiconductor Device
US9153597B2 (en) * 2011-09-23 2015-10-06 Samsung Electronics Co., Ltd. Methods of manufacturing a three-dimensional semiconductor device
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
US11121027B2 (en) 2017-12-08 2021-09-14 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
TWI790327B (en) * 2017-12-08 2023-01-21 日商東京威力科創股份有限公司 High aspect ratio via etch using atomic layer deposition protection layer

Also Published As

Publication number Publication date
US7176122B2 (en) 2007-02-13
US20040175925A1 (en) 2004-09-09

Similar Documents

Publication Publication Date Title
US20070042598A1 (en) Dielectric with sidewall passivating layer
US6180518B1 (en) Method for forming vias in a low dielectric constant material
US6323121B1 (en) Fully dry post-via-etch cleaning method for a damascene process
US6358842B1 (en) Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6007733A (en) Hard masking method for forming oxygen containing plasma etchable layer
US6040248A (en) Chemistry for etching organic low-k materials
KR101046862B1 (en) Organic BARC Etching Processes Can Be Used to Form Low Ultra-double Damascene Integrated Circuits
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
USRE38914E1 (en) Dual damascene patterned conductor layer formation method without etch stop layer
US5691246A (en) In situ etch process for insulating and conductive materials
US20050079706A1 (en) Dual damascene structure and method
US6174800B1 (en) Via formation in a poly(arylene ether) inter metal dielectric layer
US20020076935A1 (en) Anisotropic etching of organic-containing insulating layers
US6184119B1 (en) Methods for reducing semiconductor contact resistance
US20070148965A1 (en) Method and composition for plasma etching of a self-aligned contact opening
US6355572B1 (en) Method of dry etching organic SOG film
KR100382376B1 (en) Semiconductor device and method of manufacturing the same
US6114253A (en) Via patterning for poly(arylene ether) used as an inter-metal dielectric
US7632689B2 (en) Methods for controlling the profile of a trench of a semiconductor structure
US6492276B1 (en) Hard masking method for forming residue free oxygen containing plasma etched layer
US6524944B1 (en) Low k ILD process by removable ILD
US7172965B2 (en) Method for manufacturing semiconductor device
US7192531B1 (en) In-situ plug fill
US7030009B2 (en) Method for forming metal interconnect in a carbon containing silicon oxide film

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION