US20070062817A1 - Method of coating a surface of a substrate with a metal by electroplating - Google Patents

Method of coating a surface of a substrate with a metal by electroplating Download PDF

Info

Publication number
US20070062817A1
US20070062817A1 US11/266,798 US26679805A US2007062817A1 US 20070062817 A1 US20070062817 A1 US 20070062817A1 US 26679805 A US26679805 A US 26679805A US 2007062817 A1 US2007062817 A1 US 2007062817A1
Authority
US
United States
Prior art keywords
copper
aforementioned
coating
electroplating
electroplating bath
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/266,798
Inventor
Herve Monchoix
Frederic Raynal
Jerome Daviot
Jose Gonzalez
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Alchimer SA
Original Assignee
Alchimer SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alchimer SA filed Critical Alchimer SA
Assigned to ALCHIMER reassignment ALCHIMER ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RAYNAL, FREDERIC, DAVIOT, JEROME, GONZALEZ, JOSE, MONCHOIX, HERVE
Priority to US11/992,372 priority Critical patent/US8574418B2/en
Publication of US20070062817A1 publication Critical patent/US20070062817A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/627Electroplating characterised by the visual appearance of the layers, e.g. colour, brightness or mat appearance
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Definitions

  • the present invention relates in general to a method of coating a surface of a substrate with a metal, and more particularly copper, by electroplating, in particular a surface consisting of an electrically resistive material.
  • the invention is especially applicable in the field of microelectronics for the fabrication of interconnects in integrated circuits. It is also applicable in other fields of electronics, for the fabrication of inter-connects in printed circuits (called printed circuit boards or printed wire boards) or for the fabrication of passive elements, such as inductors, or in the electromechanical field in integrated circuits or in Microsystems (called microelectromechanical systems).
  • electroroplating is understood here to mean a method of covering a surface of a substrate with a metallic or organometallic coating, in which the substrate is electrically biased and brought into contact with a liquid that contains precursors of the said metallic or organometallic coating, so as to form the said coating.
  • the electroplating is for example carried out by passing a current between the substrate to be coated which constitutes an electrode (the cathode in the case of a metallic or organometallic coating) and a second electrode (the anode) in a bath containing a source of precursors of the coating material (for example metal ions in the case of a metallic coating) and optionally various agents intended to improve the properties of the coating formed (uniformity and fineness of the deposit, resistivity, etc.), optionally with a reference electrode being present.
  • a source of precursors of the coating material for example metal ions in the case of a metallic coating
  • various agents intended to improve the properties of the coating formed optionally with a reference electrode being present.
  • Copper electroplating is used in particular in the microelectronics field for the fabrication of interconnects in integrated circuits.
  • Integrated circuits are generally fabricated by forming active semiconductor devices, especially transistors, on the surface of silicon wafers, the said semiconductor devices being connected together by a system of metal interconnects consisting of “lines” and “contacts”, also called “vias” placed in superimposed levels and obtained by respectively filling “trenches” and “wells” also called “interconnection holes”, made in the dielectric layers.
  • the interconnects are generally produced by a sequence of steps comprising:
  • the barrier layer generally has too high a resistance for copper to be electrochemically deposited homogeneously or uniformly at the wafer scale, an effect known to those skilled in the art by the term “ohmic drop”.
  • the high resistance of the barrier layer results both from the high resistivity of its constituent materials (generally metal nitrides) and from its small thickness (generally from a few nm to a few tens of nm, depending on the integrated circuit generation), which thickness is imposed by the small size of the interconnect features.
  • a seed layer is generally necessary, prior to the copper electroplating step, to cover the barrier layer—using a non-electrochemical method—with a thin layer of metallic copper, called a seed layer.
  • This seed layer like the barrier layer, is currently produced by vapor phase deposition techniques such as PVD (physical vapor deposition) or CVD (chemical vapor deposition) deposition processes.
  • the thickness of the copper seed layers at the present time is around 30 nm and should rapidly move towards 10 nm or less.
  • CVD deposition produces a conformal copper layer, that is to say one that accurately matches the topography of the surface to be coated, and does so for a wide range of aspect ratios.
  • adhesion to the diffusion barriers of the copper layers formed by chemical deposition is poor. This limits in practice the benefit of this type of process since as strong adhesion between the copper and the barrier is required in order to ensure reliability of the structures constituting the interconnects.
  • PVD deposition is presently preferred from the industrial standpoint because it allows surfaces having a high resistance to be coated with better adhesion of the copper to the barrier than obtained with CVD processes.
  • the thickness of the coating deposited by PVD is directly proportional to the solid angle seen from the surface to be coated. Consequently, those portions of the surface having salient angles are covered with a thicker layer than those portions of the surface having re-entrant angles.
  • the copper seed layers formed by physical vapor deposition are not conformal, and therefore do not have a uniform thickness at every point on the surface of the substrate.
  • shadow or overhang effects are observed at the sharp edges of trenches or vias, up to the point of obstructing their apertures and then making it impossible to fill them.
  • the sidewalls of the trenches and vias may be covered with an insufficient thickness of the seed layer, which then results in imperfect subsequent filling, missing material or voids.
  • the seed layer produced on the sidewalls of the features exhibits by nature an adhesion that differs from that deposited on the flat surface of the substrate (at the top and bottom of the trenches and vias). This may lead to inferior reliability properties, such as resistance to electromigration. In other words, the non-conformal coverage does not solely result in differences in thickness as lack of continuity and poor adhesion of the layer on the sidewalls of the trenches and vias may also arise therefrom.
  • the electroplating technique presented here constitutes an advantageous alternative to chemical vapor deposition or physical vapor deposition processes, and to the more conventional metal electroplating techniques, which cannot be implemented on resistive substrates.
  • Sheet resistance is a quantity used by those skilled in the art for measuring electrical resistance of thin films or layers. It is expressed in ohms/square and is equivalent to the resistivity for a two-dimensional system, that is to say one in which the current flows in the plane of the layer and not in a plane perpendicular to this layer. Mathematically, the value of the sheet resistance is obtained by dividing the resistivity (expressed in ohms ⁇ cm or microohms ⁇ cm) of the constituent material of the layer by the thickness (expressed in m or nm) of this layer.
  • conventional copper electroplating is mainly used for filling the trenches and wells in the damascene process by applying a DC current to a wafer covered beforehand with a seed layer and immersed in an acid copper sulphate bath containing additives.
  • Copper electroplating has also been recommended, for example in U.S. Pat. No. 6,811,675, for filling any voids in the seed layer (seed enhancement) or for repairing this layer (seed repair).
  • a first step is carried out, preferably by a physical vapor deposition process, in which a non-uniform “ultrathin” (thickness of about 20 nm) copper seed layer is deposited and then in a second step the conformality of the layer is improved by electroplating using an alkaline electroplating solution (the pH of which is greater than 9) containing copper sulphate, a copper complexing agent, preferably citric acid, and optionally boric acid in order to improve the brightness of the coating and/or ammonium sulphate for reducing the resistivity of the coating.
  • the DC current density applied during electroplating is between 1 mA/cm 2 and 5 mA/cm 2 .
  • the object of the present invention is to solve a new technical problem, namely of how to provide a method of coating a surface of a substrate by electroplating with a metal which makes it possible in particular to produce continuous and conformal copper seed layers having a thickness of the order of 10 nm or less and exhibiting excellent adhesion to diffusion barrier surfaces that may have a high surface resistance of up to a few megohms/square.
  • the object of the present invention is in general a method of coating a surface of a substrate with copper by electroplating, characterized in that it comprises:
  • the step of forming the copper coating is carried out in a relatively conventional manner, but it has been observed that, unlike the processes described in the prior art and especially in U.S. Pat. No. 6,811,675, the level of current needed to form the coating is much lower (at least five times lower than the mean value mentioned in this prior patent, and in any case outside the process windows mentioned therein).
  • “Hot entry”, that is to say entry under bias, is the standard practice in processes for electrochemically depositing copper for filling trenches and wells. This is because, since the electroplating solution is generally acidic, it is likely to cause etching of the seed layer and consequently electroplating is prevented, something which must be avoided.
  • the surface of the substrate to be coated is preferably kept in contact with the electroplating bath for a period of at least 5 seconds.
  • this adhesion may be determined by peeling an adhesive tape bonded to the upper surface of the assembly, for example by using a pulling test system. The adhesion measured in this way, or interfacial energy expressed in J/m 2 , characterizes overall both the adherence of the seed layer to the barrier and that of the thick copper layer to the seed layer.
  • the duration of the “cold” entry step is less than 5 seconds, the adhesion of the copper layer to the substrate, although improved compared with entry under bias, may remain insufficient in certain cases, especially those requiring the deposition of a copper seed layer in direct contact with a barrier layer of an integrated circuit inter-connect.
  • the interfacial energy measured by the peel force is substantially equal to, or even greater than that obtained by sputtering processes (greater than 10 J/m 2 ).
  • the substrate surface coated with the copper is removed from the electroplating bath while under electrical bias preferably for a period of between 1 and 10 seconds, more preferably for a period of about 1 to 5 seconds.
  • the “hot exit” step is carried out in potentiostatic mode, that is to say by keeping the electric potential of the wafer at a fixed value, this potential being measured either relative to the counterelectrode of the circuit or relative to a reference electrode, preferably at the same voltage level as during the coating deposition step when this is also carried out in potentiostatic mode.
  • the step of forming the coating by electroplating is carried out for a duration sufficient to form the desired coating.
  • This duration may be easily determined by a person skilled in the art, the growth of the film being a function of the charge, which is equal to the time integral of the electric current flowing in the circuit over the deposition time (Faraday's law).
  • the surface to be coated is biased, either in galvanostatic mode (with a fixed set current) or in potentiostatic mode (with a fixed set potential, optionally relative to a reference electrode) or else in pulsed mode (either the current or the voltage being pulsed).
  • a satisfactory coating can be obtained by biasing in galvanostatic mode preferably within the current range from 0.1 mA/cm 2 (milliamps per square centimetre) to 5 mA/cm 2 , and more particularly from 0.1 mA/cm 2 to 1 mA/cm 2 .
  • a satisfactory coating may also be obtained by biasing in potentiostatic mode, by imposing a cell voltage in such a way that the resulting cell current lies within the same current range as indicated previously.
  • the cell voltage depends in particular on cell design parameters, such as the distance from the counterelectrode or the presence of a membrane, it will be easy for a person skilled in the art to determine the cell voltage by measuring and adjusting the current obtained for a given potential and a given configuration.
  • a satisfactory coating may also be obtained by biasing in pulsed mode, preferably so as to impose voltage pulses.
  • this step may be carried out so as to impose voltage pulses corresponding to a maximum current per unit area within the range from 0.1 mA/cm 2 to 5 mA/cm 2 , and more particularly from 0.1 mA/cm 2 to 1 mA/cm 2 , and to a minimum current per unit area within the range from 0 mA/cm 2 to 0.5 mA/cm 2 , and more particularly from 0 mA/cm 2 to 0.1 mA/cm 2 .
  • the duration of bias at the maximum voltage may be between 0.15 and 5 seconds, for example around 2 seconds for a voltage corresponding to a maximum current per unit area of around 0.5 mA/cm 2
  • the duration of bias at the minimum voltage may be between 0.15 and 7 seconds, for example around 3 seconds, for a voltage corresponding to a minimum current per unit area of around 0.05 mA/cm 2 .
  • the number of cycles to be performed during this step depends on the desired thickness of the coating.
  • the latter method of implementing the invention has been used in particular to produce copper seed layers on highly resistive substrates, the sheet resistance of which may be up to 100 000 ohms/square, or even a few megohms/square.
  • the electroplating bath (or composition) used in the method according to the present invention comprises, in solution in a solvent, a source of copper ions and at least one specific copper complexing agent, preferably in a particular copper/complexing agent(s) molar ratio, and more preferably this bath must have a pH of less than 7.
  • the copper complexing agents that can be used within the context of the present invention may be chosen from:
  • the nitrogen heterocycles that constitute one of the preferred classes of complexing agents that can be used within the context of the present invention may be defined as monocyclic or polycyclic compounds, whether fused or not, having 1 to 8 nitrogen atoms, each ring of which contains 5 to 6 members and may or may not be substituted with 1 to 8 atoms or groups of atoms chosen from halogens, hydroxyl and alkyl groups having from 1 to 6 carbon atoms.
  • the electroplating composition may include one or more complexing agents.
  • Such agents which constitute the copper complexing agents currently preferred according to the invention, are especially pyridine, 2,2′-bipyridine and the mixtures thereof.
  • the electroplating composition includes a source of copper ions, in particular cupric (Cu 2+ ) ions.
  • the aforementioned source of copper ions is a copper salt such as in particular copper sulphate, copper chloride, copper nitrate or copper acetate, preferably copper sulphate.
  • the source of copper ions is present within the electroplating composition with a concentration of between 0.4 and 40 mM.
  • the copper ion source/copper complexing agent(s) molar ratio in the electroplating composition used in the method according to the invention is between 0.1 and 2.5, preferably between 0.3 and 1.3.
  • this electroplating composition advantageously has a pH of less than 7, preferably between 3.5 and 6.5.
  • the pH of the composition may optionally be adjusted within the aforementioned pH by means of a buffer, such as one of those described in “Handbook of Chemistry and Physics”, 84th edition, David R. Lide, CRC Press.
  • a currently preferred electroplating composition comprises, in aqueous solution:
  • the present invention will now be illustrated by the following non-limiting examples in which the method according to the invention is used to deposit a copper seed layer on silicon substrates coated with a copper diffusion barrier layer. This method is especially applicable in the fabrication of copper interconnect structures for integrated circuits.
  • the substrate used in this example consisted of a 200 mm diameter silicon wafer covered with a silica layer having a thickness of 400 nm, itself coated with a tantalum nitride (TaN) layer having a thickness of 15 nm deposited by reactive sputtering and with a tantalum (Ta) layer having a thickness of 10 nm, also deposited by sputtering.
  • TaN tantalum nitride
  • Ta tantalum
  • This TaN/Ta “bilayer” constitutes a copper diffusion barrier as used in “double damascene” structures in the fabrication of copper interconnects for integrated circuits.
  • the electroplating solution used in this example was an aqueous solution containing: 0.3 g/l (or 1.7 mM) of 2,2′-bipyridine; 0.6 g/l (or 2.4 mM) of CuSO 4 .5H 2 O; and 0.3 ml/l (or 3.3 mM) of pyridine, the pH of the solution being around 6.
  • This equipment comprised an electroplating deposition cell in which the seed layer was deposited and a rinse/dry station was used after deposition.
  • the electroplating deposition cell comprised an anode, made either of an inert metal (for example platinum-coated titanium) or of a metal identical to that constituting the seed layer, in this case copper, the silicon wafer coated with the TaN/Ta barrier layer constituting the cathode of this cell.
  • an inert metal for example platinum-coated titanium
  • a metal identical to that constituting the seed layer, in this case copper the silicon wafer coated with the TaN/Ta barrier layer constituting the cathode of this cell.
  • This cell also included a stabilized power supply for delivering up to 30 V and 4 A and a device for electrically contacting the cathode, physically isolated from the solution by a seal.
  • This electrical contacting device generally had a ring shape and allowed the substrate to be biased at various contact points placed uniformly around the said substrate.
  • a device for supporting the wafer to be coated including means for rotating the said wafer at a predetermined speed.
  • the method according to the invention comprised the following various consecutive steps.
  • This step was divided into two substeps:
  • This step dependsed, as will have been understood, on the targeted thickness of the seed layer. This duration can be easily determined by a person skilled in the art, the growth of the film depending on the charge passed in the circuit.
  • the deposition rate was about 1 nm per coulomb of charge passed in the circuit.
  • the duration of the electroplating step was around 50 seconds for obtaining a coating having a thickness of 10 nm, and around 200 seconds for obtaining a coating having a thickness of 40 nm.
  • This step may be divided into two substeps:
  • the speed of rotation was then increased to 500 rounds per minute for 10 seconds, the cathode assembly bias being cut off during this final phase.
  • a pre-rinse with deionized water was carried out in the cell.
  • the rinsing water was then removed, and then a drying operation under a stream of nitrogen was carried out.
  • the exit step and in particular the removal of the cathode assembly from the electroplating solution, was carried out under voltage bias at the same level as during the step of forming the coating.
  • Conformality was assessed by observing scanning electron microscope cross sections and comparing the thickness of the seed layer on the horizontal surfaces with that on the vertical surfaces.
  • the sheet resistance was measured using a “4-point” measurement instrument well known to those skilled in the art.
  • the adhesion or interfacial energy was measured after electrochemical deposition of a 500 nm thick copper layer (plating layer or fill layer or thick copper or thick layer) on the seed layer. This measurement was carried out using an apparatus (pulling test system) that applied an increasing vertical pulling force on the surface via a strong adhesive tape until the copper layer (seed layer and plating layer) separates from the substrate.
  • the work of this force force multiplied by length of the layer that has delaminated
  • the method according to the present invention is used for depositing a copper seed layer on diffusion barriers having a high resistance.
  • the substrate used in this example consisted of a 200 mm diameter silicon wafer coated with a silica layer having a thickness of 400 nm, itself coated with a tantalum nitride (TaN) layer having a thickness of 5 nm deposited by the ALD (atomic layer deposition) technique.
  • ALD atomic layer deposition
  • This TaN layer constituting a copper diffusion barrier as used in “double damascene” structures for the copper interconnects of integrated circuits had a surface resistance of around 3500 ohms/square.
  • samples This wafer was then cut into rectangular pieces 1.5 cm in width and 6 cm in length, called hereafter “samples”.
  • the solution used in this example was an aqueous solution containing: 0.3 g/l (or 1.7 mM) of 2,2′-bipyridine; 0.6 g/l (or 2.4 mM) of CuSO 4 .5H 2 O; and 0.3 ml/l (or 3.3 mM) of pyridine, the pH of which was around 6.
  • a glass cell was used in which 100 ml of the aforementioned electroplating solution were introduced.
  • This cell was provided with a cover having two openings, opening into two enclosures in which, on the one hand, a sample holder and its electrical contacting device and, on the other hand, the anode formed from a platinum coated titanium part were fastened.
  • the electrical contacting device and the anode were connected to a potentiostat capable of delivering 20 V/2 A.
  • the method according to the invention comprised the following various consecutive steps.
  • This step may be divided into two substeps:
  • the cathode assembly was then voltage biased so as to impose voltage pulses on the cell, having a maximum of 8 V to 12 V (for example 10V) and a maximum between 0 V and 2 V (for example 1V).
  • the duration of biasing at 10 V was between 0.1 and 5 seconds (for example 2 seconds).
  • the duration of biasing at 1 V was between 0.15 and 7 seconds (for example 3 seconds).
  • each voltage application cycle therefore consisted of biasing for 2 seconds at 10 V followed by biasing for 3 seconds at 1 V.
  • the deposition rate was about 0.32 nm per cycle and 200 cycles were thus carried out in order to obtain a copper coating having a thickness of 65 nm.
  • the cathode assembly was then withdrawn vertically from the solution while still being under voltage bias of 10 V.
  • a copper fill layer was electrochemically deposited on this layer.
  • the seed layer/fill layer assembly had an interfacial energy of around 6 J/m 2 measured by the technique described in example 1.
  • the aforementioned protocol was also employed with coupons obtained from silicon wafers coated with barrier layers having high resistivities varying between 200 microhms ⁇ cm and 50 000 microhms ⁇ cm (i.e. between 400 and 100 000 ohms/square).
  • the method according to the present invention was used to deposit a copper seed layer on a ruthenium-based diffusion barrier.
  • the substrate used in this example consisted of a silicon coupon 6 cm in length by 2 cm in width, coated with a silica layer having a thickness of 400 nm, itself coated with a ruthenium (Ru) layer having a thickness of 30 nm deposited by sputtering.
  • the sheet resistance of this substrate was 7.5 ohms/square.
  • This Ru layer may constitute a copper diffusion barrier as used in “double damascene” structures in the fabrication of copper interconnects for advanced integrated circuits.
  • a glass electrolytic deposition cell made up of two parts was used, namely the cell intended to contain the electroplating solution, and a “cover” for maintaining the various electrodes in operative position.
  • the electrolytic deposition cell had three electrodes:
  • Connectors enabled the electrical contacting of the electrodes which were connected via electrical wires to a potentiostat delivering up to 10 V/2 A.
  • the electroplating solution was poured into the cell.
  • the various electrodes were placed on the cover of the electrolytic cell.
  • the electrodes were brought into contact with the electroplating solution. At this stage, the assembly thus formed was still not electrically biased (it was at its open-circuit potential).
  • the assembly was maintained in this state (i.e. under no electrical bias) for a period of 10 to 60 seconds (for example 30 seconds).
  • the cathode was biased in galvanostatic mode within a current range from 2 mA (or 0.25 mA/cm 2 ) to 8 mA (or 1 mA/cm 2 ) (for example 6 mA (or 0.75 mA/cm 2 )).
  • Degassing with argon may be employed—it allows some hydrodynamic regime to be established in the cell.
  • This step depended on the targeted thickness of the seed layer and could easily be determined by a person skilled in the art, the growth of the film being a function of the charge passed in the circuit.
  • the deposition rate was about 33 nm per coulomb of charge passed in the circuit.
  • the duration of the electroplating step was about 200 seconds in order to obtain a coating having a thickness of about 40 nm.
  • the cathode was then removed from the solution, the bias still being applied.
  • the cathode was then disconnected, and thoroughly rinsed with 18 M ⁇ deionized water, then dried using an argon gun with a gas pressure of around 2 bar.
  • This copper seed layer had a sheet resistance of 2.5 ohms/square measured by the method described in Example 1.
  • Table 1 gives some of the results obtained by implementing Examples 1 to 3 described above. More precisely, this table reports the sheet resistance and adhesion values (measured according to the protocols indicated in Example 1) obtained for copper seed layers of variable thicknesses. Similar trends were also observed for the sheet resistance before treatment of a few hundred ohms/square, or several tens of thousands of ohms/square or even up to a few megohms/square.
  • this table also reports the sheet resistance and adhesion values obtained from the compositions specifically used within the context of the invention by applying a standard electroplating method comprising a “hot” entry and a “cold” exit.
  • TABLE 1 Copper seed layer obtained according to: Sheet resistance (ohms/square) Thickness Before After Adhesion (nm) treatment treatment (J/m 2 ) Comparative 20 23 18 5 Example Example 1 20 23 8 11-13 Example 1 10 23 18 11-13 Example 2 65 3 500 0.5 6 Example 3 40 7.5 2.5 >20
  • the method according to the invention makes it possible in particular to produce continuous conformal copper seed layers that may have a thickness of around 10 nm or less and exhibit excellent adhesion to diffusion barrier surfaces.
  • Table 1 shows in particular that the copper seed films have a sheet resistance very much lower than that of the surface of the substrate, this sheet resistance being lower the thicker the seed layer.
  • the copper seed layers obtained are sufficiently conducting (of sufficiently low sheet resistance) to allow subsequent filling by conventional electroplating, including when the thickness of the copper seed layer is very small, such as for example around 10 nm or less.
  • the measured adhesion values are at least equivalent if not better than those that would be expected by a person skilled in the art within the context of the implementation of a sputtering process.

Abstract

The object of the present invention is a method of coating a surface of a substrate with copper by electroplating. According to the invention, this method comprises: a step during which the said surface to be coated is brought into contact with an electroplating bath while the said surface is not under electrical bias; a step of forming the coating during which the said surface is biased; a step during which the said surface is separated from the electroplating bath while it is under electrical bias; the aforementioned electroplating bath comprising, in solution in a solvent: a source of copper ions, with a concentration of between 0.4 and 40 mM; and at least one copper complexing agent.

Description

  • The present invention relates in general to a method of coating a surface of a substrate with a metal, and more particularly copper, by electroplating, in particular a surface consisting of an electrically resistive material.
  • The invention is especially applicable in the field of microelectronics for the fabrication of interconnects in integrated circuits. It is also applicable in other fields of electronics, for the fabrication of inter-connects in printed circuits (called printed circuit boards or printed wire boards) or for the fabrication of passive elements, such as inductors, or in the electromechanical field in integrated circuits or in Microsystems (called microelectromechanical systems).
  • The term “electroplating” is understood here to mean a method of covering a surface of a substrate with a metallic or organometallic coating, in which the substrate is electrically biased and brought into contact with a liquid that contains precursors of the said metallic or organometallic coating, so as to form the said coating. When the substrate is an electrical conductor, the electroplating is for example carried out by passing a current between the substrate to be coated which constitutes an electrode (the cathode in the case of a metallic or organometallic coating) and a second electrode (the anode) in a bath containing a source of precursors of the coating material (for example metal ions in the case of a metallic coating) and optionally various agents intended to improve the properties of the coating formed (uniformity and fineness of the deposit, resistivity, etc.), optionally with a reference electrode being present. By inter-national convention, the current flowing through and the voltage applied to the substrate of interest, that is to say the cathode of the electrochemical circuit, are negative. Throughout this text, when these currents and voltages are mentioned with a positive value, it is implicit that this value represents the absolute value of the said current or the said voltage.
  • Copper electroplating is used in particular in the microelectronics field for the fabrication of interconnects in integrated circuits. The good electrical conductivity of copper and its high resistance to the phenomenon of electromigration, that is to say the low migration of copper atoms under the effect of the electric current density that can be responsible to significantly deform the conductor and be a major cause of failure, makes it in particular a material of choice for the fabrication of metal interconnects for integrated circuits of increasingly smaller etched features.
  • Integrated circuits are generally fabricated by forming active semiconductor devices, especially transistors, on the surface of silicon wafers, the said semiconductor devices being connected together by a system of metal interconnects consisting of “lines” and “contacts”, also called “vias” placed in superimposed levels and obtained by respectively filling “trenches” and “wells” also called “interconnection holes”, made in the dielectric layers.
  • Since copper is difficult to etch and has a high diffusivity in many materials, the interconnects are generally produced by a sequence of steps comprising:
      • deposition of an insulating dielectric layer;
      • etching of the interconnect features in the said dielectric layer;
      • deposition of a barrier layer (generally made of tantalum, titanium nitride, tantalum nitride, tungsten nitride or tungsten carbide, for example) used to prevent copper migration;
      • filling of the lines and interconnection holes with copper; and
      • removal of the excess copper by chemical mechanical polishing.
  • This sequence of steps is known by the name “Damascene process”, which has been described for example by C. Y. Chang and S. M. Sze “ULSI Technology”, McGraw-Hill, New York, (1996), pages 444-445.
  • The barrier layer generally has too high a resistance for copper to be electrochemically deposited homogeneously or uniformly at the wafer scale, an effect known to those skilled in the art by the term “ohmic drop”. The high resistance of the barrier layer results both from the high resistivity of its constituent materials (generally metal nitrides) and from its small thickness (generally from a few nm to a few tens of nm, depending on the integrated circuit generation), which thickness is imposed by the small size of the interconnect features.
  • Consequently, it is generally necessary, prior to the copper electroplating step, to cover the barrier layer—using a non-electrochemical method—with a thin layer of metallic copper, called a seed layer. This seed layer, like the barrier layer, is currently produced by vapor phase deposition techniques such as PVD (physical vapor deposition) or CVD (chemical vapor deposition) deposition processes.
  • Owing to the critical dimensions of the lines and interconnection holes of current integrated circuits, and their trend towards ever smaller dimensions, the thickness of the copper seed layers at the present time is around 30 nm and should rapidly move towards 10 nm or less.
  • CVD deposition produces a conformal copper layer, that is to say one that accurately matches the topography of the surface to be coated, and does so for a wide range of aspect ratios. However, the adhesion to the diffusion barriers of the copper layers formed by chemical deposition is poor. This limits in practice the benefit of this type of process since as strong adhesion between the copper and the barrier is required in order to ensure reliability of the structures constituting the interconnects.
  • In addition, processes using chemical vapor deposition are relatively expensive because of the high cost of the consumables (the precursors), of the equipment needed to implement them and their low efficiency.
  • PVD deposition is presently preferred from the industrial standpoint because it allows surfaces having a high resistance to be coated with better adhesion of the copper to the barrier than obtained with CVD processes.
  • The thickness of the coating deposited by PVD is directly proportional to the solid angle seen from the surface to be coated. Consequently, those portions of the surface having salient angles are covered with a thicker layer than those portions of the surface having re-entrant angles. As a result, the copper seed layers formed by physical vapor deposition are not conformal, and therefore do not have a uniform thickness at every point on the surface of the substrate. In particular, shadow or overhang effects are observed at the sharp edges of trenches or vias, up to the point of obstructing their apertures and then making it impossible to fill them. Moreover, the sidewalls of the trenches and vias may be covered with an insufficient thickness of the seed layer, which then results in imperfect subsequent filling, missing material or voids. In addition, the seed layer produced on the sidewalls of the features exhibits by nature an adhesion that differs from that deposited on the flat surface of the substrate (at the top and bottom of the trenches and vias). This may lead to inferior reliability properties, such as resistance to electromigration. In other words, the non-conformal coverage does not solely result in differences in thickness as lack of continuity and poor adhesion of the layer on the sidewalls of the trenches and vias may also arise therefrom.
  • These limitations make it very tricky to use PVD technology in advanced generation integrated circuits with very small dimensions of the trenches and vias (of the order of a few tens of nanometers) and very high aspect ratios.
  • In this context, the electroplating technique presented here constitutes an advantageous alternative to chemical vapor deposition or physical vapor deposition processes, and to the more conventional metal electroplating techniques, which cannot be implemented on resistive substrates.
  • This is because conventional electroplating, which consists in applying in general a DC current to the substrate immersed in a bath containing metal ions, can be applied only to surfaces that are sufficiently conducting, that is to say typically having a sheet resistance of less than about a few ohms/square, which is not the case for the layers forming a copper diffusion barrier in the most advanced technologies, the sheet resistance of which is commonly a few tens of ohms/square to several hundred ohms/square and may be several tens of thousands of ohms/square.
  • Sheet resistance is a quantity used by those skilled in the art for measuring electrical resistance of thin films or layers. It is expressed in ohms/square and is equivalent to the resistivity for a two-dimensional system, that is to say one in which the current flows in the plane of the layer and not in a plane perpendicular to this layer. Mathematically, the value of the sheet resistance is obtained by dividing the resistivity (expressed in ohms·cm or microohms·cm) of the constituent material of the layer by the thickness (expressed in m or nm) of this layer.
  • At the present time, conventional copper electroplating is mainly used for filling the trenches and wells in the damascene process by applying a DC current to a wafer covered beforehand with a seed layer and immersed in an acid copper sulphate bath containing additives.
  • This process for filling the trenches and wells with metallic copper is described for example by Rosenberg et al., in “Copper metallization for high performance silicon technology”, Ann. Rev. Mater. Sci (2000), 30, 229-62.
  • The use of copper electroplating on a copper seed layer for filling trenches and wells has also been described in U.S. Pat. No. 6,893,550 incorporated herein by reference.
  • The electroplating method described in document U.S. Pat. No. 6,893,550 is essentially characterized:
      • on the one hand, in that it makes use of an electroplating bath whose specific chemical composition comprises at least one acid, preferably sulphuric acid, at least one halide ion, preferably chloride, and a combination of chemical agents capable of accelerating or suppressing formation of the coating; and
      • on the other hand, in that it consists in modifying the density of the current applied in predetermined sequences.
  • Copper electroplating has also been recommended, for example in U.S. Pat. No. 6,811,675, for filling any voids in the seed layer (seed enhancement) or for repairing this layer (seed repair).
  • In a preferred embodiment described in this prior document, a first step is carried out, preferably by a physical vapor deposition process, in which a non-uniform “ultrathin” (thickness of about 20 nm) copper seed layer is deposited and then in a second step the conformality of the layer is improved by electroplating using an alkaline electroplating solution (the pH of which is greater than 9) containing copper sulphate, a copper complexing agent, preferably citric acid, and optionally boric acid in order to improve the brightness of the coating and/or ammonium sulphate for reducing the resistivity of the coating. The DC current density applied during electroplating is between 1 mA/cm2 and 5 mA/cm2.
  • It is indicated in the above prior document that this electroplating process may also be used to produce a copper seed layer directly, but this possibility is not illustrated by any example and it appears not to be easily achievable owing to the high current densities mentioned in that document.
  • In this situation, the object of the present invention is to solve a new technical problem, namely of how to provide a method of coating a surface of a substrate by electroplating with a metal which makes it possible in particular to produce continuous and conformal copper seed layers having a thickness of the order of 10 nm or less and exhibiting excellent adhesion to diffusion barrier surfaces that may have a high surface resistance of up to a few megohms/square.
  • It has been discovered, and it is this that constitutes the basis of the present invention, that it is possible to solve this technical problem in a relatively simple manner, which can be used on an industrial scale, by using a specific electroplating bath and by controlling the conditions under which the surface to be coated is brought into contact with the electroplating bath prior to the formation of the coating and the conditions under which this surface is separated from the said bath after the coating has been formed.
  • Thus, the object of the present invention is in general a method of coating a surface of a substrate with copper by electroplating, characterized in that it comprises:
      • a step referred to as “cold entry” during which the said surface to be coated is brought into contact with an electroplating bath while the said surface is not under electrical bias;
      • a step of forming the coating during which the said surface is biased for a time long enough to form the said coating;
      • a step referred to as “hot exit” during which the said surface is separated from the electroplating bath while it is still under electrical bias; and in that the aforementioned electroplating bath comprises, in solution in a solvent:
      • a source of copper ions, with a concentration of between 0.4 and 40 mM; and
      • at least one copper complexing agent chosen from the group comprising primary aliphatic amines, secondary aliphatic amines, tertiary aliphatic amines, aromatic amines, nitrogen heterocycles, and oximes.
  • It has been observed, quite unexpectedly, that it is possible, with the aforementioned electroplating baths, to improve the adherence between the copper coating layer produced by electroplating and the barrier layer by bringing the surface to be coated into contact with the electroplating bath without being under electrical bias, that is to say without imposing an electric current or electric potential relative to a counterelectrode or relative to a reference electrode on this surface, prior to the electroplating step.
  • An even more substantial improvement in this adherence has been observed when the surface of the substrate to be coated is kept in contact with the electroplating bath for a period of at least 5 seconds after this contacting step and still prior to the electroplating step.
  • It has also been observed, surprisingly, that it is possible to obtain a seed layer having a conductivity compatible with electroplating filling methods, while keeping the coated surface under electrical bias, preferably for a time of between 1 and 10 seconds, after its removal from the electroplating bath.
  • It is therefore the “cold entry” and “hot exit” steps that constitute the essential characteristic steps of the method according to the present invention.
  • The step of forming the copper coating is carried out in a relatively conventional manner, but it has been observed that, unlike the processes described in the prior art and especially in U.S. Pat. No. 6,811,675, the level of current needed to form the coating is much lower (at least five times lower than the mean value mentioned in this prior patent, and in any case outside the process windows mentioned therein).
  • “Hot entry”, that is to say entry under bias, is the standard practice in processes for electrochemically depositing copper for filling trenches and wells. This is because, since the electroplating solution is generally acidic, it is likely to cause etching of the seed layer and consequently electroplating is prevented, something which must be avoided.
  • During the “cold entry” step of the method according to the invention, the surface of the substrate to be coated is preferably kept in contact with the electroplating bath for a period of at least 5 seconds.
  • It has been observed that by adjusting this parameter during the fabrication of just the seed layer it is possible, surprisingly, to improve the adhesion of the “seed layer/fill layer or thick copper or thick layer” assembly, that is to say the “operational” adhesion of the assembly for which the seed layer is produced. Specifically, even though it is in general difficult for the adhesion of a single seed layer to be measured directly, especially owing to its small thickness, it is observed that the adhesion of the seed layers produced according to the present invention is obviously very high. However, it is after production of a thick copper layer (with a thickness of about 500 nm to 1 micron, or more) that it is easier and more useful to determine the energy of adhesion of the “seed layer/fill layer or thick copper or thick layer” assembly to the barrier layer, which energy is the operational property of interest that is actually to be optimized. For example, this adhesion may be determined by peeling an adhesive tape bonded to the upper surface of the assembly, for example by using a pulling test system. The adhesion measured in this way, or interfacial energy expressed in J/m2, characterizes overall both the adherence of the seed layer to the barrier and that of the thick copper layer to the seed layer. It does not given precise information about one or the other interface, but it does allow the desired operational property to be quantified, namely the strength of the copper/barrier interface. In what follows, the expressions “adhesion of the seed layer”, “adhesion of the thick copper layer to the seed layer” and “the strength of the copper/barrier interface after filling” will thus be used interchangeably.
  • It has also been observed that if the duration of the “cold” entry step is less than 5 seconds, the adhesion of the copper layer to the substrate, although improved compared with entry under bias, may remain insufficient in certain cases, especially those requiring the deposition of a copper seed layer in direct contact with a barrier layer of an integrated circuit inter-connect.
  • On the other hand, if the duration of this step is 5 seconds or longer, preferably between 10 and 60 seconds and more preferably about 10 to 30 seconds, the interfacial energy measured by the peel force is substantially equal to, or even greater than that obtained by sputtering processes (greater than 10 J/m2).
  • There is no particular limit regarding the maximum duration of this step, but under the experimental conditions employed, no significant improvement in the adhesion is observed for a time of longer than about 60 seconds.
  • During the “hot exit” step of the method according to the invention, the substrate surface coated with the copper is removed from the electroplating bath while under electrical bias preferably for a period of between 1 and 10 seconds, more preferably for a period of about 1 to 5 seconds.
  • It has been observed in this case that a “cold exit”, that is to say exit while not under bias (that is to say again when the electrical bias of the substrate is interrupted before the said substrate is removed from the electroplating bath), the resistance of the copper coating remains very close to that of the barrier layer, even though it is sometimes possible to deposit a thick filling copper on such a coating with conventional electrochemical means.
  • In contrast, it has been observed, unexpectedly, that a “hot exit” results in an extremely thin (10 nm) seed layer having an electrical conductivity sufficient to allow the next step of filling with copper by electrochemical deposition using processes known to those skilled in the art.
  • Advantageously, the “hot exit” step is carried out in potentiostatic mode, that is to say by keeping the electric potential of the wafer at a fixed value, this potential being measured either relative to the counterelectrode of the circuit or relative to a reference electrode, preferably at the same voltage level as during the coating deposition step when this is also carried out in potentiostatic mode.
  • The combination of “cold entry” and “hot exit” steps in the method according to the invention makes it possible to achieve better adhesion of the “seed layer/copper filling” assembly more easily and reproducibly.
  • The step of forming the coating by electroplating is carried out for a duration sufficient to form the desired coating. This duration may be easily determined by a person skilled in the art, the growth of the film being a function of the charge, which is equal to the time integral of the electric current flowing in the circuit over the deposition time (Faraday's law).
  • During the step of forming the coating, the surface to be coated is biased, either in galvanostatic mode (with a fixed set current) or in potentiostatic mode (with a fixed set potential, optionally relative to a reference electrode) or else in pulsed mode (either the current or the voltage being pulsed).
  • It is also possible to combine these steps, for example a step in potentiostatic mode followed by a step in galvanostatic mode.
  • In general, a satisfactory coating can be obtained by biasing in galvanostatic mode preferably within the current range from 0.1 mA/cm2 (milliamps per square centimetre) to 5 mA/cm2, and more particularly from 0.1 mA/cm2 to 1 mA/cm2.
  • A satisfactory coating may also be obtained by biasing in potentiostatic mode, by imposing a cell voltage in such a way that the resulting cell current lies within the same current range as indicated previously. Although the cell voltage depends in particular on cell design parameters, such as the distance from the counterelectrode or the presence of a membrane, it will be easy for a person skilled in the art to determine the cell voltage by measuring and adjusting the current obtained for a given potential and a given configuration.
  • A satisfactory coating may also be obtained by biasing in pulsed mode, preferably so as to impose voltage pulses.
  • In general, this step may be carried out so as to impose voltage pulses corresponding to a maximum current per unit area within the range from 0.1 mA/cm2 to 5 mA/cm2, and more particularly from 0.1 mA/cm2 to 1 mA/cm2, and to a minimum current per unit area within the range from 0 mA/cm2 to 0.5 mA/cm2, and more particularly from 0 mA/cm2 to 0.1 mA/cm2.
  • According to one particular feature, the duration of bias at the maximum voltage may be between 0.15 and 5 seconds, for example around 2 seconds for a voltage corresponding to a maximum current per unit area of around 0.5 mA/cm2, whereas the duration of bias at the minimum voltage may be between 0.15 and 7 seconds, for example around 3 seconds, for a voltage corresponding to a minimum current per unit area of around 0.05 mA/cm2.
  • The number of cycles to be performed during this step depends on the desired thickness of the coating.
  • In general, a person skilled in the art will readily determine the number of cycles to be performed knowing that, under the aforementioned general conditions, illustrated by the examples below, it has been observed that the deposition rate is about 0.1 nm per cycle.
  • The latter method of implementing the invention has been used in particular to produce copper seed layers on highly resistive substrates, the sheet resistance of which may be up to 100 000 ohms/square, or even a few megohms/square.
  • The electroplating bath (or composition) used in the method according to the present invention comprises, in solution in a solvent, a source of copper ions and at least one specific copper complexing agent, preferably in a particular copper/complexing agent(s) molar ratio, and more preferably this bath must have a pH of less than 7.
  • In particular, excellent results have been obtained when, in the electroplating bath:
      • the copper/complexing agent(s) molar ratio is between 0.1 and 2.5, preferably between 0.3 and 1.3; and
      • the pH of the said composition is less than 7, preferably between 3.5 and 6.5.
  • Although in principle there is no restriction on the nature of the solvent (provided that it dissolves the active species of the solution sufficiently and does not interfere with the electroplating), water or a hydroalcoholic solution will be preferred.
  • The copper complexing agents that can be used within the context of the present invention may be chosen from:
      • primary aliphatic amines, in particular ethylamine, cyclohexylamine, ethylenediamine and cyclohexanediamine;
      • secondary aliphatic amines, in particular pyrrolidine;
      • tertiary aliphatic amines, in particular hydroxyethyldiethylamine and tetraethylenepentamine;
      • aromatic amines, in particular 1,2-diaminobenzene and 3,5-dimethylaniline;
      • nitrogen heterocycles, in particular pyridine, 2,2′-bipyridine, 8-hydroxyquinoline sulphonate, 1,10-phenanthroline, 3,5-dimethylpyridine and 2,2′-bipyrimidine; and
      • oximes, in particular dimethylglyoxime.
  • In general, the nitrogen heterocycles that constitute one of the preferred classes of complexing agents that can be used within the context of the present invention may be defined as monocyclic or polycyclic compounds, whether fused or not, having 1 to 8 nitrogen atoms, each ring of which contains 5 to 6 members and may or may not be substituted with 1 to 8 atoms or groups of atoms chosen from halogens, hydroxyl and alkyl groups having from 1 to 6 carbon atoms.
  • The electroplating composition may include one or more complexing agents.
  • It has been found that excellent results can be obtained with complexing agents capable of being adsorbed on a metal surface, resulting in ordered layers. Such agents, which constitute the copper complexing agents currently preferred according to the invention, are especially pyridine, 2,2′-bipyridine and the mixtures thereof.
  • In general, the electroplating composition includes a source of copper ions, in particular cupric (Cu2+) ions. Advantageously, the aforementioned source of copper ions is a copper salt such as in particular copper sulphate, copper chloride, copper nitrate or copper acetate, preferably copper sulphate.
  • According to one particular feature, the source of copper ions is present within the electroplating composition with a concentration of between 0.4 and 40 mM.
  • According to a preferred method of implementation, the copper ion source/copper complexing agent(s) molar ratio in the electroplating composition used in the method according to the invention is between 0.1 and 2.5, preferably between 0.3 and 1.3.
  • In general, this electroplating composition advantageously has a pH of less than 7, preferably between 3.5 and 6.5.
  • The pH of the composition may optionally be adjusted within the aforementioned pH by means of a buffer, such as one of those described in “Handbook of Chemistry and Physics”, 84th edition, David R. Lide, CRC Press.
  • A currently preferred electroplating composition comprises, in aqueous solution:
      • copper sulphate, with a concentration of between 0.4 and 40 mM;
      • a mixture of pyridine and 2,2′-bipyridine as copper complexing agents;
      • the copper/copper complexing agents molar ratio being between 0.3 and 1.3; and
      • the pH of the said composition being less than 7, preferably between 3.5 and 6.5.
  • The present invention will now be illustrated by the following non-limiting examples in which the method according to the invention is used to deposit a copper seed layer on silicon substrates coated with a copper diffusion barrier layer. This method is especially applicable in the fabrication of copper interconnect structures for integrated circuits.
  • EXAMPLE 1 Deposition of a Copper Seed Layer on a TaN/Ta Barrier Layer According to a First Method of Implementing the Invention
  • A. Apparatus and Equipment
  • Substrate:
  • The substrate used in this example consisted of a 200 mm diameter silicon wafer covered with a silica layer having a thickness of 400 nm, itself coated with a tantalum nitride (TaN) layer having a thickness of 15 nm deposited by reactive sputtering and with a tantalum (Ta) layer having a thickness of 10 nm, also deposited by sputtering.
  • This TaN/Ta “bilayer” constitutes a copper diffusion barrier as used in “double damascene” structures in the fabrication of copper interconnects for integrated circuits.
  • Electroplating Solution:
  • The electroplating solution used in this example was an aqueous solution containing: 0.3 g/l (or 1.7 mM) of 2,2′-bipyridine; 0.6 g/l (or 2.4 mM) of CuSO4.5H2O; and 0.3 ml/l (or 3.3 mM) of pyridine, the pH of the solution being around 6.
  • Equipment:
  • In this example, an electroplating deposition equipment representative of that employed in the microelectronics industry, model Equinox™ from Semitool®, capable of processing 200 mm wafers was used.
  • This equipment comprised an electroplating deposition cell in which the seed layer was deposited and a rinse/dry station was used after deposition.
  • The electroplating deposition cell comprised an anode, made either of an inert metal (for example platinum-coated titanium) or of a metal identical to that constituting the seed layer, in this case copper, the silicon wafer coated with the TaN/Ta barrier layer constituting the cathode of this cell.
  • This cell also included a stabilized power supply for delivering up to 30 V and 4 A and a device for electrically contacting the cathode, physically isolated from the solution by a seal. This electrical contacting device generally had a ring shape and allowed the substrate to be biased at various contact points placed uniformly around the said substrate.
  • It also included a device for supporting the wafer to be coated, including means for rotating the said wafer at a predetermined speed.
  • B. Experimental Protocol
  • The method according to the invention comprised the following various consecutive steps.
  • Step 1: “Cold Entry”
  • This step was divided into two substeps:
      • 1.1. The aforementioned substrate was introduced into the electroplating deposition cell so that the face having the TaN/Ta barrier layer came into contact with the electrical contacting device, the latter not yet being electrically powered.
      • 1.2. The assembly formed by the electrical contacting device and the substrate, which hereafter will be referred as the “cathode assembly”, was bought into contact, for example by immersion, with the electroplating solution. This contacting step, generally lasting 5 seconds or less (for example 2 seconds), was carried out while the device was still not electrically powered. According to one particular feature of the method according to the invention, the cathode assembly was then kept in the electroplating solution without being biased for a period of at least 5 seconds (for example around 30 seconds).
  • Step 2: Formation of the Copper Coating
      • The cathode assembly was then biased in potentiostatic mode by imposing a cell voltage corresponding to a current per unit area of generally between 0.4 mA/cm2 and 0.8 mA/cm2 (for example 0.6 mA/cm2) and at the same time rotated at a speed of 20 to 60 rounds per minute (for example 40 rounds per minute).
  • The duration of this step depended, as will have been understood, on the targeted thickness of the seed layer. This duration can be easily determined by a person skilled in the art, the growth of the film depending on the charge passed in the circuit.
  • Under the aforementioned conditions, the deposition rate was about 1 nm per coulomb of charge passed in the circuit.
  • In this example, the duration of the electroplating step was around 50 seconds for obtaining a coating having a thickness of 10 nm, and around 200 seconds for obtaining a coating having a thickness of 40 nm.
  • Step 3: “Hot Exit”
  • This step may be divided into two substeps:
      • 3.1. After the electroplating step, the copper-coated cathode assembly was withdrawn from the electroplating solution with a zero speed of rotation, while being maintained under voltage bias. The duration of this phase was about 2 seconds.
  • The speed of rotation was then increased to 500 rounds per minute for 10 seconds, the cathode assembly bias being cut off during this final phase.
  • A pre-rinse with deionized water was carried out in the cell.
      • 3.2. The substrate coated with the seed layer was then transferred into the rinsing/drying module in order to be rinsed with deionized water.
  • The rinsing water was then removed, and then a drying operation under a stream of nitrogen was carried out.
  • The rotation was then stopped so as to allow the dried coated substrate to be removed.
  • In this example, the exit step, and in particular the removal of the cathode assembly from the electroplating solution, was carried out under voltage bias at the same level as during the step of forming the coating.
  • C. Results Obtained
  • By applying the experimental protocol described above, copper layers of 10 nm, 20 nm and 40 nm in thickness exhibiting excellent conformality, adhesion and resistance characteristics were obtained.
  • Conformality was assessed by observing scanning electron microscope cross sections and comparing the thickness of the seed layer on the horizontal surfaces with that on the vertical surfaces.
  • The sheet resistance was measured using a “4-point” measurement instrument well known to those skilled in the art.
  • The adhesion or interfacial energy was measured after electrochemical deposition of a 500 nm thick copper layer (plating layer or fill layer or thick copper or thick layer) on the seed layer. This measurement was carried out using an apparatus (pulling test system) that applied an increasing vertical pulling force on the surface via a strong adhesive tape until the copper layer (seed layer and plating layer) separates from the substrate. The work of this force (force multiplied by length of the layer that has delaminated) is equivalent to the energy that had to be supplied in order to separate the copper layer from its substrate. By dividing this energy by the area that has delaminated, the energy per unit area is obtained.
  • Some of the results of the measurements taken are given in Table 1 below.
  • EXAMPLE 2 Deposition of a Copper Seed Layer on a TaN Barrier Layer According to a Second Method of Implementing the Invention
  • In this example, the method according to the present invention is used for depositing a copper seed layer on diffusion barriers having a high resistance.
  • A. Apparatus and Equipment
  • Substrate:
  • The substrate used in this example consisted of a 200 mm diameter silicon wafer coated with a silica layer having a thickness of 400 nm, itself coated with a tantalum nitride (TaN) layer having a thickness of 5 nm deposited by the ALD (atomic layer deposition) technique.
  • This TaN layer constituting a copper diffusion barrier as used in “double damascene” structures for the copper interconnects of integrated circuits had a surface resistance of around 3500 ohms/square.
  • This wafer was then cut into rectangular pieces 1.5 cm in width and 6 cm in length, called hereafter “samples”.
  • Electroplating Solution:
  • The solution used in this example was an aqueous solution containing: 0.3 g/l (or 1.7 mM) of 2,2′-bipyridine; 0.6 g/l (or 2.4 mM) of CuSO4.5H2O; and 0.3 ml/l (or 3.3 mM) of pyridine, the pH of which was around 6.
  • Equipment:
  • For this example, a glass cell was used in which 100 ml of the aforementioned electroplating solution were introduced.
  • This cell was provided with a cover having two openings, opening into two enclosures in which, on the one hand, a sample holder and its electrical contacting device and, on the other hand, the anode formed from a platinum coated titanium part were fastened.
  • The electrical contacting device and the anode were connected to a potentiostat capable of delivering 20 V/2 A.
  • B. Experimental Protocol
  • The method according to the invention comprised the following various consecutive steps.
  • Step 1: “Cold Entry”
  • This step may be divided into two substeps:
      • 1.1. Each specimen was introduced into the electroplating deposition cell so that the face having the TaN barrier layer came into contact with the electrical contacting device, the latter not yet being electrically powered.
      • 1.2. The assembly formed by the electrical contacting device and the substrate, which hereafter will be referred as the “cathode assembly”, was bought into contact, for example by immersion, with the electroplating solution. This contacting step, generally lasting 5 seconds or less (for example 2 seconds), was carried out while the device was still not electrically powered. According to one particular feature of the method according to the invention, the cathode assembly was then kept in the electroplating solution without being biased for a period of greater than 5 seconds (for example around 30 seconds).
  • Step 2: Formation of the Copper Coating
  • The cathode assembly was then voltage biased so as to impose voltage pulses on the cell, having a maximum of 8 V to 12 V (for example 10V) and a maximum between 0 V and 2 V (for example 1V).
  • The duration of biasing at 10 V was between 0.1 and 5 seconds (for example 2 seconds).
  • The duration of biasing at 1 V was between 0.15 and 7 seconds (for example 3 seconds).
  • In one specific example, each voltage application cycle therefore consisted of biasing for 2 seconds at 10 V followed by biasing for 3 seconds at 1 V.
  • Under these experimental conditions, the deposition rate was about 0.32 nm per cycle and 200 cycles were thus carried out in order to obtain a copper coating having a thickness of 65 nm.
  • Step 3: “Hot Exit”
  • The cathode assembly was then withdrawn vertically from the solution while still being under voltage bias of 10 V.
  • Each specimen was then rinsed by hand with deionized water for 30 seconds and dried with an argon gun for 30 seconds.
  • C. Results Obtained
  • In the specific example defined above, a copper seed layer having a sheet resistance of 0.5 ohm/square was obtained.
  • A copper fill layer was electrochemically deposited on this layer.
  • The seed layer/fill layer assembly had an interfacial energy of around 6 J/m2 measured by the technique described in example 1.
  • The aforementioned protocol was also employed with coupons obtained from silicon wafers coated with barrier layers having high resistivities varying between 200 microhms·cm and 50 000 microhms·cm (i.e. between 400 and 100 000 ohms/square).
  • Good results were obtained in terms of conformality, adhesion and resistance.
  • EXAMPLE 3 Deposition of a Copper Seed Layer on an Ru Barrier Layer According to a Third Method of Implementing the Invention
  • In this example, the method according to the present invention was used to deposit a copper seed layer on a ruthenium-based diffusion barrier.
  • Substrate:
  • The substrate used in this example consisted of a silicon coupon 6 cm in length by 2 cm in width, coated with a silica layer having a thickness of 400 nm, itself coated with a ruthenium (Ru) layer having a thickness of 30 nm deposited by sputtering. The sheet resistance of this substrate was 7.5 ohms/square.
  • This Ru layer may constitute a copper diffusion barrier as used in “double damascene” structures in the fabrication of copper interconnects for advanced integrated circuits.
  • Solution:
  • The solution used in this example was identical to that of Example 1.
  • Equipment:
  • In this example, a glass electrolytic deposition cell made up of two parts was used, namely the cell intended to contain the electroplating solution, and a “cover” for maintaining the various electrodes in operative position.
  • The electrolytic deposition cell had three electrodes:
      • an inert metal (platinum) anode;
      • the silicon coupon coated with the TaN/Ta layer, constituting the cathode; and
      • an Ag/AgClO4 reference electrode.
  • Connectors enabled the electrical contacting of the electrodes which were connected via electrical wires to a potentiostat delivering up to 10 V/2 A.
  • B. Experimental Protocol
      • The electroplating method used in this example was made up of the following various consecutive steps.
  • Step 1: “Cold Entry”
  • The electroplating solution was poured into the cell.
  • The various electrodes were placed on the cover of the electrolytic cell.
  • The electrodes were brought into contact with the electroplating solution. At this stage, the assembly thus formed was still not electrically biased (it was at its open-circuit potential).
  • The assembly was maintained in this state (i.e. under no electrical bias) for a period of 10 to 60 seconds (for example 30 seconds).
  • Step 2: Formation of the Copper Coating
  • The cathode was biased in galvanostatic mode within a current range from 2 mA (or 0.25 mA/cm2) to 8 mA (or 1 mA/cm2) (for example 6 mA (or 0.75 mA/cm2)).
  • Degassing with argon may be employed—it allows some hydrodynamic regime to be established in the cell.
  • The duration of this step depended on the targeted thickness of the seed layer and could easily be determined by a person skilled in the art, the growth of the film being a function of the charge passed in the circuit.
  • Under the aforementioned conditions, the deposition rate was about 33 nm per coulomb of charge passed in the circuit.
  • In this example, the duration of the electroplating step was about 200 seconds in order to obtain a coating having a thickness of about 40 nm.
  • Step 3: “Hot Exit”
  • The cathode was then removed from the solution, the bias still being applied.
  • The cathode was then disconnected, and thoroughly rinsed with 18 MΩ deionized water, then dried using an argon gun with a gas pressure of around 2 bar.
  • C. Results Obtained
  • By applying the experimental protocol described above, a continuous conformal copper layer 40 nm in thickness was obtained (this being observed in a scanning electron microscope).
  • This copper seed layer had a sheet resistance of 2.5 ohms/square measured by the method described in Example 1.
  • Table 1 below gives some of the results obtained by implementing Examples 1 to 3 described above. More precisely, this table reports the sheet resistance and adhesion values (measured according to the protocols indicated in Example 1) obtained for copper seed layers of variable thicknesses. Similar trends were also observed for the sheet resistance before treatment of a few hundred ohms/square, or several tens of thousands of ohms/square or even up to a few megohms/square.
  • For comparison, this table also reports the sheet resistance and adhesion values obtained from the compositions specifically used within the context of the invention by applying a standard electroplating method comprising a “hot” entry and a “cold” exit.
    TABLE 1
    Copper seed layer obtained according to:
    Sheet resistance
    (ohms/square)
    Thickness Before After Adhesion
    (nm) treatment treatment (J/m2)
    Comparative 20 23 18 5
    Example
    Example 1 20 23 8 11-13
    Example 1 10 23 18 11-13
    Example 2 65 3 500 0.5 6
    Example 3 40 7.5 2.5 >20
  • The observations made on scanning electron microscope cross sectional views showed that the copper seed layers obtained by implementing the method according to the invention are uniform and continuous and fully meet conformality requirements.
  • The results thus obtained also show that the method according to the invention makes it possible in particular to produce continuous conformal copper seed layers that may have a thickness of around 10 nm or less and exhibit excellent adhesion to diffusion barrier surfaces.
  • Table 1 shows in particular that the copper seed films have a sheet resistance very much lower than that of the surface of the substrate, this sheet resistance being lower the thicker the seed layer.
  • The copper seed layers obtained are sufficiently conducting (of sufficiently low sheet resistance) to allow subsequent filling by conventional electroplating, including when the thickness of the copper seed layer is very small, such as for example around 10 nm or less.
  • The measured adhesion values are at least equivalent if not better than those that would be expected by a person skilled in the art within the context of the implementation of a sputtering process.
  • These results therefore show that the method according to the invention clearly solves the technical problem posed.

Claims (13)

1. Method of coating a surface of a substrate with copper by electroplating, characterized in that it comprises:
a step referred to as “cold entry” during which the said surface to be coated is brought into contact with an electroplating bath while the said surface is not under electrical bias;
a step of forming the coating during which the said surface is biased for a time long enough to form the said coating;
a step referred to as “hot exit” during which the said surface is separated from the electroplating bath under electrical bias; and in that the aforementioned electroplating bath comprises, in solution in a solvent:
a source of copper ions, with a concentration of between 0.4 and 40 mM; and
at least one copper complexing agent chosen from the group comprising primary aliphatic amines, secondary aliphatic amines, tertiary aliphatic amines, aromatic amines, nitrogen heterocycles, and oximes.
2. Method according to claim 1, wherein, during the aforementioned “cold” entry step, the surface to be coated is kept in contact with the electroplating bath for a period of at least 5 seconds.
3. Method according to claim 1 wherein the aforementioned step of forming the coating is carried out by biasing in galvanostatic mode, preferably within the current range from 0.1 mA/cm2 (milliamps per square centimetre) to 5 mA/cm2, and more particularly from 0.1 mA/cm2 to 1 mA/cm2.
4. Method according to claim 1 wherein the aforementioned step of forming the coating is carried out by biasing in potentiostatic mode, preferably imposing a cell voltage so that the resulting cell current lies within the 0.1 mA/cm2 to 5 mA/cm2 range, and more particularly the 0.1 mA/cm2 to 1 mA/cm2 range.
5. Method according to claim 1 wherein the aforementioned step of forming the coating is carried out by biasing in pulsed mode, preferably so as to impose voltage pulses corresponding to a maximum cathode current per unit area within the range from 0.1 mA/cm2 to 5 mA/cm2, and more particularly from 0.1 mA/cm2 to 1 mA/cm2, and to a minimum cathode current per unit area within the range from 0 mA/cm2 to 0.5 mA/cm2, and more particularly from 0 mA/cm2 to 0.1 mA/cm2.
6. Method according to claim 1, wherein, during the “cold entry” step, the surface to be coated is maintained in contact with the electroplating bath for a period of between 10 and 60 seconds, preferably for a period of about 10 to 30 seconds.
7. Method according to claim 1, wherein the aforementioned substrate is a silicon wafer in the course of fabricating integrated circuits, the surface of which, to be coated, is that of a copper diffusion barrier layer, such as a bilayer based on tantalum nitride/tantalum (TaN/Ta) or just a tantalum nitride (TaN) layer.
8. Method according claim 1, wherein, during the “hot exit” step, the coated surface is withdrawn from the electroplating bath while under bias for a period of between 1 and 10 seconds, preferably for a period of about 1 to 5 seconds.
9. Method according to claim 1, wherein, in the aforementioned electroplating bath:
the copper/complexing agent(s) molar ratio is between 0.1 and 2.5, preferably between 0.3 and 1.3; and
the pH of the said composition is less than 7, preferably between 3.5 and 6.5.
10. Method according to claim 1, wherein, in the aforementioned electroplating bath, the aforementioned solvent is chosen from water and hydroalcoholic mixtures and the source of copper ions is a copper salt, such as in particular copper sulphate, copper chlorate, copper nitrate or copper acetate, preferably copper sulphate.
11. Method according to claim 1, wherein, in the aforementioned electroplating bath, the aforementioned copper complexing agent is a nitrogen heterocycle chosen from pyridine, 2,2′-bipyridine, 8-hydroxyquinoline sulphonate, 1,10-phenanthroline, 3,5-dimethylpyridine and 2,2′-bipyrimidine.
12. Method according to the aforementioned electroplating bath includes a mixture of pyridine and 2,2′-bipyridine as copper complexing agents.
13. Method according to one claim 1, wherein the aforementioned electroplating bath comprises, in aqueous solution:
copper sulphate, with a concentration of between 0.4 and 40 mM;
a mixture of pyridine and 2,2′-bipyridine as copper complexing agents;
the copper/complexing agents molar ratio being between 0.2 and 0.6; and
the pH of the said composition being less than 7, preferably between 3.5 and 6.5. one copper complexing agent.
US11/266,798 2005-09-20 2005-11-04 Method of coating a surface of a substrate with a metal by electroplating Abandoned US20070062817A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/992,372 US8574418B2 (en) 2005-09-20 2006-09-20 Electroplating method for coating a substrate surface with a metal

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR0509572 2005-09-20
FR0509572A FR2890984B1 (en) 2005-09-20 2005-09-20 ELECTRODEPOSITION PROCESS FOR COATING A SURFACE OF A SUBSTRATE WITH A METAL

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/992,372 Continuation-In-Part US8574418B2 (en) 2005-09-20 2006-09-20 Electroplating method for coating a substrate surface with a metal

Publications (1)

Publication Number Publication Date
US20070062817A1 true US20070062817A1 (en) 2007-03-22

Family

ID=36282645

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/266,798 Abandoned US20070062817A1 (en) 2005-09-20 2005-11-04 Method of coating a surface of a substrate with a metal by electroplating
US11/992,372 Active 2027-11-18 US8574418B2 (en) 2005-09-20 2006-09-20 Electroplating method for coating a substrate surface with a metal

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/992,372 Active 2027-11-18 US8574418B2 (en) 2005-09-20 2006-09-20 Electroplating method for coating a substrate surface with a metal

Country Status (10)

Country Link
US (2) US20070062817A1 (en)
EP (1) EP1927129B1 (en)
JP (1) JP5689221B2 (en)
KR (1) KR101295478B1 (en)
CN (1) CN101263246B (en)
CA (1) CA2622918A1 (en)
FR (1) FR2890984B1 (en)
IL (1) IL189662A (en)
TW (1) TWI434963B (en)
WO (1) WO2007034117A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070062818A1 (en) * 2005-09-20 2007-03-22 Alchimer Electroplating composition intended for coating a surface of a substrate with a metal
US20090294293A1 (en) * 2008-05-05 2009-12-03 Alchimer Electrodeposition composition and method for coating a semiconductor substrate using the said composition
US20090321933A1 (en) * 2008-06-27 2009-12-31 International Business Machines Corporation Structure to Facilitate Plating Into High Aspect Ratio Vias
US20100038256A1 (en) * 2005-09-20 2010-02-18 Alchimer Electroplating method for coating a substrate surface with a metal
US20110162701A1 (en) * 2010-01-03 2011-07-07 Claudio Truzzi Photovoltaic Cells
US20110192462A1 (en) * 2010-01-03 2011-08-11 Alchimer, S.A. Solar cells
US20120097547A1 (en) * 2010-10-25 2012-04-26 Universiteit Gent Method for Copper Electrodeposition
US8647535B2 (en) 2011-01-07 2014-02-11 International Business Machines Corporation Conductive metal and diffusion barrier seed compositions, and methods of use in semiconductor and interlevel dielectric substrates
US20180363158A1 (en) * 2016-12-29 2018-12-20 Aveni Copper electrodeposition solution and process for high aspect ratio patterns
US11078591B2 (en) 2016-11-03 2021-08-03 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009030167A (en) * 2007-07-02 2009-02-12 Ebara Corp Method and apparatus for treating substrate
EP2072644A1 (en) * 2007-12-21 2009-06-24 ETH Zürich, ETH Transfer Device and method for the electrochemical deposition of chemical compounds and alloys with controlled composition and or stoichiometry
KR20130108978A (en) * 2010-06-11 2013-10-07 알쉬메 Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
EP2528089B1 (en) * 2011-05-23 2014-03-05 Alchimer Method for forming a vertical electrical connection in a layered semiconductor structure
KR101783786B1 (en) 2011-06-24 2017-10-10 에이씨엠 리서치 (상하이) 인코포레이티드 Methods and apparatus for uniformly metallization on substrates
FR2995912B1 (en) * 2012-09-24 2014-10-10 Alchimer ELECTROLYTE AND ELECTRODEPOSITION METHOD OF COPPER ON A BARRIER LAYER
US9048292B2 (en) 2012-10-25 2015-06-02 Micron Technology, Inc. Patterning methods and methods of forming electrically conductive lines
US20140299476A1 (en) * 2013-04-09 2014-10-09 Ebara Corporation Electroplating method
CN111041533B (en) * 2019-12-31 2021-06-29 苏州清飙科技有限公司 Electroplating solution for electroplating pure cobalt and application thereof

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US611840A (en) * 1898-10-04 Toy puzzle
US5302278A (en) * 1993-02-19 1994-04-12 Learonal, Inc. Cyanide-free plating solutions for monovalent metals
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6309969B1 (en) * 1998-11-03 2001-10-30 The John Hopkins University Copper metallization structure and method of construction
US20010042689A1 (en) * 1998-03-20 2001-11-22 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6409903B1 (en) * 1999-12-21 2002-06-25 International Business Machines Corporation Multi-step potentiostatic/galvanostatic plating control
US20020180259A1 (en) * 2001-05-31 2002-12-05 Trw Inc. High integration electronic assembly and method
US6551484B2 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Reverse voltage bias for electro-chemical plating system and method
US20030155247A1 (en) * 2002-02-19 2003-08-21 Shipley Company, L.L.C. Process for electroplating silicon wafers
US6806186B2 (en) * 1998-02-04 2004-10-19 Semitool, Inc. Submicron metallization using electrochemical deposition
US20040206628A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Electrical bias during wafer exit from electrolyte bath
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US6893550B2 (en) * 2000-04-27 2005-05-17 Intel Corporation Electroplating bath composition and method of using
US6897152B2 (en) * 2003-02-05 2005-05-24 Enthone Inc. Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication
US20050199502A1 (en) * 2002-10-15 2005-09-15 International Business Machines Corporation Method for electroplating on resistive substrates
US20050274622A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Plating chemistry and method of single-step electroplating of copper on a barrier metal
US20060065537A1 (en) * 1999-05-17 2006-03-30 Barstad Leon R Electrolytic copper plating solutions
US20070062818A1 (en) * 2005-09-20 2007-03-22 Alchimer Electroplating composition intended for coating a surface of a substrate with a metal

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01219187A (en) 1988-02-25 1989-09-01 Ishihara Chem Co Ltd Copper electroplating solution
JP2678701B2 (en) * 1992-02-19 1997-11-17 石原薬品 株式会社 Electrolytic copper plating solution
JPH11269693A (en) * 1998-03-24 1999-10-05 Japan Energy Corp Deposition method of copper and copper plating liquid
US6123825A (en) * 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
JP4258011B2 (en) * 1999-03-26 2009-04-30 石原薬品株式会社 Electro-copper plating bath and semiconductor device in which copper wiring is formed by the plating bath
JP2002146585A (en) * 2000-11-07 2002-05-22 Kanto Chem Co Inc Electroplating solution
JP2002180259A (en) 2000-12-12 2002-06-26 Shipley Co Llc Metal deposition acceleration compound in plating liquid and plating liquid containing the same
JP4202016B2 (en) * 2000-12-13 2008-12-24 アンテルユニヴェルシテール・ミクロ−エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ Method for preparing an electroplating bath and associated copper plating process
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
JP2004323931A (en) * 2003-04-25 2004-11-18 Shibaura Institute Of Technology Copper plating solution, pretreatment solution for copper plating, copper plating film obtained by using them, and method of forming the same
JP2004346422A (en) * 2003-05-23 2004-12-09 Rohm & Haas Electronic Materials Llc Plating method
FR2890984B1 (en) 2005-09-20 2009-03-27 Alchimer Sa ELECTRODEPOSITION PROCESS FOR COATING A SURFACE OF A SUBSTRATE WITH A METAL

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US611840A (en) * 1898-10-04 Toy puzzle
US5302278A (en) * 1993-02-19 1994-04-12 Learonal, Inc. Cyanide-free plating solutions for monovalent metals
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6806186B2 (en) * 1998-02-04 2004-10-19 Semitool, Inc. Submicron metallization using electrochemical deposition
US6811675B2 (en) * 1998-03-20 2004-11-02 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US20010042689A1 (en) * 1998-03-20 2001-11-22 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6309969B1 (en) * 1998-11-03 2001-10-30 The John Hopkins University Copper metallization structure and method of construction
US6551484B2 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Reverse voltage bias for electro-chemical plating system and method
US20060065537A1 (en) * 1999-05-17 2006-03-30 Barstad Leon R Electrolytic copper plating solutions
US6409903B1 (en) * 1999-12-21 2002-06-25 International Business Machines Corporation Multi-step potentiostatic/galvanostatic plating control
US6893550B2 (en) * 2000-04-27 2005-05-17 Intel Corporation Electroplating bath composition and method of using
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US20020180259A1 (en) * 2001-05-31 2002-12-05 Trw Inc. High integration electronic assembly and method
US20030155247A1 (en) * 2002-02-19 2003-08-21 Shipley Company, L.L.C. Process for electroplating silicon wafers
US20050199502A1 (en) * 2002-10-15 2005-09-15 International Business Machines Corporation Method for electroplating on resistive substrates
US6897152B2 (en) * 2003-02-05 2005-05-24 Enthone Inc. Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication
US20040206628A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Electrical bias during wafer exit from electrolyte bath
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050274622A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Plating chemistry and method of single-step electroplating of copper on a barrier metal
US20070062818A1 (en) * 2005-09-20 2007-03-22 Alchimer Electroplating composition intended for coating a surface of a substrate with a metal

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9133560B2 (en) 2005-09-20 2015-09-15 Alchimer Electroplating composition for coating a substrate surface with a metal
US20090183993A1 (en) * 2005-09-20 2009-07-23 Alchimer Electroplating Composition for Coating a Substrate Surface with a Metal
US20100038256A1 (en) * 2005-09-20 2010-02-18 Alchimer Electroplating method for coating a substrate surface with a metal
US20070062818A1 (en) * 2005-09-20 2007-03-22 Alchimer Electroplating composition intended for coating a surface of a substrate with a metal
US8574418B2 (en) 2005-09-20 2013-11-05 Alchimer Electroplating method for coating a substrate surface with a metal
US20090294293A1 (en) * 2008-05-05 2009-12-03 Alchimer Electrodeposition composition and method for coating a semiconductor substrate using the said composition
US8591715B2 (en) * 2008-05-05 2013-11-26 Alchimer Electrodeposition composition and method for coating a semiconductor substrate using the said composition
US20090321933A1 (en) * 2008-06-27 2009-12-31 International Business Machines Corporation Structure to Facilitate Plating Into High Aspect Ratio Vias
US7964497B2 (en) 2008-06-27 2011-06-21 International Business Machines Corporation Structure to facilitate plating into high aspect ratio vias
US20110162701A1 (en) * 2010-01-03 2011-07-07 Claudio Truzzi Photovoltaic Cells
US20110192462A1 (en) * 2010-01-03 2011-08-11 Alchimer, S.A. Solar cells
US20120097547A1 (en) * 2010-10-25 2012-04-26 Universiteit Gent Method for Copper Electrodeposition
US8735284B2 (en) 2011-01-07 2014-05-27 International Business Machines Corporation Conductive metal and diffusion barrier seed compositions, and methods of use in semiconductor and interlevel dielectric substrates
US8647535B2 (en) 2011-01-07 2014-02-11 International Business Machines Corporation Conductive metal and diffusion barrier seed compositions, and methods of use in semiconductor and interlevel dielectric substrates
US11078591B2 (en) 2016-11-03 2021-08-03 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
US20180363158A1 (en) * 2016-12-29 2018-12-20 Aveni Copper electrodeposition solution and process for high aspect ratio patterns
US10883185B2 (en) * 2016-12-29 2021-01-05 Aveni Copper electrodeposition solution and process for high aspect ratio patterns

Also Published As

Publication number Publication date
WO2007034117A2 (en) 2007-03-29
CN101263246B (en) 2011-05-18
IL189662A0 (en) 2008-06-05
JP5689221B2 (en) 2015-03-25
TWI434963B (en) 2014-04-21
IL189662A (en) 2012-05-31
TW200728514A (en) 2007-08-01
KR20080047381A (en) 2008-05-28
EP1927129A2 (en) 2008-06-04
FR2890984B1 (en) 2009-03-27
US20100038256A1 (en) 2010-02-18
WO2007034117A3 (en) 2007-12-06
CA2622918A1 (en) 2007-03-29
EP1927129B1 (en) 2019-03-13
US8574418B2 (en) 2013-11-05
FR2890984A1 (en) 2007-03-23
KR101295478B1 (en) 2013-08-09
CN101263246A (en) 2008-09-10
JP2009509045A (en) 2009-03-05

Similar Documents

Publication Publication Date Title
US8574418B2 (en) Electroplating method for coating a substrate surface with a metal
US9133560B2 (en) Electroplating composition for coating a substrate surface with a metal
JP5346215B2 (en) Method and composition for direct copper plating and filling to form interconnects in the manufacture of semiconductor devices
US8591715B2 (en) Electrodeposition composition and method for coating a semiconductor substrate using the said composition
EP1064417A1 (en) Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US10472726B2 (en) Electrolyte and process for electroplating copper onto a barrier layer
US20130168255A1 (en) Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
WO2010133550A1 (en) Method for coating a semiconductor substrate by electrodeposition
TW201804022A (en) Copper electrodeposition process using tetramethylammonium

Legal Events

Date Code Title Description
AS Assignment

Owner name: ALCHIMER, FRANCE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MONCHOIX, HERVE;RAYNAL, FREDERIC;DAVIOT, JEROME;AND OTHERS;REEL/FRAME:017188/0578;SIGNING DATES FROM 20051025 TO 20051027

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION