US20070080138A1 - Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters - Google Patents

Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters Download PDF

Info

Publication number
US20070080138A1
US20070080138A1 US11/608,964 US60896406A US2007080138A1 US 20070080138 A1 US20070080138 A1 US 20070080138A1 US 60896406 A US60896406 A US 60896406A US 2007080138 A1 US2007080138 A1 US 2007080138A1
Authority
US
United States
Prior art keywords
parameters
wafer
chamber
plasma
values
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/608,964
Other versions
US7452824B2 (en
Inventor
Daniel Hoffman
Ezra Gold
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/440,364 external-priority patent/US7247218B2/en
Application filed by Individual filed Critical Individual
Priority to US11/608,964 priority Critical patent/US7452824B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GOLD, EZRA ROBERT, HOFFMAN, DANIEL J.
Publication of US20070080138A1 publication Critical patent/US20070080138A1/en
Application granted granted Critical
Publication of US7452824B2 publication Critical patent/US7452824B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Definitions

  • Plasma reactors employed in microelectronic circuit fabrication can etch or deposit thin film layers on a semiconductor substrate.
  • the etch rate, ion density, wafer voltage and wafer current are critical in controlling etch belectivity, wafer heating, etch striations, ion bombardment damage, etch stopping, feature size and other effects.
  • Such control becomes more critical as feature size decreases arid device density increases.
  • present techniques for measuring etch rate, ion density, wafer voltage and wafer current tend to be highly inaccurate (in the case of the wafer voltage) or must be performed by examining a test workpiece or wafer at the conclusion of processing (in the case of etch rate).
  • the plasma reactor control parameters (source power, bias power, chamber pressure, gas flow rate and the like) must be selected before processing a current workpiece based upon prior results obtained by processing other workpieces in the chamber.
  • target values for each of the reactor control parameters have been chosen to achieve a desired etch rate or a desired wafer voltage or a desired ion density, the target values must remain the same throughout the process step, and all efforts are dedicated to maintaining the chosen target values.
  • the chosen target value of one of the control parameters unexpectedly leads to a deviation from the desired processing parameter (e.g., etch rate)
  • this error will not be discovered until after the current workpiece has been processed and then examined, and therefore the current workpiece or wafer cannot be saved from this error.
  • the industry is typically plagued with significant losses in materiel and time.
  • a related problem is that plasma process evolution and design is slow and inefficient in that the discovery of optimal target values for the reactor control parameters of source power, bias power, chamber pressure and the like typically relies upon protracted trial and error methods.
  • the selection of target values for the many reactor control parameters e.g., source power, bias power, chamber pressure and the like
  • the selection of target values for the many reactor control parameters is a multi-dimensional problem.
  • the invention involves a method of characterizing a plasma reactor chamber through the behavior of many selected plasma parameters as functions of many selected chamber parameters.
  • the plasma parameters may be selected from a group including ion density, wafer voltage, etch rate and wafer current or other plasma parameters.
  • the chamber parameters are selected from a group including source power, bias power, chamber pressure, magnetic coil current in different magnetic coils, gas flow rates in different gas injection zones and species composition of the gas in different gas injection zones.
  • the method begins by performing two steps for each one of the selected chamber parameters.
  • the first step consists of ramping the level of the one chamber parameter while sampling RF electrical parameters at an RF bias power input to said wafer support pedestal and computing from each sample of said RF electrical parameters the values of the plasma parameters. These values are stored with the corresponding levels of the one chamber parameter as corresponding chamber parameter data.
  • the second step consists of deducing, from the corresponding chamber parameter data, a single variable function for each of the plural plasma parameters having the one chamber parameter as an independent variable.
  • the method continues with constructing combinations of these functions that are multi-variable functions having each of the selected chamber parameters as a variable.
  • the method constructs a set of surfaces, each individual surface corresponding to a respective constant value of the corresponding plasma parameter and defining simultaneous values of all of the selected chamber parameters. These surfaces are stored in memory for later use in controlling the chamber during wafer processing.
  • FIG. 1 illustrates a plasma reactor and a measurement instrument therefor.
  • FIG. 2 illustrates an electrical model of the plasma reactor employed by the measurement instrument.
  • FIG. 3 illustrates the structure of the measurement instrument of FIG. 1 .
  • FIG. 4 illustrates an input phase processor of the measurement instrument of FIG. 3 .
  • FIG. 5 illustrates a transmission line transformation processor in the measurement instrument of FIG. 3 .
  • FIG. 6 illustrates a grid-to-ground transformation processor in the measurement instrument of FIG. 3 .
  • FIG. 7 illustrates a grid-to-wafer transformation processor in the measurement instrument of FIG. 3 .
  • FIG. 8 illustrates a combined transformation processor in the measurement instrument of FIG. 3 .
  • FIG. 9 illustrates a process feedback control system for a plasma reactor that includes the measurement instrument of FIG. 3 .
  • FIG. 10 illustrates an alternative implementation of the process feedback control system.
  • FIG. 11 illustrates the measurement instrument of FIG. 3 , a constant contour generator and a process set point controller connected in a system with a plasma reactor.
  • FIGS. 12, 13 and 14 illustrate different contours of constant performance parameter values produced by the system of FIG. 11 .
  • FIG. 15 illustrates a method of finding an optimal operating point at the intersection of different contours of constant parameter values.
  • FIG. 16 illustrates the process set point controller in the system of FIG. 11 .
  • FIGS. 17, 18 and 19 illustrate respective operations performed by the process set point controller of the contour generator in the system of FIG. 11 .
  • FIG. 20 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 100 mT.
  • FIG. 21 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 30 mT.
  • FIG. 22 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 70 mT.
  • FIG. 23 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 150 mT.
  • FIG. 24 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 200 mT.
  • FIG. 25 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 250 mT.
  • FIG. 26 is a simplified block diagram of a plasma reactor in accordance with further embodiments of the invention.
  • FIGS. 27-32 depict a process for constructing single variable functions of different plasma parameters for the variables of source power, bias power and chamber pressure.
  • FIG. 27 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of plasma source power.
  • FIG. 28 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of plasma bias power.
  • FIG. 29 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of chamber pressure.
  • FIG. 30 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of inner magnet coil current.
  • FIG. 31 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of outer magnet coil current.
  • FIG. 32 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of gas flow rate or gas composition.
  • FIGS. 33-36 depict an example in which contours (i.e., surfaces) of constant value of four plasma parameters are produced from the single variable functions of FIGS. 27-32 in a three dimensional control space with dimensions of source power, bias power and chamber pressure.
  • FIG. 33 depicts a process for producing contours of constant value for the plasma parameter of wafer voltage in the three dimensional control space.
  • FIG. 34 depicts a process for producing contours of constant value for the plasma parameter of etch rate in the three dimensional control space.
  • FIG. 35 depicts a process for producing contours of constant value for the plasma parameter of plasma ion density in the three dimensional control space.
  • FIG. 36 depicts a process for producing contours of constant value for the plasma parameter of wafer current in the three dimensional control space.
  • FIG. 37 depicts a process for controlling three plasma parameters using the contours of constant value of FIGS. 33-36 .
  • FIG. 38 depicts the intersection of the contours of constant value in the three-dimensional control space in the process of FIG. 37 .
  • FIG. 39 is a view of the three-dimensional control space corresponding to that of FIG. 38 but for the underconstrained case in which only two contours of constant value are specified and therefore intersect along a curve, FIG. 39 depicting a method of varying the chamber parameters along the curve of intersection.
  • FIGS. 40-43 depict an example in which contours (i.e., surfaces) of constant value of four plasma parameters are produced from the single variable functions of FIGS. 27-32 in a four dimensional control space with dimensions of source power, bias power, gas flow rate (or composition) and magnet coil current.
  • FIG. 40 depicts a process for producing contours of constant value for the plasma parameter of wafer voltage in the four dimensional control space.
  • FIG. 41 depicts a process for producing contours of constant value for the plasma parameter of etch rate in the four dimensional control space.
  • FIG. 42 depicts a process for producing contours of constant value for the plasma parameter of plasma ion density in the four dimensional control space.
  • FIG. 43 depicts a process for producing contours of constant value for the plasma parameter of wafer current in the four dimensional control space.
  • FIG. 44 depicts a process for controlling four plasma parameters using the contours of constant value of FIGS. 40-43 .
  • FIG. 45 depicts an under-constrained version of the process of FIG. 44 in which only three plasma parameters are controlled in four dimensional control space by varying them along a trajectory or curve along which the three corresponding contours intersect in four-dimensional space.
  • FIG. 46 depicts a process for characterizing the reactor chamber and controlling M plasma parameters with N chamber parameters.
  • the present description pertains to a plasma reactor having a plasma source power applicator (such as an overhead electrode or antenna) in which plasma bias power is applied to the wafer through the wafer support pedestal.
  • a measurement instrument (described below) that is the first one known to instantaneously and accurately measure wafer voltage, wafer current, ion density and etch rate.
  • the measurement instrument uses only conventional electrical sensors at the bias power input that sense voltage, current and power at the output of an impedance match device coupled to the wafer support pedestal.
  • the measurement instrument is therefore non-invasive of the plasma etch process occurring within the reactor chamber in addition to being accurate. The degree of accuracy is surprising, surpassing even the best known instruments and measurement techniques currently in use.
  • plasma bias power is servoed or controlled to minimize the difference between a user-selected target value of the ion energy (or, equivalently, wafer voltage) and the actual ion energy sensed in real time by my measurement instrument.
  • plasma source power is servoed or controlled to minimize the difference between a user-selected target value of the plasma ion density and the actual plasma ion density sensed in real time by my measurement instrument and a user-selected target value for the ion density.
  • One surprising feature of my feedback controller is that a measurement at the bias power input is used to control the source power.
  • my measurement instrument provides instantaneous, accurate and simultaneous measurements of performance parameters such as wafer voltage (or, equivalently, ion energy), wafer current, ion density and etch rate, it has enabled me to observe accurately, for the first time, the real-time behavior of all these performance parameters simultaneously as a function of control parameters such as plasma source power, plasma bias power and others (e.g., chamber pressure, source power frequency, applied magnetic field, etc.).
  • control parameters of plasma source power level and plasma bias power level affect the set of performance parameters (e.g., etch rate, ion energy, ion density) in the manner of a pair of independent variables.
  • This discovery greatly simplifies the task of controlling plasma processing: by holding various other control parameters constant during processing (i.e., constant chamber pressure, constant gas flow rates, constant source power frequency and bias power frequency, etc.), the process is controlled entirely through the bias and source power levels.
  • I have generated curves in 2-dimensional source power-bias power space of constant etch rate, constant ion energy and constant ion density, for example.
  • a process controller responds to user-selected ranges for the various performance parameters (etch rate, ion energy, ion density) using the curves of constant etch rate, constant ion density and constant ion energy to instantaneously find a target value for the source power level and the bias power level.
  • This process controller provides the target values for the plasma source power level and plasma bias power level to the feedback controller referred to above.
  • control parameters e.g., bias and source power levels
  • desired set of performance parameter values e.g., etch rate
  • the control processor instantly specifies target control parameter values (target source power and bias power values) to the feedback controller referred to above.
  • target control parameter values target source power and bias power values
  • the user may specify different etch rates at different times during the same etch step, so that one etch rate prevails during the beginning of an etch process and another prevails toward the end of the process, for example.
  • the user need not specify any control parameters, but only the results he desires (i.e., the performance parameters such as etch rate, etc.).
  • a plasma reactor 100 has a chamber enclosure 105 enclosing a vacuum chamber 110 in which a wafer support pedestal 115 supports a semiconductor wafer 120 being processed.
  • Plasma RF bias power from an RF bias power generator 125 is applied through an impedance match circuit 130 to the wafer support pedestal 115 .
  • Conventional sensing circuits 132 within the impedance match circuit 130 have three output terminals 132 a , 132 b , 132 c providing respective signals indicating the power (P bias ), voltage (V) and current (I) furnished at the output of the impedance match circuit 130 to the wafer support pedestal 115 .
  • a measurement instrument 140 which is the measurement instrument referred to above in this specification, uses the signals from the output terminals 132 a , 132 b , 132 c to measure, simultaneously, etch rate on the wafer 120 , ion energy at the wafer surface (or equivalently, wafer voltage), ion density in the reactor chamber and electric current through the wafer 120 .
  • the measurement instrument 140 employs processes based upon an electrical model of the reactor 100 . This model is illustrated in FIG. 2 .
  • FIG. 2 depicts the plasma reactor of FIG. 1 in greater detail, so that the individual elements of the wafer support pedestal 115 are visible, including an electrode 115 - 1 , a thin overlying dielectric (e.g., ceramic) layer 115 - 2 , an underlying dielectric (e.g., ceramic) layer 115 - 3 , and a conductive (e.g., aluminum) planar ground plate 115 - 4 at the bottom of the pedestal 115 .
  • the electrode 115 - 1 takes the form of a conductive grid in the illustrated embodiment, and may be implemented in various forms such as a conductive solid plate or as a conductive mesh, for example.
  • the term “grid” as employed in this specification refers to all forms that the electrode 115 - 1 may take, such as a conductive solid plate, or a conductive mesh, or a conductive screen, or a form combining aspects of any or all of the foregoing forms, for example.
  • a coaxial cable 210 connecting the output of the impedance match circuit 130 to the grid 115 - 1 .
  • the coaxial cable 210 has an inner conductor 212 and an outer conductor 214 .
  • An electrical model with parameters depicted in FIG. 2 characterizes the electrical properties of the plasma reactor 100 , which are readily determined using conventional techniques.
  • the coaxial transmission line or cable 210 is characterized by three quantities: (1) its length, (2) Z ch , its characteristic impedance, and (3) V ch , its complex phase velocity in the transmission line equation.
  • the wafer support pedestal 115 is characterized by electrical properties of the overlying and underlying dielectric layers 115 - 2 and 115 - 3 .
  • the underlying dielectric layer 115 - 3 has a capacitance C D , which is a function of (1) the dielectric constant, ⁇ D , of the dielectric layer 115 - 3 , and (2) the conductive loss component of the dielectric layer 115 - 3 , tan D , (3) the thickness, gap, of the dielectric layer 115 - 3 and (4) the radius of the wafer 120 .
  • the overlying dielectric layer 115 - 2 has a capacitance C P which is a function of (1) the thickness, gap P , of the dielectric layer 115 - 2 , (2) the dielectric constant, ⁇ P , of the dielectric layer 115 - 2 and (3) the conductive loss component of the dielectric layer 115 - 2 , tan P .
  • the plasma 220 is characterized by an admittance Y plasma (to RF ground such as the interior chamber walls or ceiling) that consists of a real part (the conductance g) and an imaginary part (the susceptance b). Each of these electrical parameters has a role in the operation of the measurement instrument 140 .
  • FIG. 3 illustrates the structure of the measurement instrument 140 of FIG. 1 .
  • An input phase processor 310 receives the P bias , V and I signals from the impedance match sensing circuit 132 of FIG. 1 and produces respective signals indicating a complex impedance Z, a complex input current I in , and a complex input voltage V in at the near end of the coaxial cable 210 (i.e., the end nearest the impedance match circuit 130 ).
  • a transmission line transformation processor 320 uses the characteristic impedance Z ch and the complex loss coefficient V ch (in the transmission line equation) from an electrical model 330 of the coaxial cable 210 to transform from Z, I in and V in at the near cable end to an admittance Y junction at the far cable end, i.e., at the junction between the coaxial cable 210 and the grid 115 - 1 .
  • a grid-to-ground transformation processor 340 takes radius, gap, ⁇ D and tan D from a model 345 of the grid-to-ground capacitance and produces a dielectric resistance R D and dielectric capacitance C D .
  • a grid-to-wafer transformation processor 350 takes radius, gap P , ⁇ P and tan P from a model 355 of the grid-to-wafer capacitance and produces a plasma resistance R P and a plasma capacitance C P .
  • a combined transformation processor 360 accepts the outputs of all the other processors 320 , 340 , 350 and computes the admittance Y plasma through the plasma from the wafer to RF ground and computes the wafer voltage V wafer (or ion energy). From the plasma admittance and from the wafer voltage, the following quantities are computed: wafer current I wafer , the etch rate and the ion density.
  • the transmission line transformation processor 320 transforms these measurements at the near end of the cable 210 to an admittance at the far end.
  • the grid to ground transformation processor 340 provides the transformation from the ground plane 115 - 4 near the far end of the cable to the conductive grid 115 - 1 .
  • the grid-to-wafer transformation processor 350 provides the transformation from the conductive grid 115 - 2 to the wafer 120 .
  • the combined transformation processor 360 provides the transformation across the plasma in the form of the plasma admittance From the plasma admittance, various performance parameters such as etch rate and plasma ion density are computed.
  • the transmission line model 330 , the model of the grid-to-ground capacitance 345 and the model 355 of the grid-to-wafer capacitance are not necessarily a part of the measurement instrument 140 . Or, they may be memories within the measurement instrument 140 that store, respectively, the coaxial cable parameters (V ch and Z ch ), the grid-to-ground capacitance parameters (gap, ⁇ D , tan D and radius) and the grid-to-wafer capacitance parameters (gap P , ⁇ P , tan P and radius).
  • FIG. 4 illustrates the structure of the input phase processor 310 of FIG. 3 .
  • a delivered power arithmetic logic unit(ALU) 410 computes delivered power P from the outputs I and P bias from the impedance match sensing circuit 132 as P bias —(0.15)I 2 .
  • a phase angle ALU 420 computes phase angle ⁇ from the delivered power P and from V and I as cos ⁇ 1 (P/VHI).
  • An input current ALU 440 computes the input current I in to the coaxial cable 210 as [P/Re(Z)] 1/2 .
  • An input voltage ALU 450 computes the input voltage V in to the coaxial cable 210 as ZHI in .
  • FIG. 5 illustrates the structure of the transmission line transformation processor 320 of FIG. 3 .
  • the transmission line processor receives I in and V in as inputs from the input phase processor 310 of FIG. 4 and uses the transmission line model parameters V ch and Z ch (from the transmission line model or memory 330 of FIG. 3 ) to compute the admittance Y junction as follows:
  • a junction current ALU 510 computes the current I junction at the junction of the coaxial cable 210 and the grid 115 - 1 ( FIG. 1 ) as: (I in )cos h[(V ch )( ⁇ length)]+(V in /Z ch )sin h[(V ch )( ⁇ length)].
  • a junction voltage ALU 520 computes the voltage V junction at the junction between the coaxial cable 210 and the grid 115 - 1 as: (V in )cos h[(V ch )( ⁇ length)]+(I in Z c h)sin h[(V ch )( ⁇ length)].
  • a divider 530 receives I junction and V junction computes Y junction as I junction /V junction . It should be noted that each of the electrical quantities in the foregoing computations (current, voltage, impedance, admittance, etc.) is a complex number having both a real part and an imaginary part.
  • FIG. 6 illustrates the structure of the grid-to-ground transformation processor 340 of FIG. 3 .
  • the grid-to-ground transformation processor 340 receives the parameters gap, ⁇ D, tan D and rad (the wafer radius) from the grid-to-ground model or memory 345 of FIG. 3 computes the dielectric resistance R D and the dielectric capacitance C D .
  • the dielectric capacitance C D is computed by a CD ALU 610 as follows: ( ⁇ 0 )( ⁇ D ) ⁇ (rad) 2 /gap where ⁇ 0 is the electrical permittivity of free space.
  • An RD ALU 620 uses the value of C D from the CD ALU 610 and computes the dielectric resistance R D as follows: (tan D )/( ⁇ C D gap 2 ) where ⁇ is the angular frequency of the bias RF generator 125 of FIG. 2 .
  • FIG. 7 illustrates the structure of the grid-to-wafer transformation processor 350 of FIG. 3 .
  • the grid-to-wafer transformation processor 350 receives the parameters gap P , ⁇ P , tans and rad from the grid-to-wafer model or memory 355 of FIG. 3 and computes the plasma resistance R P and the plasma capacitance C P .
  • the plasma capacitance C P is computed by a CP ALU 710 as follows: ( ⁇ 0 )( ⁇ P ) ⁇ (rad) 2 /gap P where ⁇ 0 is the electrical permittivity of free space.
  • An RP ALU 720 uses the value of C P from the CP ALU 710 and computes the plasma resistance R P as follows: (tan P )/( ⁇ C P gap D 2 ) where ⁇ is the angular frequency of the bias RF generator 125 of FIG. 2 .
  • FIG. 8 illustrates the structure of the combined transformation processor 360 of FIG. 3 .
  • the combined transformation processor 360 receives the parameters R D , C D from the processor 340 of FIG. 3 , receives the parameters R P , C P from the processor 350 of FIG. 3 and receives the parameter Y junction from the processor 320 of FIG. 3 .
  • a grid impedance ALU 810 computes Z grid (the impedance at the grid 115 - 1 of FIG. 2 ) as follows: [Y junction ⁇ 1/(R D +(1/(i ⁇ C D ))] ⁇ 1
  • a wafer impedance ALU 820 uses the output of the grid impedance ALU 810 to compute Z wafer (the impedance at the wafer 120 of FIG. 2 ) as follows: Z grid ⁇ 1/(R D +(1/(i ⁇ C p )))
  • An admittance ALU 850 uses the output of the ALU 820 to compute the admittance of the plasma, Y plasma , as 1/Z wafer .
  • a susceptance ALU 860 uses the output of the ALU 850 to compute the plasma susceptance, b, as Im(Y plasma ).
  • An etch rate ALU 870 uses the wafer voltage from the ALU 830 and the susceptance from the ALU 860 to compute the etch rate as b 2 V wafer 2 .
  • An ion density ALU 880 uses the same outputs to compute the ion density as kb 2 V wafer 3/2 where k is a constant given by: (2 3/2 /3 2 )(1/[q ⁇ 0 A 2 ⁇ 2 f 2 T e 2 ]) where q is the electron charge, A is the area of the wafer 120 of FIG. 2 , f is the frequency of the bias power generator 125 of FIG. 2 and T e is the electron temperature in volts.
  • the plasma susceptance may be approximated as ⁇ A ⁇ / ⁇ , where ⁇ is the electrical permittivity within the plasma, A is the electrode area, ⁇ is the angular frequency of the bias power signal and ⁇ is the plasma sheath thickness.
  • the plasma sheath thickness may be approximated as [T e /(q ⁇ )] 1/2 [2V wafer /T e ] 3/4 , where T e is electron temperature, q is the electron charge and ⁇ is ion density. Substituting the expression for sheath thickness into the expression for the susceptance and solving for ion density yields an expression for ion density as a function of susceptance and wafer voltage.
  • FIG. 9 illustrates a process feedback control system that uses the measurement instrument 140 of FIG. 3 .
  • a plasma reactor 900 includes all of the features of the plasma reactor 100 of FIG. 1 , and in addition includes an overhead RF source power applicator 910 connected through an impedance match circuit 915 to an RF source power generator 920 .
  • the RF source power applicator 910 may be, for example, a ceiling electrode that is insulated from the grounded chamber enclosure 105 .
  • the power level of the RF plasma source power generator 920 generally controls the plasma ion density while the power level of the RF plasma bias power generator 125 generally controls the ion energy at the wafer surface.
  • the measurement instrument 140 receives the power, voltage and current outputs from the sensor circuit 132 of the impedance match circuit 130 .
  • the measurement instrument 140 computes the plasma susceptance b and computes the wafer voltage V wafer , which is output as a measurement signal. These computations are carried out in the manner described above with reference to FIG. 5 .
  • the measurement instrument 140 can then compute the ion density and/or the etch rate from b and V wafer , in the manner described above with reference to FIG. 5 . At least two of the three measurement signals thus produced by the measurement instrument 140 can be used in a feedback control loop.
  • a feedback controller 950 uses the measurement signals from the measurement instrument 140 to create feedback signals to control the power level of the RF plasma bias power generator 125 and the power level of the RF plasma source power generator 920 .
  • the ion energy at the wafer surface which is equivalent to the wafer voltage V wafer , is directly controlled by the power level of the bias power generator 125 . Therefore, the wafer voltage measurement signal from the measurement instrument 140 (i.e., V wafer from the ALU 830 of FIG. 8 ) is used by the feedback controller 950 to control the bias power generator 125 in a bias power feedback control loop 957 .
  • the source power generator 920 directly controls plasma ion density.
  • plasma ion density measurement signal from the measurement instrument 140 (i.e., kb 2 V wafer 3/2 from the ALU 880 of FIG. 8 ) is used by the feedback controller 950 to control the source power generator 920 in a source power feedback control loop 958 .
  • the bias power feedback control loop 957 includes a memory 960 that stores a selected or desired target value of the wafer voltage or ion energy, [V wafer ] TARGET .
  • a subtractor 962 subtracts this target value from the sensed wafer voltage V wafer to produce an error signal.
  • the gain of the bias power feedback loop 957 is determined by a bias power feedback gain factor stored in a memory 964 .
  • a multiplier 966 multiplies the error signal from the subtractor 962 by the gain factor in the memory 964 to produce a correction signal used to control the power level of the bias power generator 125 .
  • the path of the bias power feedback control loop 957 is completed by the V, I and P bias signals applied to the measurement instrument 140 to produce the measurement signal V wafer representing the wafer voltage.
  • the source power feedback control loop receives from the measurement instrument 140 the sensed ion density value b 2 V wafer 3/2 .
  • a memory 975 stores a selected or desired target value of the ion density, [b 2 V wafer 3/2 ] TARGET .
  • a subtractor 980 computes the difference between the measured ion density and the ion density target value to produce an error signal.
  • the gain of the source power feedback control loop 958 is determined by a source power feedback gain factor stored in a memory 985 .
  • a multiplier 990 multiplies the error signal from the subtractor 980 by the gain factor from the memory 985 to produce a correction signal. This correction signal is used to control the power level of the RF source power generator 920 .
  • the path of the source power feedback control loop 958 is completed by the V, I and P bias signals applied to the measurement instrument 140 to produce the measurement signal b 2 V wafer 3/2 representing the ion density.
  • the bias power feedback loop 957 includes a bias power command processor 992 coupled to receive the feedback correction signal from the multiplier 957 and to receive a target value for the bias power, [P bias ] TARGET .
  • the bias power command processor 992 sets the power level of the bias power generator 125 to the initial target value [P bias ] TARGET .
  • the bias power command processor 992 controls the bias power in accordance with the feedback correction signal from the multiplier 966 rather than the bias power target value.
  • the source power feedback loop 958 includes a source power command processor 994 coupled to receive the feedback correction signal from the multiplier 990 and to receive a target value for the source power, [P source ] TARGET .
  • the source power command processor 994 sets the power level of the source power generator 920 to the initial target value [P source ] TARGET .
  • the source power command processor 994 controls the source power in accordance with the feedback correction signal from the multiplier 990 rather than the source power target value.
  • the source and bias power command processors 992 , 994 can be instructed by the user to ignore their respective feedback control loops 957 , 958 throughout much or all of the process step and instead maintain the source and bias power levels at the specified target values [P source ] TARGET and [P bias ] TARGET . The user can change these values from time to time during processing.
  • the feedback control processor 950 may employ the etch rate rather than the ion density as the measured parameter in the source power feedback control loop 958 .
  • the etch rate measurement signal is taken from the ALU 870 of FIG. 8 that computes b 2 V wafer 2 .
  • a memory 975 ′ (in lieu of the memory 975 of FIG. 9 ) stores a target value of the etch rate, [b 2 V wafer 2 ] TARGET .
  • the subtractor 980 operates as described with reference to FIG. 9 to produce an error signal.
  • the remainder of the source power feedback control loop of FIG. 10 generally is the same as in FIG. 9 .
  • the feedback controller 950 requires a number of target values for various process control parameters. Specifically the feedback controller 950 of FIG. 9 has a memory 975 storing the target value for the ion density, [b 2 V wafer 3/2 ] TARGET , and a memory 960 storing the target value for the ion energy (or, equivalently, wafer voltage), [V wafer ] TARGET . In the feedback controller of FIG. 10 , the memory 975 is replaced by the memory 975 ′ storing the target value for the etch rate, [b 2 V wafer 2 ] TARGET .
  • the feedback controller 950 can employ initial target values [P source ] TARGET and [P bias ] TARGET for the source and bias power levels respectively to initialize the feedback controller 950 , as discussed above.
  • a user who wishes to achieve certain process results e.g., a certain etch rate, a certain ion energy, a reduction in etch processing artifacts such as striations, a reduction in heating due to wafer current, etc.
  • a process set point controller 1110 employed in the reactor of FIG. 11 automatically and quickly (or instantaneously) finds the optimum target values of process control parameters based upon the user's selection of values for various performance parameters.
  • the process set point controller 1110 may determine the target values [P source ] TARGET and [P bias ] TARGET based upon a desired etch rate and/or a desired wafer voltage or other performance parameter specified by the user.
  • a new process recipe can be designed nearly instantaneously. For present plasma reactors, this can take place in milliseconds, but could be made to be as fast as microseconds if needed.
  • process control parameters i.e., characteristics of the reactor under direct user control such as chamber pressure, source and bias power levels, etc.
  • process performance parameters i.e., characteristics of the plasma and process not susceptible of direct control such as etch rate, ion density, ion energy, wafer current, etc.
  • a user can specify any one or more of these performance parameters as an objective for a given process. Any one or group of or all of the control parameters can be used to achieve the desired levels of the performance parameters chosen by the user. The question is whether or not the effects of some of the control parameters might be dependent upon others of the control parameters in controlling the performance parameters chosen by the user. Thus, the problem of selecting the right set of control parameters to achieve the desired results in the chosen performance parameters is complex and there appears to be no particularly optimum choice.
  • source power and the bias power control the performance parameters of interest and do so in an independent manner. That is, source power P source and bias power P bias are independent variables and may be thought of as orthogonal entities forming a two-dimensional control space in which control of the performance parameters may be exercised with such versatility that no alteration of the other control parameters is required. This discovery greatly reduces the problem to only two variables.
  • control parameters with the exception of P source and P bias , are held constant during processing.
  • process control parameters including chamber pressure, gas composition, gas flow rate, source power frequency, bias power frequency, etc., are held constant.
  • the source power and bias power levels (P source and P bias ) are varied to achieve desired values in a specified set of performance parameters (e.g., etch rate and ion density).
  • the problem of finding target values for the various parameters given a set of user-defined values for a chosen set of performance parameters is solved by the process set point controller 1110 superimposing a set of constant parameter contours in the two-dimensional P source ⁇ P bias space referred to above.
  • Such constant parameter contours are obtained from a constant parameter contour generator 1120 in FIG. 11 .
  • contours of constant ion density FIG. 12
  • contours of constant ion energy or wafer voltage FIG. 13
  • contours of constant etch rate FIG. 14
  • a set of contours of constant plasma ion density in P source ⁇ P bias space for a chamber pressure of 20 mT generally have a small negative slope and a small but positive first derivative d(P source )/d(P bias ).
  • the top-most contour corresponds to a constant plasma density of 5H10 10 ions/cm 3 while the bottom contour corresponds to 1.5H10 10 ions/cm 3 .
  • the vertical axis (P source ) ranges from 0 to 1500 Watts while the horizontal axis (P bias ) ranges from 2000 to 4500 Watts.
  • a set of contours of constant wafer voltage for the same chamber pressure (20 mT) have a positive slope and range from 600 volts (at the top) to 1800 Volts (at the bottom).
  • the process set point controller 1110 determines how to simultaneously satisfy user-selected values of ion density, ion energy and etch rate. It does this by finding the intersection in P source ⁇ P bias space of the corresponding contours of FIGS. 12-14 . This intersection indicates the optimum target values for source and bias power, namely [P source ] TARGET and [P bias ] TARGET .
  • the problem is somewhat simpler if the user specifies values for only two performance parameters. For example, if the user specifies a wafer voltage of 1100 Volts and an ion density of 3.5H10 10 ions/cm 3 , then the correct point in P source ⁇ P bias space is found by superimposing the constant wafer voltage contour for 1100 volts from FIG.
  • the target values [P source ] TARGET and [P bias ] TARGET furnished to the source power command processor 994 and bias power command processor 992 of FIG. 9 are 850 Watts and 3750 Watts, respectively.
  • this deduction of the target values of source and bias power levels may also result in the deduction of a target value for other parameters whose values have not been specified or limited by the user.
  • the user has not specified a particular etch rate.
  • a target value for the etch rate satisfying the user-selected values for ion density and energy can be found by superimposing the contours of FIG. 14 onto FIG. 15 (or vice versa).
  • the point [850 W, 3750 W] lies on the contour of a constant etch rate of 2.101 (in arbitrary units) of FIG. 14 , as indicated by the AX@ symbol in that drawing. Therefore, if the feedback controller of FIG. 10 is employed, then the set point controller 1110 writes an etch rate target value of 2.101 in arbitrary units to the memory 975 of FIG. 10 .
  • an advantage of this feature is that the contours of constant voltage, density, etch rate, etc., are characteristic of the reactor and generally do not change for given process conditions. They may therefore be determined by the constant parameter contour generator 1120 prior to processing and made available to the process set point controller 1110 constantly during use of the reactor, as indicated in FIG. 11 . In this way, a target value for a particular parameter may be found instantly or whenever required in the manner illustrated in FIG. 15 .
  • the bias power command processor 992 and the source power command processor 994 receive the target values [P source ] TARGET and [P bias ] TARGET from the process set point controller 1110 and receive feedback signals from the multipliers 958 and 957 respectively.
  • the feedback signals are ignored, and the processors 992 , 994 put the power levels of the RF generators 125 , 920 to the target values [P source ] TARGET and [P bias ] TARGET , respectively.
  • the feedback signals are available and the processors 992 , 994 can use the feedback control loops 957 , 958 instead of the target values to control the source power and bias power levels.
  • the power command processors 992 , 994 may be programmed so that the target values [P source ] TARGET and [P bias ] TARGET determine the source and bias power levels not only at initialization but also during processing, while the feedback loops 957 , 958 are ignored.
  • FIG. 11 shows that the user can apply to the process set point controller 1110 any one or a combination of user selected values for performance parameters, including etch rate, wafer voltage, ion density and wafer current.
  • the process set point controller 1110 uses the appropriate contours from the contour generator 1120 to produce not only source and bias power target values but, in some cases, target values for other parameters not limited or specified by the user, which may be a target value for the etch rate, the ion density, the ion energy or the wafer current.
  • target values are furnished to the feedback controller 950 for use in the manner described previously in this specification with reference to FIG. 9 .
  • FIG. 16 illustrates the structure and operation of the process set point controller 1110 of FIG. 11 .
  • a first logic unit 1610 receives an etch rate command (if any) from the user and fetches from a memory 1615 the corresponding contour of constant etch rate in the set of contours of constant etch rates previously generated by the contour generator 1120 .
  • a second logic unit 1620 receives an ion density command (if any) from the user and fetches from a memory 1625 the corresponding contour of constant ion density in the set of contours of constant ion density previously generated by the contour generator 1120 .
  • a third logic unit 1630 receives a wafer voltage (ion energy) command (if any) from the user and fetches from a memory 1635 the corresponding contour of constant wafer voltage in the set of contours of constant wafer voltage previously generated by the contour generator 1120 .
  • a fourth logic unit 1640 finds the intersection point in P source ⁇ P bias space between any of the contours selected by the logic units 1610 , 1620 , 1630 . This intersection point is output to the feedback controller 950 of FIG. 11 as [P source ] TARGET , [P bias ] TARGET .
  • FIG. 17 illustrates the operation of the contour generator 1120 in finding functions defining how certain performance parameters vary with bias power. These include functions for the performance parameters of wafer voltage, ion density and etch rate.
  • the measurements of changes in wafer voltage, ion density and etch rate with bias power are made for the contour generator 1120 by the measurement instrument 140 using the configuration of FIG. 11 .
  • the measurement instrument 140 transmits instantaneous measurements of wafer voltage, ion density and etch rate to the contour generator 1120 .
  • the contour generator 1120 also receives the current source power and bias power commands, as indicated in FIG. 11 , allowing it to correlate behavior of the performance parameters of wafer voltage, ion density and etch rate, with the control parameters of source power and bias power.
  • FIG. 18 illustrates the operation of the contour generator 1120 in finding functions defining how certain performance parameters vary with source power. As in FIG. 17 , in FIG. 18 these include functions for the performance parameters of wafer voltage, ion density and etch rate. Also as in FIG. 17 , in the operation of FIG. 18 is carried out using the configuration of FIG. 11 .
  • FIG. 19 illustrates the operation of the contour generator 1120 in parameterizing the separate functions of source power and bias power discovered in the operations of FIGS. 17 and 18 into combined functions of both source power and bias power.
  • Such combined functions represent the behavior of the performance parameters (wafer voltage, ion density, etch rate) in 2-dimensional P source ⁇ P bias space.
  • the contour generator 1120 then derives the contours of constant ion density, ion energy and etch rate from the respective combined functions.
  • the frequencies of the bias and source power generators 125 , 920 of FIG. 11 are set to constant values
  • the exhaust rate of a vacuum pump 1180 of the reactor of FIG. 11 is controlled to achieve a constant chamber pressure
  • mass flow rates from gas supplies 1182 , 1184 are set through a mass flow controller 1186 of FIG. 11 to constant values.
  • the power level of the source power generator 920 of FIG. 11 is set to an initial set point, so that the entire process is at a steady state with the exception of the bias power level.
  • the power level of the bias power generator 125 of FIG. 11 is set at the beginning of a predetermined range.
  • the measurement instrument 140 then senses the voltage current and power at the impedance match 130 in order to measure wafer voltage, ion density and etch rate in the manner described previously with respect to FIGS. 1-8 (block 1740 of FIG. 17 ). These measurements are sent to the contour generator 1120 and stored in a memory 1120 a .
  • the power level of the bias power generator 125 of FIG. 11 is incremented (by command of the controller 1110 ) to a slightly higher value and held at that value.
  • a determination is then made in the step of block 1760 of FIG.
  • the operation returns in a loop 1795 to the step of block 1730 , and the steps within the loop 1795 (i.e., blocks 1730 through 1790 ) are repeated until the source power level reaches the end of the source power range (AYES@ branch of block 1790 ).
  • the frequencies of the bias and source power generators 125 , 920 of FIG. 11 are set to constant values
  • the exhaust rate of a vacuum pump 1180 of the reactor of FIG. 11 is controlled to achieve a constant chamber pressure
  • mass flow rates from gas supplies 1182 , 1184 are set through a mass flow controller 1186 of FIG. 11 to constant values.
  • the power level of the bias power generator 125 of FIG. 11 is set to an initial set point, so that the entire process is at a steady state with the exception of the source power level.
  • the power level of the source power generator 920 of FIG. 11 is set at the beginning of a predetermined range.
  • the measurement instrument 140 then senses the voltage current and power at the impedance match 130 in order to measure wafer voltage, ion density and etch rate in the manner described previously with respect to FIGS. 1-8 (block 1840 of FIG. 18 ). These measurements are sent to the contour generator 1120 and stored in the memory 1120 a .
  • the power level of the source power generator 920 of FIG. 11 is incremented (by command of the controller 1110 ) to a slightly higher value and held at that value.
  • a determination is then made in the step of block 1860 of FIG.
  • the operation returns in a loop 1895 to the step of block 1830 , and the steps within the loop 1895 (i.e., blocks 1830 through 1890 ) are repeated until the bias power level reaches the end of the bias power range (YES branch of block 1890 ).
  • the contour generator 1120 combines each pair of functions having a single variable P source , or P bias , respectively, into a single combined function of the variable pair P source and P bias . This produces the following three functions: V wafer ⁇ ( P source , P bias ) ⁇ ⁇ ( P source , P bias ) ER ⁇ ( P source , P bias ) .
  • Contours of constant parameter values are found by setting the respective function to a constant value and then solving for P source as a function of P bias .
  • the function V wafer (P source , P bias ) is set equal to 300 V, and then solved for P source .
  • the contour generator 1120 of FIG. 11 in carrying out the foregoing steps of generating the combined two-variable functions and then solving them for P source as a function of P bias at various constant values is illustrated in FIG. 19 .
  • the first step (block 1910 ) is to take the single variable functions of wafer voltage, i.e., V wafer (P source ) and V wafer (P bias ) and find their combined function.
  • the next step (block 1920 ) is to take the single variable functions of ion density, i.e., ⁇ (P source ) and ⁇ (P bias ) and find their combined function ⁇ (P source , P bias ).
  • the third step (block 1930 ) is to take the single variable functions of etch rate, i.e., ER(P source ) and ER(P bias ) and find their combined function ER(P source , P bias ).
  • the contours of constant values are generated.
  • the function V wafer (P source , P bias ) is set equal to a constant value of wafer voltage and the resulting expression is then solved for P source as a function of P bias .
  • This step is repeated for a range of constant wafer voltage values to generate a set of contours covering the range.
  • These contours are stored in the memory 1120 a of FIG. 11 (block 1945 of FIG. 19 ).
  • the function ⁇ (P source , P bias ) is set equal to a constant value of ion density and the resulting expression is solved for P source as a function of P bias .
  • This step is repeated for a range of constant ion density values to generate a set of contours covering the range of ion density values.
  • the function ER(P source , P bias ) is set equal to a constant value of etch rate and the resulting expression solved for P source as a function of P bias . This step is repeated for a range of constant etch rate values to generate a set of contours covering the range of etch rate values. These contours are stored in the memory 1120 a of FIG. 11 (block 1965 of FIG. 19 ).
  • each combined two-variable function e.g., V wafer (P source , P bias )
  • V wafer (P source ) and V wafer (P bias ) can be approximated by the product of the pair of individual functions, e.g., V wafer (P source ) and V wafer (P bias ).
  • V wafer f a (P source ) ⁇ [P source ] 1/2
  • V wafer f a (P bias ) ⁇ [P bias ] 1/2
  • [P source ] 1/2 [P bias ] 1/2 This expression, however is not exact.
  • V wafer (P source , P bias ) V 0 (P bias /P b0 ) 0.4 [(P source /P s0 )K 1 (p/p 0 ) ⁇ 1 +(p/p 0 ) 0.5 ] ⁇ 0.5
  • P b0 is a maximum bias power value
  • P s0 is a maximum source power value
  • p 0 is a minimum chamber pressure
  • p is the actual chamber pressure.
  • V 0 is determined in accordance with the following procedure: the maximum bias power P b0 is applied to the wafer pedestal while the source power is held to zero and the chamber is held to the minimum pressure p 0 . The wafer voltage V wafer is then measured and this measured value is stored as V 0 . K 1 is then determined by increasing the source power to its maximum value P s0 and then measuring the wafer voltage V wafer again, and K 1 is adjusted until the foregoing equation yields the correct value for V wafer .
  • b (P source , P bias ) b 0 (P bias /P b0 ) ⁇ 0.25 [(P source /P s0 )(p/p 0 ) ⁇ 0.65 ][K 2 (P bias /P b0 ) ⁇ 0.62 (p/p 0 ) 3+ (p/p 0 ) 0.27 ] where the definitions above apply and in addition b 0 is a reference susceptance value.
  • the reference susceptance value b 0 is determined in accordance with the following procedure: the maximum bias power P b0 is applied to the wafer pedestal while the source power is held to zero and the chamber is held to the minimum pressure p 0 . The susceptance b is then measured at the wafer support pedestal (using a V/I meter, for example) and this measured value is stored as b 0 . K 2 is then determined by increasing the source power to its maximum value P s0 and then measuring the susceptance b again, and K 2 is adjusted until the foregoing equation yields the correct value for b.
  • Ion density, ⁇ , and etch rate, ER are then obtained by substituting the expressions for V wafer and b into the foregoing expressions for ⁇ and ER.
  • FIG. 20 illustrates contours of constant wafer voltage, contours of constant ion density and contours of constant etch rate superimposed upon one another in P source ⁇ P bias space.
  • the chamber pressure for these contours was 100 mT.
  • the contours of constant wafer voltage are depicted in solid lines.
  • the contours of constant ion density are depicted in dashed lines.
  • the contours of constant etch rate are depicted in dotted lines.
  • the source power range (the vertical axis or ordinate) has a range from zero to 1200 Watts.
  • the bias power range (the horizontal axis or abscissa) has a range from 200 Watts to 1200 Watts.
  • the stated values of constant wafer voltage are RMS volts.
  • the stated values of constant ion density are 10 10 ions/cm 3 .
  • FIGS. 20, 21 , 22 , 23 , 24 and 25 correspond to FIG. 20 for respective chamber pressures of 100 mT, 30 mT, 70 mT, 150 mT, 200 mT and 250 mT, respectively.
  • the contour generator and even the measurement instrument may be discarded.
  • the process set point controller 1110 would control the entire process based upon the contours stored in the memory 120 a in response to user inputs.
  • the process set point controller 1110 could apply the bias and source power level commands directly to the bias and source power generators 125 , 920 , respectively, so that the feedback controller 950 could also be eliminated in such an embodiment.
  • the measurement instrument 140 has been described with reference to discrete processors 310 , 320 , 340 , 350 , 360 that carry out individual computations, these processors comprising the measurement instrument 140 can be implemented together in a programmed computer, such as a workstation or a personal computer rather than as separate hardware entities.
  • the contour generator 1120 may also be implemented in a programmed computer or workstation.
  • the feedback controller 950 of FIG. 9 or FIG. 10 may be implemented in a programmed computer.
  • the process set point controller may be implemented in a programmed computer.
  • the measurement instrument 140 has been described in certain applications, such as in a process control system. It is also useful as a tool for “fingerprinting” or characterizing a particular plasma reactor by observing the etch rate, ion density and wafer voltage measured by the instrument 140 at a selected process setting of source power, bias power, pressure and other parameters.
  • other functions may be employed, such as, for example, [bV wafer ] 1 , or [bV wafer ] 2 , or gV wafer 3/2 (where g in this last expression is the conductance defined previously in this specification).
  • FIG. 26 illustrates a plasma reactor similar to that of FIG. 11 but having a greater number of chamber parameters capable of being controlled by the feedback controller 950 .
  • the reactor of FIG. 26 also has inner and outer annular gas injection zones or showerheads 912 , 914 within the overhead electrode 910 , plural gas supplies 1182 a through 1182 f , each containing a different chemical species (or mixture) and coupled to the inner and outer gas injection zones 912 , 914 through respective gas flow controllers 1186 a , 1186 b .
  • the gas flow controllers 1186 a , 1186 b control the gas flow rate and the composition or proportion of gas flow from each of the individual gas supplies 1182 to gas injection zones 912 , 914 .
  • Inner and outer magnet coils 1210 , 1215 are connected to respective inner and outer DC coil current supplies 1220 , 1225 .
  • An optional DC chucking voltage supply 1230 is coupled to the bias feed center conductor 212 , in which case a DC isolation capacitor 1235 is connected in series between the center conductor 212 and the bias match 130 .
  • the reactor chamber of FIG. 26 may be characterized by quantifying the behavior of, for example, four plasma parameters (such as wafer or sheath bias voltage, ion density, etch rate, wafer current) as functions of three chamber parameters (such as source power, bias power and chamber pressure).
  • plasma parameters such as wafer or sheath bias voltage, ion density, etch rate, wafer current
  • three chamber parameters such as source power, bias power and chamber pressure.
  • the first step is to initialize the chamber parameters (block 2001 of FIG. 27 ). This step sets chamber parameters, such as source power P S , bias power P B , chamber pressure p ch , inner magnet current I inner , outer magnet current I outer , gas flow rate FR, to initial (e.g., mid-range) values.
  • the next major step is for the constant contour generator 1120 to find single variable functions of each plasma parameter in which bias power is the variable, which is depicted in FIG. 27 .
  • functions are found for wafer voltage, V wafer (P B ), etch rate, ER(P B ), plasma ion density, ⁇ (P B ), and wafer current, I wafer (P B ).
  • the first step is to set P B to the beginning of its range (block 2003 of FIG. 27 ). This range may be between zero and 1000 Watts at 13.56 MHz, as one possible example.
  • the next step is to measure or sample plasma parameters of wafer voltage V wafer , etch rate ER, plasma ion density ⁇ and wafer current I wafer using the measurement instrument 140 of FIG. 26 (block 2005 ). Then the contour generator 1120 , through the controller 950 , increments P B by a small predetermined amount or small fraction of the range (block 2007 ). A determination is then made as to whether the end of the bias power range has been reached (block 2009 ). If not (NO branch of block 2009 ), the process loops back to the step of block 2005 . If the end of range has been reached (YES branch of block 2009 ), then the process continues to the next step, namely block 2011 .
  • the step of block 2011 consists of using the sampled data to construct functions V wafer (P B ), ER(P B ), ⁇ (P B ) and T wafer (P B ), which are stored in memory. These functions may be constructed by curve fitting techniques, for example. Then, in preparation for generation of functions depending upon other chamber parameters, the chamber parameter P B is returned to its initial value, preferably a mid-range value (block 2013 ).
  • the purpose of the next process is to find the single variable functions of which source power is the single variable, namely the functions V wafer (P S ), ER(P S ), ⁇ (P S ) and I wafer (P S ).
  • the first step is to set P S to the beginning of source power range (block 2015 of FIG. 28 ).
  • the RF plasma source power range may be from zero to 3000 Watts at 162 MHz, as one possible example.
  • the next step is to measure or sample the plasma parameters of wafer voltage V wafer , etch rate ER, plasma ion density ⁇ and wafer current I wafer with the measurement instrument 140 (block 2017 of FIG. 28 ).
  • the generator 1120 /controller 950 incremented P S (block 2019 ).
  • the sampled data (from block 2017 ) is used to construct the single variable functions V wafer (P S ), ER(P S ), ⁇ (P S ) and I wafer (P S ), and these functions are stored in memory. P S is then returned to its initial value (block 2025 ).
  • the purpose of the next process is to find the single variable functions of which chamber pressure is the single variable, namely the functions V wafer (p ch ), ER(p ch ), ⁇ (p ch ) and I wafer (p ch ).
  • the first step is to set p ch to beginning of the chamber pressure range (block 2027 ). This range may lie between 0.5 mT and 200 mT, as one possible example.
  • the next step is to measure or sample the plasma parameters of wafer voltage V wafer , etch rate ER, plasma ion density ⁇ and wafer current I wafer with the measurement instrument 140 (block 2029 ).
  • the generator 1120 /controller 950 increments p ch by a small fraction of the pressure range (block 2031 ). A determination is made at this point of whether the end of the chamber pressure range has been reached (block 2033 ). If not (NO branch of block 2033 ), the process loops back to the step of block 2029 . Otherwise (YES branch of block 2033 ), the process continues with the next step, namely block 2035 .
  • the sampled data from the step of block 2029 is used to construct the functions V wafer (p ch ), ER(p ch ), ⁇ (p ch ) and I wafer (p ch ), which are then stored in memory.
  • p ch is returned to its initial value.
  • the purpose of the next process is to find the single variable functions of which the current of the inner magnet coil 1210 of FIG. 26 ) is the single variable, namely the functions V wafer (I inner ), ER(I inner ), ⁇ (I inner ) and I wafer (I inner ).
  • the current could be (instead) the AC current applied to MERIE magnets if these are present in the reactor.
  • I inner is set to the beginning of its range (block 2039 ).
  • the next step is to measure or sample the plasma parameters of wafer voltage V wafer , etch rate ER, plasma ion density ⁇ and wafer current I wafer with the measurement instrument 140 (block 2041 ).
  • the generator 1120 /controller 950 increments I inner by a small predetermined fraction of its range (block 2043 ). As determination is made at that point of whether the end of the magnet coil current range has been reached (block 2045 ). If not (NO branch of block 204 b), the process loops back to the step of block 2041 . Otherwise (YES branch of block 2045 ), the process proceeds to the next step, namely block 2047 .
  • the sampled data from the step of block 2041 is used to construct the functions V wafer (I inner ) ER(I inner ), ⁇ (I inner ) and I wafer (I inner ), which are then stored in memory. The last step of this process is to return I inner to its initial value (block 2049 ).
  • the purpose of the next process is to find the single variable functions of which the current supplied to the outer magnet coil 1215 of FIG. 26 is the single variable, namely the functions V wafer (I outer ), ER(I outer ), ⁇ (I outer ) and I wafer (I outer ).
  • the first step is to set I outer to beginning of its range (block 2051 of FIG. 31 ).
  • the next step is to measure or sample the plasma parameters of wafer voltage V wafer , etch rate ER, plasma ion density ⁇ and wafer current I wafer with the measurement instrument 140 (block 2053 ).
  • the generator 1120 /controller 950 then increment I outer by a predetermined small fraction of its range (block 2055 ).
  • the purpose of the next process is to find the single variable functions of which the gas flow rate FR (or alternatively, the gas composition) is the single variable, namely the functions V wafer (FR), ER(FR), ⁇ (FR) and I wafer (FR).
  • the gas composition may be the ratio between the carrier gas (e.g., argon) and the etchant species (fluorine or fluorocarbon or fluorhydrocarbon species), for example.
  • the gas composition or gas flow rate may be separately defined as two different variables for each of the two (inner and outer) gas injection zones 912 , 914 , for example.
  • inner zone gas flow rate there are four possible variables or chamber parameters concerning gas flow that may be employed: inner zone gas flow rate, outer zone gas flow rate, inner zone gas composition, outer zone gas composition.
  • the present example of FIG. 32 concerns the use of a particular one of any of the foregoing gas flow-related chamber parameters, which will is labelled FR.
  • the first step of the process of FIG. 32 is to set FR to beginning of range (block 2063 ).
  • the next step is to measure or sample the plasma parameters of wafer voltage V wafer , etch rate ER, plasma ion density ⁇ and wafer current I wafer with the measurement instrument 140 (block 2065 ).
  • the next step is to increment FR (block 2067 ).
  • a determination is then made of whether the end of range of the gas flow or gas composition parameter FR has been reached (block 2069 ). If not (NO branch of block 2069 ), the process loops back to the measurement step of block 2065 . Otherwise (YES branch of block 2069 ), the next step (block 2071 ) is performed.
  • the sampled data is used to construct the functions V wafer (FR), ER(FR), ⁇ (FR) and I wafer (FR), which are then stores in memory.
  • the construction of such functions may employ curve fitting techniques, for example.
  • the final step of this process is to return FR to its initial value (block 2073 ).
  • the single variable functions produced for the different plasma parameters in the processes of FIGS. 27-32 may be employed in subsequent processes (depicted in FIGS. 33-36 ) to construct a three-dimensional control space with two-dimensional surfaces of constant plasma parameter values for later use in controlling the reactor chamber during wafer processing.
  • the three chamber parameters of source power, bias power and chamber pressure i.e., P B , P S and p ch .
  • the purpose of the process of FIG. 33 (performed by the contour generator 1120 ) is to exploit the 3-D control space of P B , P S and p ch to produce 2-D contours (surfaces) of constant V wafer . These will be accumulated in a collection of such surfaces for later use in controlling the reactor chamber during wafer processing.
  • the first step (block 2075 ) in the process of FIG. 33 is to correlate or combine the single-variable functions V wafer (P B ), V wafer (P S ) and V wafer (p ch ) into a single three-variable function V wafer (P B , P S , p ch ). Curve fitting techniques of the type referred to earlier in this specification may be employed, for example, to accomplish this step.
  • An equation is formed (block 2079 ) by setting the function V wafer (P B , P S , p ch ) equal to the i th value in the range of values of V wafer .
  • This equation is solved to find the 2-D contour (surface) of constant V wafer for the i th value of V wafer .
  • This contour is stored in the memory 1120 a of the contour generator 1120 .
  • next process (performed by the contour generator 1120 ) (performed by the contour generator 1120 ) is to exploit the 3-D control space of P B , P S and p ch to produce 2-D contours (surfaces) of constant etch rate (ER). These will be accumulated in a collection of such surfaces for later use in controlling the reactor chamber during wafer processing.
  • the first step (block 2085 ) in the process of FIG. 34 is to correlate/combine the single variable functions ER(P B ), ER(P S ) and ER(p ch ) into a single three variable function ER(P B , P S , p ch ).
  • an equation is formed by setting the function ER(P B , P S , p ch ) equal to the i th value in the range of values of ER.
  • This equation is solved to produce the 2-D contour (surface) of constant ER for the i th value of ER.
  • This contour is then stored in the memory 1120 a (block 2089 ).
  • a determination is then made of whether the end of the range of ER values has been reached (block 2093 ). If not (NO branch of block 2093 ), the process loops back to the step of block 2089 . Otherwise (YES branch of block 2093 ), the current process is complete and the next process is performed.
  • next process (performed by the contour generator 1120 ) is to exploit the 3-D control space of P B , P S and p ch to produce 2-D contours (surfaces) of constant ⁇ . These will be accumulated in a collection of such surfaces for later use in controlling the reactor chamber during wafer processing.
  • the first step (block 2095 ) in the process of FIG. 35 is to correlate or combine the single variable functions ⁇ (P B ), ⁇ (P S ) and ⁇ (p ch ) into a single three-variable function ⁇ (P B , P S , p ch )
  • the step may be carried out using curve fitting techniques, for example.
  • an equation is created by setting the function ⁇ (P B , P S , p ch ) equal to the i th value in the range of values of the plasma parameter ⁇ . This equation is solved for the 2-D contour (surface) of constant ⁇ for the i th value of i.
  • This contour is stored in the contour generator memory 1120 a (block 2099 ).
  • a determination is then made of whether the end of the range of ER values has been reached (block 2103 ). If not (No branch of block 2103 ), the process loops back to the step of block 2099 . Otherwise (YES branch of block 2103 ), the current process is complete and the next process is performed.
  • next process (performed by the contour generator 1120 ) (performed by the contour generator 1120 ) is to exploit the 3-D control space of P B , P S and p ch to produce 2-D contours (surfaces) of constant I wafer . These will be accumulated in a collection of such surfaces for later use in controlling the reactor chamber during wafer processing.
  • the first step (block 2105 ) in the process of FIG. 36 is to correlate or combine the single variable functions I wafer (P B ), I wafer (P S ) and I wafer (p ch ) to produce a single three-variable function I wafer (P B ,i P S , p ch )
  • an equation is created by setting the function I wafer (P B , P S , p ch ) equal to the i th value of I wafer . This equation is solved for the 2-D contour (surface) of constant I wafer for the i th value of I wafer .
  • This contour is then stored in the contour generator memory 1120 a .
  • a determination is made of whether the end of range of the values of I wafer has been reached (block 2113 ). If not (NO branch of block 2113 ), the process loops back to the step of block 2109 . Otherwise (YES branch of block 2113 ), the current process is complete and the next process is performed.
  • Such chamber parameters may include the gas flow rates of the inner and outer gas injection zones and the gas compositions of the different gas mixtures supplied to the inner and outer gas injection zones, for example.
  • the foregoing procedures complete the characterization of the reactor chamber for the selected chamber parameters and plasma parameters.
  • FIG. 37 depicts a process for controlling three selected plasma parameters in the 3-D P B -P S -p ch control space in response to user-selected values for V wafer , ER and ⁇ . This process is controlled by the process set point controller 1110 of FIG. 26 .
  • the process of FIG. 37 is controlled by the set point controller and begins with the set point controller 1110 receiving the user-selected values for V wafer , ER and ⁇ (block 2115 of FIG. 37 ).
  • the next step is to fetch from memory the 2-D surface (contour) of constant wafer voltage corresponding to the unique user-selected value of V wafer and label the surface S V (block 2117 of FIG. 37 ).
  • the controller 1110 fetches from memory the 2-D surface (contour) of constant etch rate corresponding to the user-selected value of ER and label the surface S ER (block 2119 ).
  • the controller 1110 fetches from memory the 2-D surface (contour) of constant plasma ion density corresponding to the user-selected value of ⁇ and labels the surface S ⁇ (block 2121 ). The controller 1110 then locates the point of intersection (P B ′, P S ′, p ch ′) of the three surfaces S V , S ER and S ⁇ in 3-D P B -P S -p ch space (block 2123 ).
  • the set point controller 1110 acting through the feedback controller 950 , then sets the RF bias power generator output level to the intersection value P B ′ (block 2125 ), sets the RF source power generator output level to the intersection value P S ′ (block 2127 ) and sets the chamber pressure to the intersection value p ch ′ (block 2129 ). This completes one control cycle of the process.
  • FIG. 38 The intersection of three surfaces of constant plasma parameter values (of three different plasma parameters) in three dimensional chamber parameter space of the type exploited in the process of FIG. 37 is depicted in FIG. 38 .
  • the surfaces are two-dimensional objects residing in three-dimensional space.
  • the intersection of the three surfaces lies at a single point whose location is specified by the 3-vector (P B ′, P S ′, p ch ′).
  • the three orthogonal axes of FIG. 38 correspond to the three chamber parameters (P B , P S , p ch )
  • the three surfaces in FIG. 38 are the surfaces of constant value for each of the plasma parameters V wafer , ER and ⁇ , for which the values are the user-selected values.
  • FIG. 39 illustrates such a case, in which the three chamber parameters are constrained by user selected values of only two plasma parameters.
  • the only constrained plasma parameters may be V wafer and ⁇ .
  • the foregoing chamber control process may be employed any time or all the time, but is particularly useful at the start of wafer processing, when no real time measurements of the plasma parameters are available.
  • control may be taken over by the feedback controller 950 .
  • the feedback controller 950 compares actual real time measurements of selected plasma parameters (from the measurement instrument 140 ) with the user-selected values of those parameters.
  • the feedback controller 950 minimizes those differences by correcting source power (for etch rate or ion density) and correcting bias power (for wafer voltage), as described earlier in this specification with reference to FIGS. 9 and 10 .
  • the change can be immediately affected by reverting back to the control process of FIG. 37 , in which the new chamber parameter settings are instantly ascertained by finding the intersection in 3-D control space of the contours corresponding to the (new) user-selected values of plasma parameters.
  • This option enables the chamber to nearly instantaneously meet any changes in process recipe, a significant advantage.
  • FIGS. 40-45 depict a control process example involving a 4-dimensional control space. This example involves generating four-variable functions from the single variable functions produced by the chamber characterization processes of FIGS. 27-32 . Specifically, FIGS. 40-45 illustrate the example of a 4-D control space of the chamber parameters P B , P S , FR and I inner . In the first process of this example, that of FIG. 40 , the task is to produce 3-D contours (in 4-D space) of constant V wafer . The first step (block 2131 of FIG.
  • V wafer 40 is to correlate or combine the four single variable functions V wafer (P B ), V wafer (P S ) V wafer (FR) and V wafer (I inner ) to produce a single four-variable function V wafer (P B , P S , FR, I inner ).
  • Curve fitting techniques may be employed in carrying out this step.
  • An equation is created by setting the function V wafer (P B , P S , FR, I inner ) equal to the i th value in the range of values of V wafer . This equation is solved for the 3-D contour (surface) of constant V wafer corresponding to the i th value of V wafer .
  • This contour is stored in the contour generator memory 1120 a (block 2133 ).
  • a determination is made of whether the end of the range of values for V wafer has been reached (block 2135 ). If not, the process returns to the step of block 2133 (NO branch of block 2135 ). Otherwise, the process is finished and the next process is begun (YES branch of block 2135 ).
  • the task is to produce 3-D contours (in 4-D space) of constant ER.
  • the first step (block 2137 ) is to correlate or combine the four single variable functions ER(P B ), ER(P S ), ER(FR) and ER(I inner ) into a single four-variable function ER(P B , P S , FR, I inner ).
  • This step may be carried out using curve fitting techniques.
  • an equation is created by setting the function ER(P B , P S , FR, I inner ) equal to the i th value in the range of values of ER.
  • This equation is solved for the 3-D contour (surface) of constant ER corresponding to the i th value of ER.
  • This contour is stored in the contour generator memory 1120 a .
  • the index i is incremented (block 2143 ) and a determination is made of whether end of range of etch rate (ER) values has been reached (block 2145 ). If not (NO branch of block 2145 ), the process returns to the step of block 2141 . Otherwise (YES branch of block 2145 ), the process is finished and the next process is begun.
  • the task is to produce 3-D contours (in 4-D space) of constant ⁇ (etch rate).
  • the first step (block 2147 of FIG. 42 ) is to correlate or combine the four single-variable functions ⁇ (P B ), ⁇ (P S ), ⁇ (FR) and ⁇ (I inner ) into a single four-variable function ⁇ (P B , P S , FR, I inner ).
  • This step may employ curve fitting techniques.
  • an equation is created by setting the four-variable function ⁇ (P B , P S , FR, I inner ) equal to the i th value of the range of ion density values ⁇ .
  • This equation is solved for the 3-D contour (surface) of constant ⁇ corresponding to the i th value of ⁇ .
  • the contour is stored in the contour generator memory 1120 a .
  • the task is to produce 3-D contours (in 4-D space) of constant I wafer .
  • the first step (block 2157 of FIG. 43 ) is to correlate or combine the four single-variable functions I wafer (P B ), I wafer (P S ), I wafer (FR) and I wafer (I inner ) into a single four-variable function I wafer (P B , P S , FR, I inner ).
  • an equation is created by setting the four-variable function I wafer (P B , Ps, FR, I inner ) equal to the i th value of I wafer .
  • This equation is solved for the 3-D contour (surface) of constant I wafer corresponding to the i th value of I wafer .
  • This contour is stored in the contour generator memory 1120 a .
  • a determination is made whether the end of range of the values of I wafer has been reached (block 2165 ). If not (NO branch of block 2165 ), the process returns to the step of block 2161 . Otherwise (YES branch of block 2165 ), the process is finished.
  • FIG. 44 illustrates a process for controlling four selected plasma parameters (e.g., V wafer , ER, ⁇ and V wafer ) in the 4-D P B -P S -FR-I inner control space in response to user-selected values for the selected plasma parameters (V wafer , ER, ⁇ and I wafer ).
  • This process translates the user-selected values for the plasma parameters V wafer , ER, ⁇ and V wafer to required values for the chamber parameters P B , P S , FR and I inner .
  • the first step in the process of FIG. 44 is for the process set point controller 1110 to receive the user-selected values for V wafer , ER, ⁇ and I wafer (block 2167 of FIG. 44 ).
  • the process set point controller 1110 fetches from the contour generator memory 1120 a the 3-D surface (contour) of constant wafer voltage corresponding to the unique user-selected value of V wafer . This surface may be labelled S V .
  • the controller 1110 also fetches from the memory 1120 a the 3-D surface (contour) of constant etch rate corresponding to the user-selected value of ER, which may be labelled S ER (block 2171 ).
  • the controller 1110 fetches the 3-D surface (contour) of constant plasma ion density corresponding to the user-selected value of ⁇ and labels the surface S ⁇ (bock 2173 ). Finally, the controller 1110 fetches the 3-D surface (contour) of constant wafer current corresponding to the unique user-selected value of I wafer and labels the surface S I (block 2175 ).
  • the next step is for the set point controller 1110 to locate the point of intersection (P B ′, P S ′, FR′, I inner ′) of the four surfaces S V , S ER , S ⁇ and S I in the 4-D P B -P S -FR-I inner control space (block 2177 ).
  • This four-dimensional step is analogous to the three dimensional case of three intersecting surfaces depicted in FIG. 38 .
  • the chamber parameters are then set to the respective values of P B ′, P S ′, FR′and I inner ′ corresponding to the point of intersection.
  • set point controller 1110 acting through the feedback controller 950 to effect the chamber parameters, as follows: setting the RF bias power generator output level to the intersection value PB′(block 2179 ), setting the RF source power generator output level to the intersection value P S ′ (block 2181 ), setting the gas flow rate to the intersection value FR′ (block 2183 ) and setting the inner magnet supply current to the intersection value I inner ′ (block 2185 ).
  • the chamber control process of steps 2167 - 2185 of FIG. 44 may be phased out and process control turned over to the feedback control loops of FIGS. 9-11 based upon real time measurements of plasma parameters by the measurement instrument 140 .
  • This option is depicted in the step of block 2187 of FIG. 44 .
  • the translation-based chamber control steps of blocks 2167 - 2185 may be employed at the beginning of a plasma process (when no real time measurements are available). Then, after the plasma process is sufficiently underway for real time measurements to become available, the step of block 2187 is performed to transition chamber control to the real time feedback control loops of FIGS. 9-11 .
  • Process control may be temporarily returned to the translation-based steps of blocks 2167 - 2185 whenever a significant change is made in the user selected values of one or more plasma parameters. This option enables the chamber to nearly instantaneously meet any changes in process recipe, a significant advantage.
  • FIG. 45 depicts an example of providing an extra degree of freedom by operating in a chamber parameter space of dimensionality exceeding the number of user-controlled plasma parameters. This is a four-dimensional version of the under-constrained control case illustrated in FIG. 39 , in which the chamber parameters are permitted to vary along a curve or trajectory determined by the intersecting surfaces.
  • the first step in the process of FIG. 45 is for the set point controller 1110 to receive the user-selected values for the selected plasma parameters, e.g., V wafer , ER and ⁇ (block 2189 ).
  • the next step is for the set point controller 1110 to fetch from the contour generator memory 1120 a the 3-D surface (contour) of constant wafer voltage corresponding to the unique user-selected value of V wafer and labels the surface S V (block 2191 ).
  • the set point controller 1110 also fetches the 3-D surface (contour) of constant etch rate corresponding to the user-selected value of ER and labels the surface S ER (block 2193 ).
  • the controller 1110 fetches the 3-D surface (contour) of constant plasma ion density corresponding to the user-selected value of ⁇ and labels the surface S ⁇ (block 2195 ).
  • the contour generator locates the line or curve of intersection of the three surfaces S V , S ER and S ⁇ in 4-D P B -P S -FR-I inner space (block 2197 ). This curve lies along a set four dimensional location point (P B ′, P S ′, FR′, I inner ′) i where the index i refers to a particular one of a theoretically infinite number of four-dimensional points along the line or curve of intersection.
  • the next step is to set the chamber parameters of P B , P S , FR, I inner concurrently to any one of the four dimensional locations along the line/curve of intersection in 4-D space (block 2199 ). Thereafter, the chamber parameters P B , P S , FR, I inner may be varied along the curve of intersection so that their concurrent values coincides with one of the four-dimensional point (P B ′, P S ′, FR′, I inner ′)i along the line or curve of intersection (block 2201 ).
  • a further option is to transition control over to the real time feedback control loops of FIGS. 9-11 in the step of block 2187 of FIG. 45 .
  • the chamber control process of steps 2189 - 2201 of FIG. 45 may be phased out and process control turned over to the feedback control loops of FIGS. 9-11 based upon real time measurements of plasma parameters by the measurement instrument 140 .
  • This option is depicted in the step of block 2187 of FIG. 45 .
  • the translation-based chamber control steps of blocks 2167 - 2185 may be employed at the beginning of a plasma process (when real time measurements are available). Then, after the plasma process is sufficiently underway for real time measurements to become available, the step of block 2187 is performed to transition chamber control to the real time feedback control loops of FIGS. 9-11 .
  • Process control may be temporarily returned to the translation-based steps of blocks 2189 - 2201 whenever a significant change is made in the user selected values of one or more plasma parameters. This option enables the chamber to nearly instantaneously meet any changes in process recipe,
  • the processes described above in this specification concern two-dimensional, three-dimensional or four-dimensional control spaces.
  • the invention may be carried out using any number of chamber parameters to simultaneously realized desired values of any number of plasma parameters.
  • the plasma parameters subject to user-selected values may be selected from the group of plasma parameters that includes ion energy or wafer voltage, ion density, ion mass, etch rate, wafer current, etch selectivity, and so forth.
  • the chamber parameters to that are controlled may be selected from the group that includes source power, bias power, chamber pressure, inner coil magnet current, outer coil magnet current, inner gas injection zone gas composition, outer gas injection zone gas composition, inner gas injection zone flow rate, outer gas injection zone flow rate, and so forth.
  • the number of selected plasma parameters is the same as the number of selected chamber parameters. However, the numbers may differ. For example, if the number of selected plasma parameters is less than the number of selected chamber parameters, then the system is under-constrained and at least one additional degree of freedom is present that permits the chamber parameters to be varied while continuing to meet the user-selected plasma parameter values. If the number of selected plasma parameters exceeds the number of selected chamber parameters, then the system is over constrained. In this case, the contours or surfaces of constant plasma parameter values may intersect at along several lines or points and control may require choosing between such points or interpolating between them.
  • the process of FIG. 46 requires characterization of the chamber, which begins with the step of selecting a first one of N chamber parameters (block 2203 ) and ramping the selected chamber parameter while sampling M selected plasma parameters with the measurement instrument 140 (block 2205 ). A determination is made of whether all of the N chamber parameters have been selected (block 2207 ). If not (No branch of block 2207 ), the next one of the N chamber parameters is selected (block 2209 ) and the process loops back from block 2209 to the step of block 2205 . Otherwise (YES branch of block 2207 ), the process continues with the next step, namely the step of block 2211 . In the step of block 2211 , the measured data from block 2205 is used to construct an N-varlable function of each of the M plasma parameters.
  • the contour generator 1120 constructs an N ⁇ 1 dimensional contour of constant value in an N-dimensional space in which each of the N chamber parameters is a dimension. This completes the characterization of the chamber that will enable subsequent steps or process to translate the M plasma parameters to concurrent values of the N chamber parameters.
  • the next phase of the process of FIG. 46 is to translate a set of M user-selected values for the M plasma parameters to concurrent set of N values for the N chamber parameters. This phase begins with the receipt of the user-selected values for the M plasma parameters (block 2215 ).
  • the controller 1110 fetches the corresponding contour of constant value for each of the M plasma parameters and determines their point of intersection in N-dimensional space. Then, the feedback controller 950 sets the N chamber parameters to their respective values at the point of intersection (block 2219 ).
  • the system is under-constrained so that there is at least one extra degree of freedom. For the case in which M is one less than M, the following steps may be performed:
  • Block 2221 fetch the corresponding contour of constant value for each of the M plasma parameters and determine their line or curve of intersection in N-dimensional space;
  • Block 2223 vary the N chamber parameters so that their respective values are restricted to lie along the line/curve of intersection.
  • this process may be temporarily replaced by the real time feedback control process discussed above with reference to the feedback loops of FIGS. 9-11 based upon real time measurements of plasma parameters by the measurement instrument 140 .

Abstract

The invention involves a method of characterizing a plasma reactor chamber through the behavior of many selected plasma parameters as functions of many selected chamber parameters. The plasma parameters may be selected from a group including ion density, wafer voltage, etch rate and wafer current or other plasma parameters. The chamber parameters are selected from a group including source power, bias power, chamber pressure, magnetic coil current in different magnetic coils, gas flow rates in different gas injection zones and species composition of the gas in different gas injection zones.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. application Ser. No. 10/440,364, filed May 16, 2003 by Daniel Hoffman, entitled PLASMA DENSITY, ENERGY AND ETCH RATE MEASUREMENTS AT BIAS POWER INPUT AND REAL TIME FEEDBACK CONTROL OF PLASMA SOURCE AND BIAS POWER and assigned to the present assignee.
  • BACKGROUND OF THE INVENTION
  • Plasma reactors employed in microelectronic circuit fabrication can etch or deposit thin film layers on a semiconductor substrate. In a plasma reactive ion etch process, the etch rate, ion density, wafer voltage and wafer current are critical in controlling etch belectivity, wafer heating, etch striations, ion bombardment damage, etch stopping, feature size and other effects. Such control becomes more critical as feature size decreases arid device density increases. The main problem is that present techniques for measuring etch rate, ion density, wafer voltage and wafer current tend to be highly inaccurate (in the case of the wafer voltage) or must be performed by examining a test workpiece or wafer at the conclusion of processing (in the case of etch rate). There appears to be no accurate technique for measuring these parameters in “real time” (i.e., during wafer processing). As a result, the plasma reactor control parameters (source power, bias power, chamber pressure, gas flow rate and the like) must be selected before processing a current workpiece based upon prior results obtained by processing other workpieces in the chamber. Once target values for each of the reactor control parameters have been chosen to achieve a desired etch rate or a desired wafer voltage or a desired ion density, the target values must remain the same throughout the process step, and all efforts are dedicated to maintaining the chosen target values. If for example the chosen target value of one of the control parameters unexpectedly leads to a deviation from the desired processing parameter (e.g., etch rate), this error will not be discovered until after the current workpiece has been processed and then examined, and therefore the current workpiece or wafer cannot be saved from this error. As a result, the industry is typically plagued with significant losses in materiel and time.
  • A related problem is that plasma process evolution and design is slow and inefficient in that the discovery of optimal target values for the reactor control parameters of source power, bias power, chamber pressure and the like typically relies upon protracted trial and error methods. The selection of target values for the many reactor control parameters (e.g., source power, bias power, chamber pressure and the like) to achieve a particular etch rate at a particular wafer current (to control wafer heating) and at a particular wafer voltage (to control ion bombardment damage) and at a particular ion density (to control etch selectivity, for example) is a multi-dimensional problem. The mutual dependence or lack thereof among the various reactor control parameters (source power, bias power, chamber pressure, etc.) in reaching the desired target values of the process parameters (e.g., etch rate, wafer voltage, wafer current, ion density) is generally unknown, and the trial and error process to find the best target values for the reactor control parameters (bias and source power levels and chamber pressure) is necessarily complex and time consuming. Therefore, it is not possible to optimize or alter target values for the process parameters (e.g., etch rate, etc.) without a time-consuming trial and error process. Thus, real-time plasma process control or management has not seemed possible.
  • SUMMARY OF THE INVENTION
  • The invention involves a method of characterizing a plasma reactor chamber through the behavior of many selected plasma parameters as functions of many selected chamber parameters. The plasma parameters may be selected from a group including ion density, wafer voltage, etch rate and wafer current or other plasma parameters. The chamber parameters are selected from a group including source power, bias power, chamber pressure, magnetic coil current in different magnetic coils, gas flow rates in different gas injection zones and species composition of the gas in different gas injection zones.
  • The method begins by performing two steps for each one of the selected chamber parameters. The first step consists of ramping the level of the one chamber parameter while sampling RF electrical parameters at an RF bias power input to said wafer support pedestal and computing from each sample of said RF electrical parameters the values of the plasma parameters. These values are stored with the corresponding levels of the one chamber parameter as corresponding chamber parameter data. The second step consists of deducing, from the corresponding chamber parameter data, a single variable function for each of the plural plasma parameters having the one chamber parameter as an independent variable. The method continues with constructing combinations of these functions that are multi-variable functions having each of the selected chamber parameters as a variable. Then, from each multi-variable function, the method constructs a set of surfaces, each individual surface corresponding to a respective constant value of the corresponding plasma parameter and defining simultaneous values of all of the selected chamber parameters. These surfaces are stored in memory for later use in controlling the chamber during wafer processing.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a plasma reactor and a measurement instrument therefor.
  • FIG. 2 illustrates an electrical model of the plasma reactor employed by the measurement instrument.
  • FIG. 3 illustrates the structure of the measurement instrument of FIG. 1.
  • FIG. 4 illustrates an input phase processor of the measurement instrument of FIG. 3.
  • FIG. 5 illustrates a transmission line transformation processor in the measurement instrument of FIG. 3.
  • FIG. 6 illustrates a grid-to-ground transformation processor in the measurement instrument of FIG. 3.
  • FIG. 7 illustrates a grid-to-wafer transformation processor in the measurement instrument of FIG. 3.
  • FIG. 8 illustrates a combined transformation processor in the measurement instrument of FIG. 3.
  • FIG. 9 illustrates a process feedback control system for a plasma reactor that includes the measurement instrument of FIG. 3.
  • FIG. 10 illustrates an alternative implementation of the process feedback control system.
  • FIG. 11 illustrates the measurement instrument of FIG. 3, a constant contour generator and a process set point controller connected in a system with a plasma reactor.
  • FIGS. 12, 13 and 14 illustrate different contours of constant performance parameter values produced by the system of FIG. 11.
  • FIG. 15 illustrates a method of finding an optimal operating point at the intersection of different contours of constant parameter values.
  • FIG. 16 illustrates the process set point controller in the system of FIG. 11.
  • FIGS. 17, 18 and 19 illustrate respective operations performed by the process set point controller of the contour generator in the system of FIG. 11.
  • FIG. 20 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 100 mT.
  • FIG. 21 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 30 mT.
  • FIG. 22 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 70 mT.
  • FIG. 23 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 150 mT.
  • FIG. 24 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 200 mT.
  • FIG. 25 illustrates an overlay of contours of constant wafer voltage, contours of constant etch rate and contours of constant ion density at a chamber pressure of 250 mT.
  • FIG. 26 is a simplified block diagram of a plasma reactor in accordance with further embodiments of the invention.
  • FIGS. 27-32 depict a process for constructing single variable functions of different plasma parameters for the variables of source power, bias power and chamber pressure.
  • FIG. 27 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of plasma source power.
  • FIG. 28 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of plasma bias power.
  • FIG. 29 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of chamber pressure.
  • FIG. 30 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of inner magnet coil current.
  • FIG. 31 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of outer magnet coil current.
  • FIG. 32 depicts processes for constructing the single variable functions of the different plasma parameters in which the variable is the chamber parameter of gas flow rate or gas composition.
  • FIGS. 33-36 depict an example in which contours (i.e., surfaces) of constant value of four plasma parameters are produced from the single variable functions of FIGS. 27-32 in a three dimensional control space with dimensions of source power, bias power and chamber pressure.
  • FIG. 33 depicts a process for producing contours of constant value for the plasma parameter of wafer voltage in the three dimensional control space.
  • FIG. 34 depicts a process for producing contours of constant value for the plasma parameter of etch rate in the three dimensional control space.
  • FIG. 35 depicts a process for producing contours of constant value for the plasma parameter of plasma ion density in the three dimensional control space.
  • FIG. 36 depicts a process for producing contours of constant value for the plasma parameter of wafer current in the three dimensional control space.
  • FIG. 37 depicts a process for controlling three plasma parameters using the contours of constant value of FIGS. 33-36.
  • FIG. 38 depicts the intersection of the contours of constant value in the three-dimensional control space in the process of FIG. 37.
  • FIG. 39 is a view of the three-dimensional control space corresponding to that of FIG. 38 but for the underconstrained case in which only two contours of constant value are specified and therefore intersect along a curve, FIG. 39 depicting a method of varying the chamber parameters along the curve of intersection.
  • FIGS. 40-43 depict an example in which contours (i.e., surfaces) of constant value of four plasma parameters are produced from the single variable functions of FIGS. 27-32 in a four dimensional control space with dimensions of source power, bias power, gas flow rate (or composition) and magnet coil current.
  • FIG. 40 depicts a process for producing contours of constant value for the plasma parameter of wafer voltage in the four dimensional control space.
  • FIG. 41 depicts a process for producing contours of constant value for the plasma parameter of etch rate in the four dimensional control space.
  • FIG. 42 depicts a process for producing contours of constant value for the plasma parameter of plasma ion density in the four dimensional control space.
  • FIG. 43 depicts a process for producing contours of constant value for the plasma parameter of wafer current in the four dimensional control space.
  • FIG. 44 depicts a process for controlling four plasma parameters using the contours of constant value of FIGS. 40-43.
  • FIG. 45 depicts an under-constrained version of the process of FIG. 44 in which only three plasma parameters are controlled in four dimensional control space by varying them along a trajectory or curve along which the three corresponding contours intersect in four-dimensional space.
  • FIG. 46 depicts a process for characterizing the reactor chamber and controlling M plasma parameters with N chamber parameters.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Introduction:
  • The present description pertains to a plasma reactor having a plasma source power applicator (such as an overhead electrode or antenna) in which plasma bias power is applied to the wafer through the wafer support pedestal. I have discovered a measurement instrument (described below) that is the first one known to instantaneously and accurately measure wafer voltage, wafer current, ion density and etch rate. The measurement instrument uses only conventional electrical sensors at the bias power input that sense voltage, current and power at the output of an impedance match device coupled to the wafer support pedestal. The measurement instrument is therefore non-invasive of the plasma etch process occurring within the reactor chamber in addition to being accurate. The degree of accuracy is surprising, surpassing even the best known instruments and measurement techniques currently in use.
  • I have invented a plasma reactor having a feedback controller employing this same measurement instrument, in which plasma source power and plasma bias power are controlled in separate feedback control loops. In the bias power feedback control loop, plasma bias power is servoed or controlled to minimize the difference between a user-selected target value of the ion energy (or, equivalently, wafer voltage) and the actual ion energy sensed in real time by my measurement instrument. Simultaneously, in the source power feedback control loop, plasma source power is servoed or controlled to minimize the difference between a user-selected target value of the plasma ion density and the actual plasma ion density sensed in real time by my measurement instrument and a user-selected target value for the ion density. One surprising feature of my feedback controller is that a measurement at the bias power input is used to control the source power.
  • In addition, I have solved the problem of how to select the target values for ion density and ion energy. Because my measurement instrument provides instantaneous, accurate and simultaneous measurements of performance parameters such as wafer voltage (or, equivalently, ion energy), wafer current, ion density and etch rate, it has enabled me to observe accurately, for the first time, the real-time behavior of all these performance parameters simultaneously as a function of control parameters such as plasma source power, plasma bias power and others (e.g., chamber pressure, source power frequency, applied magnetic field, etc.). These observations have led to my discovery herein that the control parameters of plasma source power level and plasma bias power level affect the set of performance parameters (e.g., etch rate, ion energy, ion density) in the manner of a pair of independent variables. This discovery greatly simplifies the task of controlling plasma processing: by holding various other control parameters constant during processing (i.e., constant chamber pressure, constant gas flow rates, constant source power frequency and bias power frequency, etc.), the process is controlled entirely through the bias and source power levels. I have used this technique to parameterize all of the performance parameters (including etch rate, ion energy and others) as unique functions of two independent variables, namely source power level and bias power level. From this, I have generated curves in 2-dimensional source power-bias power space of constant etch rate, constant ion energy and constant ion density, for example. A process controller responds to user-selected ranges for the various performance parameters (etch rate, ion energy, ion density) using the curves of constant etch rate, constant ion density and constant ion energy to instantaneously find a target value for the source power level and the bias power level. This process controller provides the target values for the plasma source power level and plasma bias power level to the feedback controller referred to above.
  • As a result, a user need not have any knowledge of the control parameters (e.g., bias and source power levels) that may be required to realize a desired set of performance parameter values (e.g., etch rate) nor a corresponding understanding of the reactor's behavior in this regard. Instead, the user merely inputs to the control processor his set of desired performance parameter values or ranges, and the control processor instantly specifies target control parameter values (target source power and bias power values) to the feedback controller referred to above. Thereafter, control of the plasma process is entirely automatic, and can instantly accommodate any changes the user may introduce. For example, the user may specify different etch rates at different times during the same etch step, so that one etch rate prevails during the beginning of an etch process and another prevails toward the end of the process, for example. The user need not specify any control parameters, but only the results he desires (i.e., the performance parameters such as etch rate, etc.).
  • Instrument for Instantaneously Measuring Performance Parameters Including Etch Rate, Ion Density and Ion Energy:
  • Referring to FIG. 1, a plasma reactor 100 has a chamber enclosure 105 enclosing a vacuum chamber 110 in which a wafer support pedestal 115 supports a semiconductor wafer 120 being processed. Plasma RF bias power from an RF bias power generator 125 is applied through an impedance match circuit 130 to the wafer support pedestal 115. Conventional sensing circuits 132 within the impedance match circuit 130 have three output terminals 132 a, 132 b, 132 c providing respective signals indicating the power (Pbias), voltage (V) and current (I) furnished at the output of the impedance match circuit 130 to the wafer support pedestal 115. A measurement instrument 140, which is the measurement instrument referred to above in this specification, uses the signals from the output terminals 132 a, 132 b, 132 c to measure, simultaneously, etch rate on the wafer 120, ion energy at the wafer surface (or equivalently, wafer voltage), ion density in the reactor chamber and electric current through the wafer 120. The measurement instrument 140 employs processes based upon an electrical model of the reactor 100. This model is illustrated in FIG. 2.
  • FIG. 2 depicts the plasma reactor of FIG. 1 in greater detail, so that the individual elements of the wafer support pedestal 115 are visible, including an electrode 115-1, a thin overlying dielectric (e.g., ceramic) layer 115-2, an underlying dielectric (e.g., ceramic) layer 115-3, and a conductive (e.g., aluminum) planar ground plate 115-4 at the bottom of the pedestal 115. The electrode 115-1 takes the form of a conductive grid in the illustrated embodiment, and may be implemented in various forms such as a conductive solid plate or as a conductive mesh, for example. While the electrode 115-1 will hereinafter be referred to as a conductive grid, the term “grid” as employed in this specification refers to all forms that the electrode 115-1 may take, such as a conductive solid plate, or a conductive mesh, or a conductive screen, or a form combining aspects of any or all of the foregoing forms, for example. Also visible in FIG. 2 is a coaxial cable 210 connecting the output of the impedance match circuit 130 to the grid 115-1. The coaxial cable 210 has an inner conductor 212 and an outer conductor 214. An electrical model with parameters depicted in FIG. 2 characterizes the electrical properties of the plasma reactor 100, which are readily determined using conventional techniques. Specifically, the coaxial transmission line or cable 210 is characterized by three quantities: (1) its length, (2) Zch, its characteristic impedance, and (3) Vch, its complex phase velocity in the transmission line equation. The wafer support pedestal 115 is characterized by electrical properties of the overlying and underlying dielectric layers 115-2 and 115-3. Specifically, the underlying dielectric layer 115-3 has a capacitance CD, which is a function of (1) the dielectric constant, ∈D, of the dielectric layer 115-3, and (2) the conductive loss component of the dielectric layer 115-3, tanD, (3) the thickness, gap, of the dielectric layer 115-3 and (4) the radius of the wafer 120. The overlying dielectric layer 115-2 has a capacitance CP which is a function of (1) the thickness, gapP, of the dielectric layer 115-2, (2) the dielectric constant, ∈P, of the dielectric layer 115-2 and (3) the conductive loss component of the dielectric layer 115-2, tanP. The plasma 220 is characterized by an admittance Yplasma (to RF ground such as the interior chamber walls or ceiling) that consists of a real part (the conductance g) and an imaginary part (the susceptance b). Each of these electrical parameters has a role in the operation of the measurement instrument 140.
  • FIG. 3 illustrates the structure of the measurement instrument 140 of FIG. 1. An input phase processor 310 receives the Pbias, V and I signals from the impedance match sensing circuit 132 of FIG. 1 and produces respective signals indicating a complex impedance Z, a complex input current Iin, and a complex input voltage Vin at the near end of the coaxial cable 210 (i.e., the end nearest the impedance match circuit 130). A transmission line transformation processor 320 uses the characteristic impedance Zch and the complex loss coefficient Vch (in the transmission line equation) from an electrical model 330 of the coaxial cable 210 to transform from Z, Iin and Vin at the near cable end to an admittance Yjunction at the far cable end, i.e., at the junction between the coaxial cable 210 and the grid 115-1. A grid-to-ground transformation processor 340 takes radius, gap, ∈D and tanD from a model 345 of the grid-to-ground capacitance and produces a dielectric resistance RD and dielectric capacitance CD. A grid-to-wafer transformation processor 350 takes radius, gapP, ∈P and tanP from a model 355 of the grid-to-wafer capacitance and produces a plasma resistance RP and a plasma capacitance CP. A combined transformation processor 360 accepts the outputs of all the other processors 320, 340, 350 and computes the admittance Yplasma through the plasma from the wafer to RF ground and computes the wafer voltage Vwafer (or ion energy). From the plasma admittance and from the wafer voltage, the following quantities are computed: wafer current Iwafer, the etch rate and the ion density.
  • In summary, electrical measurements are made at the output of the impedance match circuit 130. The transmission line transformation processor 320 transforms these measurements at the near end of the cable 210 to an admittance at the far end. The grid to ground transformation processor 340 provides the transformation from the ground plane 115-4 near the far end of the cable to the conductive grid 115-1. The grid-to-wafer transformation processor 350 provides the transformation from the conductive grid 115-2 to the wafer 120. Using all of the foregoing transformations, the combined transformation processor 360 provides the transformation across the plasma in the form of the plasma admittance From the plasma admittance, various performance parameters such as etch rate and plasma ion density are computed.
  • The transmission line model 330, the model of the grid-to-ground capacitance 345 and the model 355 of the grid-to-wafer capacitance are not necessarily a part of the measurement instrument 140. Or, they may be memories within the measurement instrument 140 that store, respectively, the coaxial cable parameters (Vch and Zch), the grid-to-ground capacitance parameters (gap, ∈D, tanD and radius) and the grid-to-wafer capacitance parameters (gapP, ∈P, tanP and radius).
  • FIG. 4 illustrates the structure of the input phase processor 310 of FIG. 3. A delivered power arithmetic logic unit(ALU) 410 computes delivered power P from the outputs I and Pbias from the impedance match sensing circuit 132 as Pbias—(0.15)I2. A phase angle ALU 420 computes phase angle θ from the delivered power P and from V and I as cos−1(P/VHI). An impedance ALU 430 computes the complex impedance Z as (V/I)e, where i=(−1)1/2. An input current ALU 440 computes the input current Iin to the coaxial cable 210 as [P/Re(Z)]1/2. An input voltage ALU 450 computes the input voltage Vin to the coaxial cable 210 as ZHIin.
  • FIG. 5 illustrates the structure of the transmission line transformation processor 320 of FIG. 3. The transmission line processor receives Iin and Vin as inputs from the input phase processor 310 of FIG. 4 and uses the transmission line model parameters Vch and Zch (from the transmission line model or memory 330 of FIG. 3) to compute the admittance Yjunction as follows: A junction current ALU 510 computes the current Ijunction at the junction of the coaxial cable 210 and the grid 115-1 (FIG. 1) as:
    (Iin)cos h[(Vch)(−length)]+(Vin/Zch)sin h[(Vch)(−length)].
    A junction voltage ALU 520 computes the voltage Vjunction at the junction between the coaxial cable 210 and the grid 115-1 as:
    (Vin)cos h[(Vch)(−length)]+(IinZch)sin h[(Vch)(−length)].
  • A divider 530 receives Ijunction and Vjunction computes Yjunction as Ijunction/Vjunction. It should be noted that each of the electrical quantities in the foregoing computations (current, voltage, impedance, admittance, etc.) is a complex number having both a real part and an imaginary part.
  • FIG. 6 illustrates the structure of the grid-to-ground transformation processor 340 of FIG. 3. The grid-to-ground transformation processor 340 receives the parameters gap, ∈D, tanD and rad (the wafer radius) from the grid-to-ground model or memory 345 of FIG. 3 computes the dielectric resistance RD and the dielectric capacitance CD. The dielectric capacitance CD is computed by a CD ALU 610 as follows:
    (∈0)(∈D)π(rad)2/gap
    where ∈0 is the electrical permittivity of free space. An RD ALU 620 uses the value of CD from the CD ALU 610 and computes the dielectric resistance RD as follows:
    (tanD)/(ω CD gap2)
    where ω is the angular frequency of the bias RF generator 125 of FIG. 2.
  • FIG. 7 illustrates the structure of the grid-to-wafer transformation processor 350 of FIG. 3. The grid-to-wafer transformation processor 350 receives the parameters gapP, ∈P, tans and rad from the grid-to-wafer model or memory 355 of FIG. 3 and computes the plasma resistance RP and the plasma capacitance CP. The plasma capacitance CP is computed by a CP ALU 710 as follows:
    (∈0)(∈P)π(rad)2/gapP
    where ∈0 is the electrical permittivity of free space. An RP ALU 720 uses the value of CP from the CP ALU 710 and computes the plasma resistance RP as follows:
    (tanP)/(ω CP gapD 2)
    where ω is the angular frequency of the bias RF generator 125 of FIG. 2.
  • FIG. 8 illustrates the structure of the combined transformation processor 360 of FIG. 3. The combined transformation processor 360 receives the parameters RD, CD from the processor 340 of FIG. 3, receives the parameters RP, CP from the processor 350 of FIG. 3 and receives the parameter Yjunction from the processor 320 of FIG. 3. A grid impedance ALU 810 computes Zgrid (the impedance at the grid 115-1 of FIG. 2) as follows:
    [Yjunction−1/(RD+(1/(iωCD)))]−1
    A wafer impedance ALU 820 uses the output of the grid impedance ALU 810 to compute Zwafer (the impedance at the wafer 120 of FIG. 2) as follows:
    Zgrid−1/(RD+(1/(iωCp)))
  • A wafer voltage ALU 830 uses the outputs of both ALU=s 810 and 820 and Vjunction from the divider 530 of FIG. 5 to compute the voltage on the wafer 120 of FIG. 2, Vwafer, as Vjunction Zwafer/Zgrid. A wafer current ALU 840 uses the outputs of the ALU=s 820 and 830 to compute the wafer current Iwafer as Vwafer/Zwafer. An admittance ALU 850 uses the output of the ALU 820 to compute the admittance of the plasma, Yplasma, as 1/Zwafer. A susceptance ALU 860 uses the output of the ALU 850 to compute the plasma susceptance, b, as Im(Yplasma). An etch rate ALU 870 uses the wafer voltage from the ALU 830 and the susceptance from the ALU 860 to compute the etch rate as b2 Vwafer 2. An ion density ALU 880 uses the same outputs to compute the ion density as kb2 Vwafer 3/2 where k is a constant given by:
    (23/2/32)(1/[q∈0A2π2f2Te 2])
    where q is the electron charge, A is the area of the wafer 120 of FIG. 2, f is the frequency of the bias power generator 125 of FIG. 2 and Te is the electron temperature in volts. This relationship between ion density and the measured quantities b and Vwafer follows from an approximate formula for the plasma susceptance and a formula for the plasma sheath thickness. The plasma susceptance may be approximated as ∈Aω/λ, where ∈ is the electrical permittivity within the plasma, A is the electrode area, ω is the angular frequency of the bias power signal and λ is the plasma sheath thickness. The plasma sheath thickness may be approximated as [Te/(qη)]1/2[2Vwafer/Te]3/4, where Te is electron temperature, q is the electron charge and η is ion density. Substituting the expression for sheath thickness into the expression for the susceptance and solving for ion density yields an expression for ion density as a function of susceptance and wafer voltage.
    Process Feedback Control System:
  • FIG. 9 illustrates a process feedback control system that uses the measurement instrument 140 of FIG. 3. A plasma reactor 900 includes all of the features of the plasma reactor 100 of FIG. 1, and in addition includes an overhead RF source power applicator 910 connected through an impedance match circuit 915 to an RF source power generator 920. The RF source power applicator 910 may be, for example, a ceiling electrode that is insulated from the grounded chamber enclosure 105. The power level of the RF plasma source power generator 920 generally controls the plasma ion density while the power level of the RF plasma bias power generator 125 generally controls the ion energy at the wafer surface. The measurement instrument 140 receives the power, voltage and current outputs from the sensor circuit 132 of the impedance match circuit 130. From these quantities, the measurement instrument 140 computes the plasma susceptance b and computes the wafer voltage Vwafer, which is output as a measurement signal. These computations are carried out in the manner described above with reference to FIG. 5. The measurement instrument 140 can then compute the ion density and/or the etch rate from b and Vwafer, in the manner described above with reference to FIG. 5. At least two of the three measurement signals thus produced by the measurement instrument 140 can be used in a feedback control loop.
  • A feedback controller 950 uses the measurement signals from the measurement instrument 140 to create feedback signals to control the power level of the RF plasma bias power generator 125 and the power level of the RF plasma source power generator 920. The ion energy at the wafer surface, which is equivalent to the wafer voltage Vwafer, is directly controlled by the power level of the bias power generator 125. Therefore, the wafer voltage measurement signal from the measurement instrument 140 (i.e., Vwafer from the ALU 830 of FIG. 8) is used by the feedback controller 950 to control the bias power generator 125 in a bias power feedback control loop 957. The source power generator 920, on the other hand, directly controls plasma ion density. Therefore, plasma ion density measurement signal from the measurement instrument 140 (i.e., kb2Vwafer 3/2 from the ALU 880 of FIG. 8) is used by the feedback controller 950 to control the source power generator 920 in a source power feedback control loop 958.
  • The bias power feedback control loop 957 includes a memory 960 that stores a selected or desired target value of the wafer voltage or ion energy, [Vwafer]TARGET. A subtractor 962 subtracts this target value from the sensed wafer voltage Vwafer to produce an error signal. The gain of the bias power feedback loop 957 is determined by a bias power feedback gain factor stored in a memory 964. A multiplier 966 multiplies the error signal from the subtractor 962 by the gain factor in the memory 964 to produce a correction signal used to control the power level of the bias power generator 125. The path of the bias power feedback control loop 957 is completed by the V, I and Pbias signals applied to the measurement instrument 140 to produce the measurement signal Vwafer representing the wafer voltage.
  • The source power feedback control loop receives from the measurement instrument 140 the sensed ion density value b2Vwafer 3/2. A memory 975 stores a selected or desired target value of the ion density, [b2Vwafer 3/2]TARGET. A subtractor 980 computes the difference between the measured ion density and the ion density target value to produce an error signal. The gain of the source power feedback control loop 958 is determined by a source power feedback gain factor stored in a memory 985. A multiplier 990 multiplies the error signal from the subtractor 980 by the gain factor from the memory 985 to produce a correction signal. This correction signal is used to control the power level of the RF source power generator 920. The path of the source power feedback control loop 958 is completed by the V, I and Pbias signals applied to the measurement instrument 140 to produce the measurement signal b2Vwafer 3/2 representing the ion density.
  • At the start of a plasma process step such as an etch process step, initial values for the power levels PS and PB of the RF source power generator 920 and the RF bias power generator 125, respectively, can be specified. If these initial values are sufficiently close to the optimum values, this feature can avoid unduly large initial corrections by the feedback controller 950. For this purpose, the bias power feedback loop 957 includes a bias power command processor 992 coupled to receive the feedback correction signal from the multiplier 957 and to receive a target value for the bias power, [Pbias]TARGET. Before plasma processing begins, there is no feedback signal, and the bias power command processor 992 sets the power level of the bias power generator 125 to the initial target value [Pbias]TARGET. Once processing begins and a feedback signal is present, the bias power command processor 992 controls the bias power in accordance with the feedback correction signal from the multiplier 966 rather than the bias power target value.
  • Similarly, the source power feedback loop 958 includes a source power command processor 994 coupled to receive the feedback correction signal from the multiplier 990 and to receive a target value for the source power, [Psource]TARGET. Before plasma processing begins, there is no feedback signal, and the source power command processor 994 sets the power level of the source power generator 920 to the initial target value [Psource]TARGET. Once processing begins and a feedback signal is present, the source power command processor 994 controls the source power in accordance with the feedback correction signal from the multiplier 990 rather than the source power target value.
  • In accordance with another aspect, the source and bias power command processors 992, 994 can be instructed by the user to ignore their respective feedback control loops 957, 958 throughout much or all of the process step and instead maintain the source and bias power levels at the specified target values [Psource]TARGET and [Pbias]TARGET. The user can change these values from time to time during processing.
  • Referring to FIG. 10, the feedback control processor 950 may employ the etch rate rather than the ion density as the measured parameter in the source power feedback control loop 958. In the measurement instrument 140, the etch rate measurement signal is taken from the ALU 870 of FIG. 8 that computes b2Vwafer 2. In FIG. 10, a memory 975′ (in lieu of the memory 975 of FIG. 9) stores a target value of the etch rate, [b2Vwafer 2]TARGET. The subtractor 980 operates as described with reference to FIG. 9 to produce an error signal. The remainder of the source power feedback control loop of FIG. 10 generally is the same as in FIG. 9.
  • Process Set Point Controller:
  • The feedback controller 950 requires a number of target values for various process control parameters. Specifically the feedback controller 950 of FIG. 9 has a memory 975 storing the target value for the ion density, [b2Vwafer 3/2]TARGET, and a memory 960 storing the target value for the ion energy (or, equivalently, wafer voltage), [Vwafer]TARGET. In the feedback controller of FIG. 10, the memory 975 is replaced by the memory 975′ storing the target value for the etch rate, [b2Vwafer 2]TARGET. In addition, the feedback controller 950 can employ initial target values [Psource]TARGET and [Pbias]TARGET for the source and bias power levels respectively to initialize the feedback controller 950, as discussed above. The selection or optimization of these target values can be left to the user=s efforts, which may involve an undue amount of trial and error and may be unreliable. Typically, a user who wishes to achieve certain process results (e.g., a certain etch rate, a certain ion energy, a reduction in etch processing artifacts such as striations, a reduction in heating due to wafer current, etc.) must conduct a time-consuming program of trial and error experiments to find the optimum process control parameters values to achieve the desired results. For this reason, the alteration of an existing process or the design of a new process must be undertaken over a very long development period.
  • In order to overcome this limitation, a process set point controller 1110 employed in the reactor of FIG. 11 automatically and quickly (or instantaneously) finds the optimum target values of process control parameters based upon the user's selection of values for various performance parameters. For example, the process set point controller 1110 may determine the target values [Psource]TARGET and [Pbias]TARGET based upon a desired etch rate and/or a desired wafer voltage or other performance parameter specified by the user. Thus, a new process recipe can be designed nearly instantaneously. For present plasma reactors, this can take place in milliseconds, but could be made to be as fast as microseconds if needed.
  • There are many process control parameters (i.e., characteristics of the reactor under direct user control such as chamber pressure, source and bias power levels, etc.) and many process performance parameters (i.e., characteristics of the plasma and process not susceptible of direct control such as etch rate, ion density, ion energy, wafer current, etc.). A user can specify any one or more of these performance parameters as an objective for a given process. Any one or group of or all of the control parameters can be used to achieve the desired levels of the performance parameters chosen by the user. The question is whether or not the effects of some of the control parameters might be dependent upon others of the control parameters in controlling the performance parameters chosen by the user. Thus, the problem of selecting the right set of control parameters to achieve the desired results in the chosen performance parameters is complex and there appears to be no particularly optimum choice.
  • However, I have discovered that the source power and the bias power control the performance parameters of interest and do so in an independent manner. That is, source power Psource and bias power Pbias are independent variables and may be thought of as orthogonal entities forming a two-dimensional control space in which control of the performance parameters may be exercised with such versatility that no alteration of the other control parameters is required. This discovery greatly reduces the problem to only two variables.
  • Therefore, the following description will concern a control system in which the control parameters, with the exception of Psource and Pbias, are held constant during processing. Thus, process control parameters including chamber pressure, gas composition, gas flow rate, source power frequency, bias power frequency, etc., are held constant. The source power and bias power levels (Psource and Pbias) are varied to achieve desired values in a specified set of performance parameters (e.g., etch rate and ion density).
  • The problem of finding target values for the various parameters given a set of user-defined values for a chosen set of performance parameters is solved by the process set point controller 1110 superimposing a set of constant parameter contours in the two-dimensional Psource−Pbias space referred to above. Such constant parameter contours are obtained from a constant parameter contour generator 1120 in FIG. 11. For example, contours of constant ion density (FIG. 12), contours of constant ion energy or wafer voltage (FIG. 13), and contours of constant etch rate (FIG. 14) are employed. How the constant parameter contour generator 1120 produces these contours using the measurement instrument 140 will be described later in this specification. The present description concerns their use by the process set point controller 1110.
  • Referring to FIG. 12, a set of contours of constant plasma ion density in Psource−Pbias space for a chamber pressure of 20 mT generally have a small negative slope and a small but positive first derivative d(Psource)/d(Pbias). The top-most contour corresponds to a constant plasma density of 5H1010 ions/cm3 while the bottom contour corresponds to 1.5H1010 ions/cm3. The vertical axis (Psource) ranges from 0 to 1500 Watts while the horizontal axis (Pbias) ranges from 2000 to 4500 Watts. Referring to FIG. 13, a set of contours of constant wafer voltage for the same chamber pressure (20 mT) have a positive slope and range from 600 volts (at the top) to 1800 Volts (at the bottom). Referring to FIG. 14, a set of contours of constant etch rate (in arbitrary units, e.g., where k=1) have a large negative slope.
  • The process set point controller 1110 determines how to simultaneously satisfy user-selected values of ion density, ion energy and etch rate. It does this by finding the intersection in Psource−Pbias space of the corresponding contours of FIGS. 12-14. This intersection indicates the optimum target values for source and bias power, namely [Psource]TARGET and [Pbias]TARGET. The problem is somewhat simpler if the user specifies values for only two performance parameters. For example, if the user specifies a wafer voltage of 1100 Volts and an ion density of 3.5H1010 ions/cm3, then the correct point in Psource−Pbias space is found by superimposing the constant wafer voltage contour for 1100 volts from FIG. 12 and the constant density contour for 3.5H1010 ions/cm3 from FIG. 13 and finding their intersection in Psource−Pbias space. This procedure is performed by the process set point controller 1110 and is illustrated in FIG. 15 in which the two curves intersect in Psource−Pbias space at the point [850 W, 3750 W]. Therefore, in this example the user=s requirements are met by setting the source power level at 850 W and setting the bias power level at 3750 W. Thus, in this case the target values [Psource]TARGET and [Pbias]TARGET furnished to the source power command processor 994 and bias power command processor 992 of FIG. 9 are 850 Watts and 3750 Watts, respectively.
  • It should be noted that this deduction of the target values of source and bias power levels may also result in the deduction of a target value for other parameters whose values have not been specified or limited by the user. As an illustration, in the foregoing example, the user has not specified a particular etch rate. However, a target value for the etch rate satisfying the user-selected values for ion density and energy can be found by superimposing the contours of FIG. 14 onto FIG. 15 (or vice versa). The point [850 W, 3750 W] lies on the contour of a constant etch rate of 2.101 (in arbitrary units) of FIG. 14, as indicated by the AX@ symbol in that drawing. Therefore, if the feedback controller of FIG. 10 is employed, then the set point controller 1110 writes an etch rate target value of 2.101 in arbitrary units to the memory 975 of FIG. 10.
  • An advantage of this feature is that the contours of constant voltage, density, etch rate, etc., are characteristic of the reactor and generally do not change for given process conditions. They may therefore be determined by the constant parameter contour generator 1120 prior to processing and made available to the process set point controller 1110 constantly during use of the reactor, as indicated in FIG. 11. In this way, a target value for a particular parameter may be found instantly or whenever required in the manner illustrated in FIG. 15.
  • In operation, the bias power command processor 992 and the source power command processor 994 receive the target values [Psource]TARGET and [Pbias]TARGET from the process set point controller 1110 and receive feedback signals from the multipliers 958 and 957 respectively. During system initialization, the feedback signals are ignored, and the processors 992, 994 put the power levels of the RF generators 125, 920 to the target values [Psource]TARGET and [Pbias]TARGET, respectively. After processing begins, the feedback signals are available and the processors 992, 994 can use the feedback control loops 957, 958 instead of the target values to control the source power and bias power levels. Alternatively, the power command processors 992, 994 may be programmed so that the target values [Psource]TARGET and [Pbias]TARGET determine the source and bias power levels not only at initialization but also during processing, while the feedback loops 957, 958 are ignored.
  • FIG. 11 shows that the user can apply to the process set point controller 1110 any one or a combination of user selected values for performance parameters, including etch rate, wafer voltage, ion density and wafer current. In response, the process set point controller 1110 uses the appropriate contours from the contour generator 1120 to produce not only source and bias power target values but, in some cases, target values for other parameters not limited or specified by the user, which may be a target value for the etch rate, the ion density, the ion energy or the wafer current. These target values are furnished to the feedback controller 950 for use in the manner described previously in this specification with reference to FIG. 9.
  • FIG. 16 illustrates the structure and operation of the process set point controller 1110 of FIG. 11. A first logic unit 1610 receives an etch rate command (if any) from the user and fetches from a memory 1615 the corresponding contour of constant etch rate in the set of contours of constant etch rates previously generated by the contour generator 1120. A second logic unit 1620 receives an ion density command (if any) from the user and fetches from a memory 1625 the corresponding contour of constant ion density in the set of contours of constant ion density previously generated by the contour generator 1120. A third logic unit 1630 receives a wafer voltage (ion energy) command (if any) from the user and fetches from a memory 1635 the corresponding contour of constant wafer voltage in the set of contours of constant wafer voltage previously generated by the contour generator 1120. A fourth logic unit 1640 finds the intersection point in Psource−Pbias space between any of the contours selected by the logic units 1610, 1620, 1630. This intersection point is output to the feedback controller 950 of FIG. 11 as [Psource]TARGET, [Pbias]TARGET.
  • Contour Generator 1120:
  • Operation of the contour generator 1120 of FIG. 11 is illustrated in FIGS. 17, 18 and 19. FIG. 17 illustrates the operation of the contour generator 1120 in finding functions defining how certain performance parameters vary with bias power. These include functions for the performance parameters of wafer voltage, ion density and etch rate. As will be described below, the observations of changes in wafer voltage, ion density and etch rate with bias power are made for the contour generator 1120 by the measurement instrument 140 using the configuration of FIG. 11. In FIG. 11, the measurement instrument 140 transmits instantaneous measurements of wafer voltage, ion density and etch rate to the contour generator 1120. The contour generator 1120 also receives the current source power and bias power commands, as indicated in FIG. 11, allowing it to correlate behavior of the performance parameters of wafer voltage, ion density and etch rate, with the control parameters of source power and bias power.
  • FIG. 18 illustrates the operation of the contour generator 1120 in finding functions defining how certain performance parameters vary with source power. As in FIG. 17, in FIG. 18 these include functions for the performance parameters of wafer voltage, ion density and etch rate. Also as in FIG. 17, in the operation of FIG. 18 is carried out using the configuration of FIG. 11.
  • FIG. 19 illustrates the operation of the contour generator 1120 in parameterizing the separate functions of source power and bias power discovered in the operations of FIGS. 17 and 18 into combined functions of both source power and bias power. Such combined functions represent the behavior of the performance parameters (wafer voltage, ion density, etch rate) in 2-dimensional Psource−Pbias space. The contour generator 1120 then derives the contours of constant ion density, ion energy and etch rate from the respective combined functions.
  • The operation depicted in FIG. 17 will now be described in detail with reference to both FIGS. 11 and 17. In the step of block 1710 of FIG. 17, the frequencies of the bias and source power generators 125, 920 of FIG. 11 are set to constant values, the exhaust rate of a vacuum pump 1180 of the reactor of FIG. 11 is controlled to achieve a constant chamber pressure, and mass flow rates from gas supplies 1182, 1184 are set through a mass flow controller 1186 of FIG. 11 to constant values. In the step of block 1720 of FIG. 17, the power level of the source power generator 920 of FIG. 11 is set to an initial set point, so that the entire process is at a steady state with the exception of the bias power level. In the step of block 1730 of FIG. 17, the power level of the bias power generator 125 of FIG. 11 is set at the beginning of a predetermined range. The measurement instrument 140 then senses the voltage current and power at the impedance match 130 in order to measure wafer voltage, ion density and etch rate in the manner described previously with respect to FIGS. 1-8 (block 1740 of FIG. 17). These measurements are sent to the contour generator 1120 and stored in a memory 1120 a. In the next step (block 1750 of FIG. 17), the power level of the bias power generator 125 of FIG. 11 is incremented (by command of the controller 1110) to a slightly higher value and held at that value. A determination is then made in the step of block 1760 of FIG. 17 as to whether or not the latest bias power level is at the end of the bias power range. If not (ANO@ branch of block 1760), the operation returns in a loop 1765 to the step of block 1740. The steps within the loop 1765 are repeated in this manner until the end of the bias power range is reached (AYES@ branch of block 1760). The result is that three sets of data corresponding to functions of bias power defining the behaviors of wafer voltage, ion density and etch rate are stored in the memory 1120 a. Using conventional data fit algorithms, the contour generator uses the three sets of data to produce algebraic functions corresponding to the data, which are stored in the memory 1120 a as follows; V wafer = f a ( P bias ) i = f b ( P bias ) i ER = f c ( P bias ) i
    where η is plasma ion density, ER is etch rate and the index i refers to the current level of the source power generator 915 (block 1770). In the next step of FIG. 17 (block 1780), the level of the source power generator 915 is incremented to a new value so that i6i+1. If the new source power level is not at the end of the source power range (ANO@ branch of block 1790), then the operation returns in a loop 1795 to the step of block 1730, and the steps within the loop 1795 (i.e., blocks 1730 through 1790) are repeated until the source power level reaches the end of the source power range (AYES@ branch of block 1790). The result is that many sets of the functions V wafer = f a ( P bias ) i = f b ( P bias ) i ER = f c ( P bias ) i
    for all values of i within the source power range are stored in the memory 1120 a. This permits an analytical determination of whether or not the behavior of the three behavior parameters Vwafer, η, ER with bias power changes with source power. I have discovered that it does not change to a great extent, so that bias power and source power are at least nearly independent variables. Thus, a single function of bias power for each of the parameters Vwafer, η, ER generally suffices as a fairly accurate prediction of behavior over the entire range of the source power level, at least for the range chosen in the working examples given later in this specification. Thus, the loop 1795 of FIG. 17 may not be strictly necessary. Instead, it may be acceptable to choose a single value for the source power level in the middle of the source power level range in step 1720 and perform the loop of 1765 to produce a single set of data for each of the three functions V wafer = f a ( P bias ) = f b ( P bias ) ER = f c ( P bias )
    These three functions of bias power are stored in the memory 1120 a.
  • The operation depicted in FIG. 18 will now be described in detail with reference to both FIGS. 11 and 18. In the step of block 1810 of FIG. 18, the frequencies of the bias and source power generators 125, 920 of FIG. 11 are set to constant values, the exhaust rate of a vacuum pump 1180 of the reactor of FIG. 11 is controlled to achieve a constant chamber pressure, and mass flow rates from gas supplies 1182, 1184 are set through a mass flow controller 1186 of FIG. 11 to constant values. In the step of block 1820 of FIG. 18, the power level of the bias power generator 125 of FIG. 11 is set to an initial set point, so that the entire process is at a steady state with the exception of the source power level. In the step of block 1830 of FIG. 18, the power level of the source power generator 920 of FIG. 11 is set at the beginning of a predetermined range. The measurement instrument 140 then senses the voltage current and power at the impedance match 130 in order to measure wafer voltage, ion density and etch rate in the manner described previously with respect to FIGS. 1-8 (block 1840 of FIG. 18). These measurements are sent to the contour generator 1120 and stored in the memory 1120 a. In the next step (block 1850 of FIG. 18), the power level of the source power generator 920 of FIG. 11 is incremented (by command of the controller 1110) to a slightly higher value and held at that value. A determination is then made in the step of block 1860 of FIG. 18 as to whether or not the latest source power level is at the end of the source power range. If not (NO branch of block 1860), the operation returns in a loop 1865 to the step of block 1840. The steps within the loop 1865 are repeated in this manner until the end of the source power range is reached (YES branch of block 1860). The result is that three sets of data corresponding to functions of source power defining the behaviors of wafer voltage, ion density and etch rate are stored in the memory 1120 a. Using conventional data fit algorithms, the contour generator 1120 uses the three sets of data to produce algebraic functions corresponding to the data, which are stored in the memory 1120 a as follows: V wafer = f a ( P source ) i = f b ( P source ) i ER = f c ( P source ) i
    where η is plasma ion density, ER is etch rate and the index i refers to the current level of the bias power generator 125 (block 1870). In the next step of FIG. 18 (block 1880), the level of the bias power generator 125 is incremented to a new value so that i6i+1. If the new bias power level is not at the end of the bias power range (NO branch of block 1890), then the operation returns in a loop 1895 to the step of block 1830, and the steps within the loop 1895 (i.e., blocks 1830 through 1890) are repeated until the bias power level reaches the end of the bias power range (YES branch of block 1890). The result is that many sets of the functions V wafer = f a ( P source ) i = f b ( P source ) i ER = f c ( P source ) i
    for all values of i within the bias power range are stored in the memory 1120 a. This permits an analytical determination of whether or not the behavior of the three behavior parameters Vwafer, η, ER with source changes with bias power. I have discovered (as in the case of FIG. 17) that it does not change to a great extent, so that bias power and source power are at least nearly independent variables, as discussed above. Thus, a single function of source power for each of the parameters Vwafer, η, ER generally suffices as a fairly accurate prediction of behavior over the entire range of the bias power level, at least for the range chosen in the working examples given later in this specification. Thus, the loop 1895 of FIG. 18 may not be strictly necessary. Instead, it may be acceptable to choose a single value for the bias power level in the middle of the bias power level range in step 1820 and perform the loop of 1865 to produce a single set of data for each of the three functions V wafer = f a ( P source ) = f b ( P source ) ER = f c ( P source )
    These three functions of source power are stored in the memory 1120 a. Thus, upon completion of the operations of FIGS. 17 and 18, the memory 1120 a holds the following pair of functions for the wafer voltage:
    Vwafer =f a(Psource)
    Vwafer =f a(Pbias)
    and following pair of functions for the ion density:
    =f b(Psource)
    =f b(Pbias)
    and the following pair of functions for etch rate:
    ER=f c(Psource)
    ER=f c(Pbias).
  • In the operation illustrated in FIG. 19, the contour generator 1120 combines each pair of functions having a single variable Psource, or Pbias, respectively, into a single combined function of the variable pair Psource and Pbias. This produces the following three functions: V wafer ( P source , P bias ) η ( P source , P bias ) ER ( P source , P bias ) .
  • Contours of constant parameter values (e.g., a contour of constant wafer voltage, a contour of constant etch rate, a contour of constant ion density) are found by setting the respective function to a constant value and then solving for Psource as a function of Pbias. For example, in order to generate a contour of constant wafer voltage at 300 V, the function Vwafer(Psource, Pbias) is set equal to 300 V, and then solved for Psource.
  • Operation of the contour generator 1120 of FIG. 11 in carrying out the foregoing steps of generating the combined two-variable functions and then solving them for Psource as a function of Pbias at various constant values is illustrated in FIG. 19. Referring now to FIG. 19, the first step (block 1910) is to take the single variable functions of wafer voltage, i.e., Vwafer(Psource) and Vwafer(Pbias) and find their combined function. The next step (block 1920) is to take the single variable functions of ion density, i.e., η(Psource) and η(Pbias) and find their combined function η(Psource, Pbias). The third step (block 1930) is to take the single variable functions of etch rate, i.e., ER(Psource) and ER(Pbias) and find their combined function ER(Psource, Pbias).
  • Then, the contours of constant values are generated. To generate a contour of constant wafer voltage (block 1940 of FIG. 19), the function Vwafer(Psource, Pbias) is set equal to a constant value of wafer voltage and the resulting expression is then solved for Psource as a function of Pbias. This step is repeated for a range of constant wafer voltage values to generate a set of contours covering the range. These contours are stored in the memory 1120 a of FIG. 11 (block 1945 of FIG. 19).
  • To generate a contour of constant ion density (block 1950 of FIG. 19), the function η(Psource, Pbias) is set equal to a constant value of ion density and the resulting expression is solved for Psource as a function of Pbias. This step is repeated for a range of constant ion density values to generate a set of contours covering the range of ion density values. These contours are stored in the memory 1120 a of FIG. 11 (block 1955 of FIG. 19).
  • To generate a contour of constant etch rate (block 1960 of FIG. 19), the function ER(Psource, Pbias) is set equal to a constant value of etch rate and the resulting expression solved for Psource as a function of Pbias. This step is repeated for a range of constant etch rate values to generate a set of contours covering the range of etch rate values. These contours are stored in the memory 1120 a of FIG. 11 (block 1965 of FIG. 19).
  • Generally, each combined two-variable function, e.g., Vwafer(Psource, Pbias)) can be approximated by the product of the pair of individual functions, e.g., Vwafer(Psource) and Vwafer(Pbias). For example, ignoring all control parameters except RF power level and ignoring constants of proportionality:
    Vwafer =f a(Psource)·[Psource]1/2
    Vwafer =f a(Pbias)·[Pbias]1/2
    so that the combined two-variable function is approximately: Vwafer=Fa(Psource, Pbias)=fa(Psource)fa(Pbias). [Psource]1/2 [Pbias]1/2 This expression, however is not exact. The exact function is best found by curve-fitting techniques involving all control parameters, namely Psource and Pbias, as above, and in addition, source power frequency, bias power frequency, chamber pressure, and magnetic field (if any). I have found the following expression for Vwafer as a function of both Psource and Pbias:
    Vwafer(Psource, Pbias)=V0(Pbias/Pb0)0.4[(Psource/Ps0)K1(p/p0)−1+(p/p0)0.5]−0.5
    where Pb0 is a maximum bias power value, Ps0 is a maximum source power value, p0 is a minimum chamber pressure, and p is the actual chamber pressure. In the reactor chamber described above, the maximum source power Ps0 was 1500 Watts, the maximum bias power Pb0 was 4500 Watts and the minimum pressure p0 was 30 mT. These values may differ from the foregoing example depending upon chamber design and process design. V0 is determined in accordance with the following procedure: the maximum bias power Pb0 is applied to the wafer pedestal while the source power is held to zero and the chamber is held to the minimum pressure p0. The wafer voltage Vwafer is then measured and this measured value is stored as V0. K1 is then determined by increasing the source power to its maximum value Ps0 and then measuring the wafer voltage Vwafer again, and K1 is adjusted until the foregoing equation yields the correct value for Vwafer.
  • The exponents in the foregoing equations were obtained by an extensive trial and error parameterization process for the reactor described in this specification. These exponents may be useful for other reactor designs, or the user may wish to try other exponents, depending upon the particular reactor design.
  • Ion density, η, and etch rate, ER, are both functions of Vwafer and b, the plasma susceptance or imaginary part of the plasma admittance, as described previously herein with reference to FIG. 8:
    =b2Vwafer 2
    and
    ER=kb2Vwafer 3/2
  • Therefore, only the plasma susceptance b need be specified in addition to Vwafer to define ER and η, for the sake of brevity. I have found the following expression for the plasma susceptance b as a function of both Psource and Pbias:
    b(Psource, Pbias)=b 0(Pbias/Pb0)−0.25[(Psource/Ps0)(p/p0)−0.65 ][K 2(Pbias/Pb0)−0.62(p/p0)3+(p/p0)0.27]
    where the definitions above apply and in addition b0 is a reference susceptance value. The reference susceptance value b0 is determined in accordance with the following procedure: the maximum bias power Pb0 is applied to the wafer pedestal while the source power is held to zero and the chamber is held to the minimum pressure p0. The susceptance b is then measured at the wafer support pedestal (using a V/I meter, for example) and this measured value is stored as b0. K2 is then determined by increasing the source power to its maximum value Ps0 and then measuring the susceptance b again, and K2 is adjusted until the foregoing equation yields the correct value for b.
  • Ion density, η, and etch rate, ER, are then obtained by substituting the expressions for Vwafer and b into the foregoing expressions for η and ER.
  • The results of the contour generator operation of FIG. 19 are illustrated for various chamber pressures in FIGS. 20-26. FIG. 20 illustrates contours of constant wafer voltage, contours of constant ion density and contours of constant etch rate superimposed upon one another in Psource−Pbias space. The chamber pressure for these contours was 100 mT. The contours of constant wafer voltage are depicted in solid lines. The contours of constant ion density are depicted in dashed lines. The contours of constant etch rate are depicted in dotted lines. The source power range (the vertical axis or ordinate) has a range from zero to 1200 Watts. The bias power range (the horizontal axis or abscissa) has a range from 200 Watts to 1200 Watts. The stated values of constant wafer voltage are RMS volts. The stated values of constant ion density are 1010 ions/cm3.
  • FIGS. 20, 21, 22, 23, 24 and 25 correspond to FIG. 20 for respective chamber pressures of 100 mT, 30 mT, 70 mT, 150 mT, 200 mT and 250 mT, respectively.
  • Once a complete set of contours of constant voltage, constant etch rate and constant ion density have been generated and permanently stored in the memory 120 a, the contour generator and even the measurement instrument may be discarded. In Such an implementation, the process set point controller 1110 would control the entire process based upon the contours stored in the memory 120 a in response to user inputs. In this case, the process set point controller 1110 could apply the bias and source power level commands directly to the bias and source power generators 125, 920, respectively, so that the feedback controller 950 could also be eliminated in such an embodiment.
  • While the measurement instrument 140 has been described with reference to discrete processors 310, 320, 340, 350, 360 that carry out individual computations, these processors comprising the measurement instrument 140 can be implemented together in a programmed computer, such as a workstation or a personal computer rather than as separate hardware entities. The contour generator 1120 may also be implemented in a programmed computer or workstation. In addition, the feedback controller 950 of FIG. 9 or FIG. 10 may be implemented in a programmed computer. Moreover, the process set point controller may be implemented in a programmed computer.
  • The measurement instrument 140 has been described in certain applications, such as in a process control system. It is also useful as a tool for “fingerprinting” or characterizing a particular plasma reactor by observing the etch rate, ion density and wafer voltage measured by the instrument 140 at a selected process setting of source power, bias power, pressure and other parameters.
  • While the description of FIG. 8 concerned an implementation in which etch rate is computed as ER−b2 Vwafer 2 and ion density as η=kb2 Vwafer 3/2, other functions may be employed, such as, for example, [bVwafer]1, or [bVwafer]2, or gVwafer 3/2 (where g in this last expression is the conductance defined previously in this specification).
  • Reactor with Array of Chamber Parameters:
  • FIG. 26 illustrates a plasma reactor similar to that of FIG. 11 but having a greater number of chamber parameters capable of being controlled by the feedback controller 950. Like elements in FIGS. 11 and 26 have like reference numerals. In addition to the elements of FIG. 11, the reactor of FIG. 26 also has inner and outer annular gas injection zones or showerheads 912, 914 within the overhead electrode 910, plural gas supplies 1182 a through 1182 f, each containing a different chemical species (or mixture) and coupled to the inner and outer gas injection zones 912, 914 through respective gas flow controllers 1186 a, 1186 b. The gas flow controllers 1186 a, 1186 b control the gas flow rate and the composition or proportion of gas flow from each of the individual gas supplies 1182 to gas injection zones 912, 914. Inner and outer magnet coils 1210, 1215 are connected to respective inner and outer DC coil current supplies 1220, 1225. An optional DC chucking voltage supply 1230 is coupled to the bias feed center conductor 212, in which case a DC isolation capacitor 1235 is connected in series between the center conductor 212 and the bias match 130.
  • Chamber Characterization for Three Chamber Parameters:
  • The reactor chamber of FIG. 26 may be characterized by quantifying the behavior of, for example, four plasma parameters (such as wafer or sheath bias voltage, ion density, etch rate, wafer current) as functions of three chamber parameters (such as source power, bias power and chamber pressure). First, single value functions of the various plasma parameters are found with individual chamber parameters as the single variables, in the processes depicted in FIGS. 27-32. The first step is to initialize the chamber parameters (block 2001 of FIG. 27). This step sets chamber parameters, such as source power PS, bias power PB, chamber pressure pch, inner magnet current Iinner, outer magnet current Iouter, gas flow rate FR, to initial (e.g., mid-range) values.
  • The next major step is for the constant contour generator 1120 to find single variable functions of each plasma parameter in which bias power is the variable, which is depicted in FIG. 27. In the example of FIG. 27, functions are found for wafer voltage, Vwafer(PB), etch rate, ER(PB), plasma ion density, η(PB), and wafer current, Iwafer(PB). Referring to FIG. 27, the first step is to set PB to the beginning of its range (block 2003 of FIG. 27). This range may be between zero and 1000 Watts at 13.56 MHz, as one possible example. The next step is to measure or sample plasma parameters of wafer voltage Vwafer, etch rate ER, plasma ion density η and wafer current Iwafer using the measurement instrument 140 of FIG. 26 (block 2005). Then the contour generator 1120, through the controller 950, increments PB by a small predetermined amount or small fraction of the range (block 2007). A determination is then made as to whether the end of the bias power range has been reached (block 2009). If not (NO branch of block 2009), the process loops back to the step of block 2005. If the end of range has been reached (YES branch of block 2009), then the process continues to the next step, namely block 2011. The step of block 2011 consists of using the sampled data to construct functions Vwafer(PB), ER(PB), η(PB) and Twafer(PB), which are stored in memory. These functions may be constructed by curve fitting techniques, for example. Then, in preparation for generation of functions depending upon other chamber parameters, the chamber parameter PB is returned to its initial value, preferably a mid-range value (block 2013).
  • The purpose of the next process, which is depicted in FIG. 28, is to find the single variable functions of which source power is the single variable, namely the functions Vwafer(PS), ER(PS), η(PS) and Iwafer(PS). Referring to FIG. 28, the first step is to set PS to the beginning of source power range (block 2015 of FIG. 28). The RF plasma source power range may be from zero to 3000 Watts at 162 MHz, as one possible example. The next step is to measure or sample the plasma parameters of wafer voltage Vwafer, etch rate ER, plasma ion density η and wafer current Iwafer with the measurement instrument 140 (block 2017 of FIG. 28). Then, the generator 1120/controller 950 incremented PS (block 2019). A determination is then made of whether the end of the source power range has been reached (block 2021). If not (NO branch of block 2021), the process returns to the step of block 2017. Otherwise (YES branch of block 2021), the process continues to the next step of block 2023. In the step of block 2023, the sampled data (from block 2017) is used to construct the single variable functions Vwafer(PS), ER(PS), η(PS) and Iwafer(PS), and these functions are stored in memory. PS is then returned to its initial value (block 2025).
  • The purpose of the next process, which is depicted in FIG. 29, is to find the single variable functions of which chamber pressure is the single variable, namely the functions Vwafer(pch), ER(pch), η(pch) and Iwafer(pch). The first step is to set pch to beginning of the chamber pressure range (block 2027). This range may lie between 0.5 mT and 200 mT, as one possible example. The next step is to measure or sample the plasma parameters of wafer voltage Vwafer, etch rate ER, plasma ion density η and wafer current Iwafer with the measurement instrument 140 (block 2029). Then, the generator 1120/controller 950 increments pch by a small fraction of the pressure range (block 2031). A determination is made at this point of whether the end of the chamber pressure range has been reached (block 2033). If not (NO branch of block 2033), the process loops back to the step of block 2029. Otherwise (YES branch of block 2033), the process continues with the next step, namely block 2035. In block 2035, the sampled data from the step of block 2029 is used to construct the functions Vwafer(pch), ER(pch), η(pch) and Iwafer(pch), which are then stored in memory. In block 2037, pch is returned to its initial value.
  • The purpose of the next process, which is depicted in FIG. 30, is to find the single variable functions of which the current of the inner magnet coil 1210 of FIG. 26) is the single variable, namely the functions Vwafer(Iinner), ER(Iinner), η(Iinner) and Iwafer(Iinner). In other embodiments, the current could be (instead) the AC current applied to MERIE magnets if these are present in the reactor. First, Iinner is set to the beginning of its range (block 2039). The next step is to measure or sample the plasma parameters of wafer voltage Vwafer, etch rate ER, plasma ion density η and wafer current Iwafer with the measurement instrument 140 (block 2041). Then, the generator 1120/controller 950 increments Iinner by a small predetermined fraction of its range (block 2043). As determination is made at that point of whether the end of the magnet coil current range has been reached (block 2045). If not (NO branch of block 204b), the process loops back to the step of block 2041. Otherwise (YES branch of block 2045), the process proceeds to the next step, namely block 2047. In the step of block 2047, the sampled data from the step of block 2041 is used to construct the functions Vwafer(Iinner) ER(Iinner), η(Iinner) and Iwafer(Iinner), which are then stored in memory. The last step of this process is to return Iinner to its initial value (block 2049).
  • The purpose of the next process, which is depicted in FIG. 31, is to find the single variable functions of which the current supplied to the outer magnet coil 1215 of FIG. 26 is the single variable, namely the functions Vwafer(Iouter), ER(Iouter), η(Iouter) and Iwafer(Iouter). The first step is to set Iouter to beginning of its range (block 2051 of FIG. 31). The next step is to measure or sample the plasma parameters of wafer voltage Vwafer, etch rate ER, plasma ion density η and wafer current Iwafer with the measurement instrument 140 (block 2053). The generator 1120/controller 950 then increment Iouter by a predetermined small fraction of its range (block 2055). A determination is made as to whether the end of range has been reached (block 2057). If not, the process loops back to the step of block 2053. Otherwise, the process proceeds with the next step. In the next step (block 2059), the sampled data from the step of block 2053 is used to construct the functions Vwafer(Iouter), ER(Iouter) η(Iouter) and Iwafer(Iouter), which are then stored in memory. This process concludes by returning Iouter to its initial value (block 2061).
  • The purpose of the next process, which is depicted in FIG. 32, is to find the single variable functions of which the gas flow rate FR (or alternatively, the gas composition) is the single variable, namely the functions Vwafer(FR), ER(FR), η(FR) and Iwafer(FR). The gas composition may be the ratio between the carrier gas (e.g., argon) and the etchant species (fluorine or fluorocarbon or fluorhydrocarbon species), for example. The gas composition or gas flow rate may be separately defined as two different variables for each of the two (inner and outer) gas injection zones 912, 914, for example. Thus, there are four possible variables or chamber parameters concerning gas flow that may be employed: inner zone gas flow rate, outer zone gas flow rate, inner zone gas composition, outer zone gas composition. The present example of FIG. 32 concerns the use of a particular one of any of the foregoing gas flow-related chamber parameters, which will is labelled FR.
  • The first step of the process of FIG. 32 is to set FR to beginning of range (block 2063). The next step is to measure or sample the plasma parameters of wafer voltage Vwafer, etch rate ER, plasma ion density η and wafer current Iwafer with the measurement instrument 140 (block 2065). The next step is to increment FR (block 2067). A determination is then made of whether the end of range of the gas flow or gas composition parameter FR has been reached (block 2069). If not (NO branch of block 2069), the process loops back to the measurement step of block 2065. Otherwise (YES branch of block 2069), the next step (block 2071) is performed. In the step of block 2071, the sampled data is used to construct the functions Vwafer(FR), ER(FR), η(FR) and Iwafer(FR), which are then stores in memory. The construction of such functions may employ curve fitting techniques, for example. The final step of this process is to return FR to its initial value (block 2073).
  • Process Control in a 3-D Control Space—Translating Desired Plasma Parameter Values to Chamber Parameter Values:
  • The single variable functions produced for the different plasma parameters in the processes of FIGS. 27-32 may be employed in subsequent processes (depicted in FIGS. 33-36) to construct a three-dimensional control space with two-dimensional surfaces of constant plasma parameter values for later use in controlling the reactor chamber during wafer processing. In the example of FIGS. 33-36, the three chamber parameters of source power, bias power and chamber pressure (i.e., PB, PS and pch) are selected.
  • The purpose of the process of FIG. 33(performed by the contour generator 1120) is to exploit the 3-D control space of PB, PS and pch to produce 2-D contours (surfaces) of constant Vwafer. These will be accumulated in a collection of such surfaces for later use in controlling the reactor chamber during wafer processing.
  • The first step (block 2075) in the process of FIG. 33 is to correlate or combine the single-variable functions Vwafer(PB), Vwafer(PS) and Vwafer(pch) into a single three-variable function Vwafer(PB, PS, pch). Curve fitting techniques of the type referred to earlier in this specification may be employed, for example, to accomplish this step. Next, an index “i” is initialized to one: by setting i=1 (block 2077). An equation is formed (block 2079) by setting the function Vwafer(PB, PS, pch) equal to the ith value in the range of values of Vwafer. This equation is solved to find the 2-D contour (surface) of constant Vwafer for the ith value of Vwafer. This contour is stored in the memory 1120 a of the contour generator 1120. The index i is then incremented by one by setting i=i+1 (block 2081), and the process loops back to block 2079 if the end of the range of values of Vwaferhas not been reached (No branch of block 2183). Otherwise, if the end of range has been reached (YES branch of block 2183), the current process is complete and the next process is begun.
  • The purpose of the next process (FIG. 34) (performed by the contour generator 1120) is to exploit the 3-D control space of PB, PS and pch to produce 2-D contours (surfaces) of constant etch rate (ER). These will be accumulated in a collection of such surfaces for later use in controlling the reactor chamber during wafer processing.
  • The first step (block 2085) in the process of FIG. 34 is to correlate/combine the single variable functions ER(PB), ER(PS) and ER(pch) into a single three variable function ER(PB, PS, pch). An index i is initialized to one by setting i=1 (block 2087). Then an equation is formed by setting the function ER(PB, PS, pch) equal to the ith value in the range of values of ER. This equation is solved to produce the 2-D contour (surface) of constant ER for the ith value of ER. This contour is then stored in the memory 1120 a (block 2089). The index i is incremented by one by setting i=i+1 (block 2091). A determination is then made of whether the end of the range of ER values has been reached (block 2093). If not (NO branch of block 2093), the process loops back to the step of block 2089. Otherwise (YES branch of block 2093), the current process is complete and the next process is performed.
  • The purpose of the next process (FIG. 35) (performed by the contour generator 1120) is to exploit the 3-D control space of PB, PS and pch to produce 2-D contours (surfaces) of constant η. These will be accumulated in a collection of such surfaces for later use in controlling the reactor chamber during wafer processing.
  • The first step (block 2095) in the process of FIG. 35 is to correlate or combine the single variable functions η(PB), η(PS) and η(pch) into a single three-variable function η(PB, PS, pch) The step may be carried out using curve fitting techniques, for example. Then, an index i is initialized to one by setting i=1 (block 2097). Then, an equation is created by setting the function η(PB, PS, pch) equal to the ith value in the range of values of the plasma parameter η. This equation is solved for the 2-D contour (surface) of constant η for the ith value of i. This contour is stored in the contour generator memory 1120 a (block 2099). The index i is incremented by one by setting i=i+1 (block 2101). A determination is then made of whether the end of the range of ER values has been reached (block 2103). If not (No branch of block 2103), the process loops back to the step of block 2099. Otherwise (YES branch of block 2103), the current process is complete and the next process is performed.
  • The purpose of the next process (FIG. 36) (performed by the contour generator 1120) is to exploit the 3-D control space of PB, PS and pch to produce 2-D contours (surfaces) of constant Iwafer. These will be accumulated in a collection of such surfaces for later use in controlling the reactor chamber during wafer processing.
  • The first step (block 2105) in the process of FIG. 36 is to correlate or combine the single variable functions Iwafer(PB), Iwafer(PS) and Iwafer(pch) to produce a single three-variable function Iwafer(PB,i PS, pch) The next step is to initialize an index i to one by setting i=1 (block 2107). In the step of block 2109, an equation is created by setting the function Iwafer(PB, PS, pch) equal to the ith value of Iwafer. This equation is solved for the 2-D contour (surface) of constant Iwafer for the ith value of Iwafer. This contour is then stored in the contour generator memory 1120 a. The index i is incremented by one by setting i=i+1 (block 2111). A determination is made of whether the end of range of the values of Iwafer has been reached (block 2113). If not (NO branch of block 2113), the process loops back to the step of block 2109. Otherwise (YES branch of block 2113), the current process is complete and the next process is performed.
  • The same processes may be performed for other chamber parameters. Such chamber parameters may include the gas flow rates of the inner and outer gas injection zones and the gas compositions of the different gas mixtures supplied to the inner and outer gas injection zones, for example. The foregoing procedures complete the characterization of the reactor chamber for the selected chamber parameters and plasma parameters.
  • The next process is to exploit the chamber characterization information obtained in the foregoing processes to provide a translation from desired values of selected plasma parameters to required values of selected chamber parameters. As one example of such a process, FIG. 37 depicts a process for controlling three selected plasma parameters in the 3-D PB-PS-pch control space in response to user-selected values for Vwafer, ER and η. This process is controlled by the process set point controller 1110 of FIG. 26.
  • The process of FIG. 37 is controlled by the set point controller and begins with the set point controller 1110 receiving the user-selected values for Vwafer, ER and η (block 2115 of FIG. 37). The next step is to fetch from memory the 2-D surface (contour) of constant wafer voltage corresponding to the unique user-selected value of Vwafer and label the surface SV (block 2117 of FIG. 37). Then, the controller 1110 fetches from memory the 2-D surface (contour) of constant etch rate corresponding to the user-selected value of ER and label the surface SER (block 2119). Next, the controller 1110 fetches from memory the 2-D surface (contour) of constant plasma ion density corresponding to the user-selected value of η and labels the surface Sη (block 2121). The controller 1110 then locates the point of intersection (PB′, PS′, pch′) of the three surfaces SV, SER and Sη in 3-D PB-PS-pch space (block 2123). The set point controller 1110, acting through the feedback controller 950, then sets the RF bias power generator output level to the intersection value PB′ (block 2125), sets the RF source power generator output level to the intersection value PS′ (block 2127) and sets the chamber pressure to the intersection value pch′ (block 2129). This completes one control cycle of the process.
  • The intersection of three surfaces of constant plasma parameter values (of three different plasma parameters) in three dimensional chamber parameter space of the type exploited in the process of FIG. 37 is depicted in FIG. 38. The surfaces are two-dimensional objects residing in three-dimensional space. The intersection of the three surfaces lies at a single point whose location is specified by the 3-vector (PB′, PS′, pch′). The three orthogonal axes of FIG. 38 correspond to the three chamber parameters (PB, PS, pch) The three surfaces in FIG. 38 are the surfaces of constant value for each of the plasma parameters Vwafer, ER and η, for which the values are the user-selected values.
  • Under-constrained 3-D Control Space—Providing an Extra Degree of Freedom to Vary the Chamber Parameters:
  • In the example of FIG. 38, the number of plasma parameters and the number of chamber parameters is the same. It is possible to obtain an additional degree of freedom by underconstraining the chamber parameters. This feature arises whenever the number of selected plasma parameters is less than the number of selected chamber parameters. FIG. 39 illustrates such a case, in which the three chamber parameters are constrained by user selected values of only two plasma parameters. For example, in a three dimensional control space of PB PS, pch, the only constrained plasma parameters may be Vwafer and η. In this case, there are only two surfaces intersecting the 3-D control space, and such an intersection occurs along a line or curve. The allows the user to vary the chamber parameters to any set of values (PB′, PS′, pch′) lying on that line while continuing to meet the user-selected values for Vwafer and η.
  • Alternating Set Point Control in 3-D Control Space with Real Time Feedback Control:
  • The foregoing chamber control process may be employed any time or all the time, but is particularly useful at the start of wafer processing, when no real time measurements of the plasma parameters are available. After plasma processing of the wafer is underway and measurements of plasma parameters become available through the measurement instrument 140, control may be taken over by the feedback controller 950. The feedback controller 950 compares actual real time measurements of selected plasma parameters (from the measurement instrument 140) with the user-selected values of those parameters. The feedback controller 950 minimizes those differences by correcting source power (for etch rate or ion density) and correcting bias power (for wafer voltage), as described earlier in this specification with reference to FIGS. 9 and 10.
  • If there is a significant change in one (or more) user selected values of plasma parameters, then the change can be immediately affected by reverting back to the control process of FIG. 37, in which the new chamber parameter settings are instantly ascertained by finding the intersection in 3-D control space of the contours corresponding to the (new) user-selected values of plasma parameters. This option enables the chamber to nearly instantaneously meet any changes in process recipe, a significant advantage.
  • Process Control in a 4-D Control Space—Translating Desired Plasma Parameter Values to Chamber Parameter Values:
  • FIGS. 40-45 depict a control process example involving a 4-dimensional control space. This example involves generating four-variable functions from the single variable functions produced by the chamber characterization processes of FIGS. 27-32. Specifically, FIGS. 40-45 illustrate the example of a 4-D control space of the chamber parameters PB, PS, FR and Iinner. In the first process of this example, that of FIG. 40, the task is to produce 3-D contours (in 4-D space) of constant Vwafer. The first step (block 2131 of FIG. 40) is to correlate or combine the four single variable functions Vwafer(PB), Vwafer(PS) Vwafer(FR) and Vwafer(Iinner) to produce a single four-variable function Vwafer(PB, PS, FR, Iinner). Curve fitting techniques may be employed in carrying out this step. An index i is initialized to one by setting i=1 (block 2132). An equation is created by setting the function Vwafer(PB, PS, FR, Iinner) equal to the ith value in the range of values of Vwafer. This equation is solved for the 3-D contour (surface) of constant Vwafer corresponding to the ith value of Vwafer. This contour is stored in the contour generator memory 1120 a (block 2133). The index i is then incremented by setting i=i+1 (block 2134). A determination is made of whether the end of the range of values for Vwafer has been reached (block 2135). If not, the process returns to the step of block 2133 (NO branch of block 2135). Otherwise, the process is finished and the next process is begun (YES branch of block 2135).
  • In the next process of this example, that of FIG. 41, the task is to produce 3-D contours (in 4-D space) of constant ER. The first step (block 2137) is to correlate or combine the four single variable functions ER(PB), ER(PS), ER(FR) and ER(Iinner) into a single four-variable function ER(PB, PS, FR, Iinner). This step may be carried out using curve fitting techniques. An index i is initialized to one by setting i=1 (block 2139). In the step of block 2141, an equation is created by setting the function ER(PB, PS, FR, Iinner) equal to the ith value in the range of values of ER. This equation is solved for the 3-D contour (surface) of constant ER corresponding to the ith value of ER. This contour is stored in the contour generator memory 1120 a. The index i is incremented (block 2143) and a determination is made of whether end of range of etch rate (ER) values has been reached (block 2145). If not (NO branch of block 2145), the process returns to the step of block 2141. Otherwise (YES branch of block 2145), the process is finished and the next process is begun.
  • In the next process of this example, that of FIG. 42, the task is to produce 3-D contours (in 4-D space) of constant η (etch rate). The first step (block 2147 of FIG. 42) is to correlate or combine the four single-variable functions η(PB), η(PS), η(FR) and η(Iinner) into a single four-variable function η(PB, PS, FR, Iinner). This step may employ curve fitting techniques. An index i is initialized to one by setting i=1 (block 2149). In the step of block 2151, an equation is created by setting the four-variable function η(PB, PS, FR, Iinner) equal to the ith value of the range of ion density values η. This equation is solved for the 3-D contour (surface) of constant η corresponding to the ith value of η. The contour is stored in the contour generator memory 1120 a. The index i is incremented by setting i=i+1 (block 2153) and a determination is made of whether the end of range of ion density (η) values has been reached (block 2155). If not (NO branch of block 2155), the process returns to the step of block 2151. Otherwise (YES branch of block 2155), the process is finished and the next process is begun.
  • In the next process of this example, that of FIG. 43, the task is to produce 3-D contours (in 4-D space) of constant Iwafer. The first step (block 2157 of FIG. 43) is to correlate or combine the four single-variable functions Iwafer(PB), Iwafer(PS), Iwafer(FR) and Iwafer(Iinner) into a single four-variable function Iwafer(PB, PS, FR, Iinner). An index i is initialized to one by setting i=1 (block 2159). In the step of 2161, an equation is created by setting the four-variable function Iwafer(PB, Ps, FR, Iinner) equal to the ith value of Iwafer. This equation is solved for the 3-D contour (surface) of constant Iwafer corresponding to the ith value of Iwafer. This contour is stored in the contour generator memory 1120 a. The index i is incremented by one by setting i=i+1 (block 2163). At this point, a determination is made whether the end of range of the values of Iwafer has been reached (block 2165). If not (NO branch of block 2165), the process returns to the step of block 2161. Otherwise (YES branch of block 2165), the process is finished. This completes the chamber characterization tasks required for the subsequent translation of user-selected values of four plasma parameters (e.g., Vwafer, ER, η and VI) to target values of four chamber parameters (e.g., PB, PS, FR, Iinner). The processes for performing such a translation are now described with reference to FIG. 44.
  • FIG. 44 illustrates a process for controlling four selected plasma parameters (e.g., Vwafer, ER, η and Vwafer) in the 4-D PB-PS-FR-Iinner control space in response to user-selected values for the selected plasma parameters (Vwafer, ER, η and Iwafer). This process translates the user-selected values for the plasma parameters Vwafer, ER, η and Vwafer to required values for the chamber parameters PB, PS, FR and Iinner.
  • The first step in the process of FIG. 44 is for the process set point controller 1110 to receive the user-selected values for Vwafer, ER, η and Iwafer (block 2167 of FIG. 44). In the step of block 2169, the process set point controller 1110 fetches from the contour generator memory 1120 a the 3-D surface (contour) of constant wafer voltage corresponding to the unique user-selected value of Vwafer. This surface may be labelled SV. The controller 1110 also fetches from the memory 1120 a the 3-D surface (contour) of constant etch rate corresponding to the user-selected value of ER, which may be labelled SER (block 2171). The controller 1110 fetches the 3-D surface (contour) of constant plasma ion density corresponding to the user-selected value of η and labels the surface Sη (bock 2173). Finally, the controller 1110 fetches the 3-D surface (contour) of constant wafer current corresponding to the unique user-selected value of Iwafer and labels the surface SI (block 2175).
  • The next step is for the set point controller 1110 to locate the point of intersection (PB′, PS′, FR′, Iinner′) of the four surfaces SV, SER, Sη and SI in the 4-D PB-PS-FR-Iinner control space (block 2177). This four-dimensional step is analogous to the three dimensional case of three intersecting surfaces depicted in FIG. 38. The chamber parameters are then set to the respective values of PB′, PS′, FR′and Iinner′ corresponding to the point of intersection. This is accomplished by the set point controller 1110 acting through the feedback controller 950 to effect the chamber parameters, as follows: setting the RF bias power generator output level to the intersection value PB′(block 2179), setting the RF source power generator output level to the intersection value PS′ (block 2181), setting the gas flow rate to the intersection value FR′ (block 2183) and setting the inner magnet supply current to the intersection value Iinner′ (block 2185).
  • Alternating Set Point Control in 4-D Control Space with Real Time Feedback Control:
  • The foregoing steps exploiting the 4-D control space bring the selected plasma parameters in line with their user-selected values. This fact can be verified by taking real time direct measurements of the plasma parameters from the measurement instrument 140. As described earlier in this specification with reference to FIGS. 9-11, such real time measurements form the basis of a feedback control system in which chamber parameters (e.g., PS and PB) are changed to minimize the differences between the real time measurements and the user-selected or target values for the plasma parameters Vwafer, ER, η. For example, PS is changed to bring either ER or PB closer to the corresponding user-selected values, and PB is changed to bring Vwafer closer to the corresponding user selected value.
  • Therefore, as one option the chamber control process of steps 2167-2185 of FIG. 44 may be phased out and process control turned over to the feedback control loops of FIGS. 9-11 based upon real time measurements of plasma parameters by the measurement instrument 140. This option is depicted in the step of block 2187 of FIG. 44. The translation-based chamber control steps of blocks 2167-2185 may be employed at the beginning of a plasma process (when no real time measurements are available). Then, after the plasma process is sufficiently underway for real time measurements to become available, the step of block 2187 is performed to transition chamber control to the real time feedback control loops of FIGS. 9-11. Process control may be temporarily returned to the translation-based steps of blocks 2167-2185 whenever a significant change is made in the user selected values of one or more plasma parameters. This option enables the chamber to nearly instantaneously meet any changes in process recipe, a significant advantage.
  • Under-constrained case: Controlling Three Selected Plasma Parameters (e.g., Vwafer, ER, η) in the 4-D PB-PS-FR-Iinner Control space in response to user-selected values for Vwafer, ER and η:
  • FIG. 45 depicts an example of providing an extra degree of freedom by operating in a chamber parameter space of dimensionality exceeding the number of user-controlled plasma parameters. This is a four-dimensional version of the under-constrained control case illustrated in FIG. 39, in which the chamber parameters are permitted to vary along a curve or trajectory determined by the intersecting surfaces.
  • The first step in the process of FIG. 45 is for the set point controller 1110 to receive the user-selected values for the selected plasma parameters, e.g., Vwafer, ER and η (block 2189). The next step is for the set point controller 1110 to fetch from the contour generator memory 1120 a the 3-D surface (contour) of constant wafer voltage corresponding to the unique user-selected value of Vwafer and labels the surface SV (block 2191). The set point controller 1110 also fetches the 3-D surface (contour) of constant etch rate corresponding to the user-selected value of ER and labels the surface SER (block 2193). And, the controller 1110 fetches the 3-D surface (contour) of constant plasma ion density corresponding to the user-selected value of η and labels the surface Sη (block 2195). The contour generator then locates the line or curve of intersection of the three surfaces SV, SER and Sη in 4-D PB-PS-FR-Iinner space (block 2197). This curve lies along a set four dimensional location point (PB′, PS′, FR′, Iinner′)i where the index i refers to a particular one of a theoretically infinite number of four-dimensional points along the line or curve of intersection.
  • The next step is to set the chamber parameters of PB, PS, FR, Iinner concurrently to any one of the four dimensional locations along the line/curve of intersection in 4-D space (block 2199). Thereafter, the chamber parameters PB, PS, FR, Iinner may be varied along the curve of intersection so that their concurrent values coincides with one of the four-dimensional point (PB′, PS′, FR′, Iinner′)i along the line or curve of intersection (block 2201).
  • Alternating the Under-constrained 4-D Control Space Method with Real Time Feedback Control:
  • A further option is to transition control over to the real time feedback control loops of FIGS. 9-11 in the step of block 2187 of FIG. 45. Specifically, the chamber control process of steps 2189-2201 of FIG. 45 may be phased out and process control turned over to the feedback control loops of FIGS. 9-11 based upon real time measurements of plasma parameters by the measurement instrument 140. This option is depicted in the step of block 2187 of FIG. 45. The translation-based chamber control steps of blocks 2167-2185 may be employed at the beginning of a plasma process (when real time measurements are available). Then, after the plasma process is sufficiently underway for real time measurements to become available, the step of block 2187 is performed to transition chamber control to the real time feedback control loops of FIGS. 9-11. Process control may be temporarily returned to the translation-based steps of blocks 2189-2201 whenever a significant change is made in the user selected values of one or more plasma parameters. This option enables the chamber to nearly instantaneously meet any changes in process recipe, a significant advantage.
  • Controlling M Plasma Parameters with N Chamber Parameters:
  • The processes described above in this specification concern two-dimensional, three-dimensional or four-dimensional control spaces. In fact, the invention may be carried out using any number of chamber parameters to simultaneously realized desired values of any number of plasma parameters. The plasma parameters subject to user-selected values may be selected from the group of plasma parameters that includes ion energy or wafer voltage, ion density, ion mass, etch rate, wafer current, etch selectivity, and so forth. The chamber parameters to that are controlled may be selected from the group that includes source power, bias power, chamber pressure, inner coil magnet current, outer coil magnet current, inner gas injection zone gas composition, outer gas injection zone gas composition, inner gas injection zone flow rate, outer gas injection zone flow rate, and so forth. Preferably, the number of selected plasma parameters is the same as the number of selected chamber parameters. However, the numbers may differ. For example, if the number of selected plasma parameters is less than the number of selected chamber parameters, then the system is under-constrained and at least one additional degree of freedom is present that permits the chamber parameters to be varied while continuing to meet the user-selected plasma parameter values. If the number of selected plasma parameters exceeds the number of selected chamber parameters, then the system is over constrained. In this case, the contours or surfaces of constant plasma parameter values may intersect at along several lines or points and control may require choosing between such points or interpolating between them.
  • The process of FIG. 46 requires characterization of the chamber, which begins with the step of selecting a first one of N chamber parameters (block 2203) and ramping the selected chamber parameter while sampling M selected plasma parameters with the measurement instrument 140 (block 2205). A determination is made of whether all of the N chamber parameters have been selected (block 2207). If not (No branch of block 2207), the next one of the N chamber parameters is selected (block 2209) and the process loops back from block 2209 to the step of block 2205. Otherwise (YES branch of block 2207), the process continues with the next step, namely the step of block 2211. In the step of block 2211, the measured data from block 2205 is used to construct an N-varlable function of each of the M plasma parameters. Each of these functions has all N chamber parameters as independent variables. In the next step (block 2213), for each possible value of each of the M plasma parameters, the contour generator 1120 constructs an N−1 dimensional contour of constant value in an N-dimensional space in which each of the N chamber parameters is a dimension. This completes the characterization of the chamber that will enable subsequent steps or process to translate the M plasma parameters to concurrent values of the N chamber parameters.
  • The next phase of the process of FIG. 46 is to translate a set of M user-selected values for the M plasma parameters to concurrent set of N values for the N chamber parameters. This phase begins with the receipt of the user-selected values for the M plasma parameters (block 2215).
  • If the number of plasma and chamber parameters is the same (i.e., if M=N), then the next step is the step of block 2217. In the step of block 2217, the controller 1110 fetches the corresponding contour of constant value for each of the M plasma parameters and determines their point of intersection in N-dimensional space. Then, the feedback controller 950 sets the N chamber parameters to their respective values at the point of intersection (block 2219).
  • If the number of plasma parameters is less than the number of chamber parameters (e.g., if M=N−1), then the system is under-constrained so that there is at least one extra degree of freedom. For the case in which M is one less than M, the following steps may be performed:
  • Block 2221: fetch the corresponding contour of constant value for each of the M plasma parameters and determine their line or curve of intersection in N-dimensional space;
  • Block 2223: vary the N chamber parameters so that their respective values are restricted to lie along the line/curve of intersection.
  • The foregoing steps complete the configuration of the N chamber parameters to realize a set of user-selected values for the M plasma parameters, or (conversely) the translation of the user-selected values of the M plasma parameters to required concurrent values of the N chamber parameters. In the optional step of block 2187, this process may be temporarily replaced by the real time feedback control process discussed above with reference to the feedback loops of FIGS. 9-11 based upon real time measurements of plasma parameters by the measurement instrument 140.
  • While the invention has been described in detail with reference to preferred embodiments, it is understood that variations and modifications thereof may be made without departing from the true spirit and scope of the invention.

Claims (20)

1. A method of operating a plasma reactor chamber with respect to plural plasma parameters, by controlling plural chamber parameters, said method comprising:
characterizing the reactor chamber by performing the steps of:
a. for each one of said chamber parameters, ramping the level of the one chamber parameter while sampling RF electrical parameters at an RF bias power input to said wafer support pedestal and computing from each sample of said RF electrical parameters the values of said plural plasma parameters, and storing said values with the corresponding levels of said one chamber parameter as corresponding chamber parameter data;
b. for each one of said chamber parameters, deducing, from the corresponding chamber parameter data, a single variable function for each of said plural plasma parameters having said one chamber parameter as an independent variable, so as to produce a set of said functions corresponding to all of said chamber parameters;
c. from said set of functions, constructing surfaces defining simultaneous values of all of said chamber parameters, each respective surface corresponding to a respective constant value of one of said plural plasma parameters, said surfaces spanning a range of said values, and storing said surfaces.
2. The method of claim 1 further comprising:
controlling a plasma in the chamber during processing of a production wafer in the chamber in accordance with user-selected values of each of said plural plasma parameters by performing the following steps:
a. for each one of said plural plasma parameters, fetching a relevant surface of constant value corresponding to the user-selected value of said one plasma parameter, and determining an intersection of the relevant surfaces which defines a target value for each of said chamber parameters; and
b. setting each of said chamber parameters to the corresponding target value.
3. The method of claim 1 wherein said plurality of plasma parameters are selected from a group comprising ion density, wafer voltage, etch rate and wafer current.
4. The method of claim 3 wherein said plural chamber parameters are selected from a group comprising source power, bias power, chamber pressure, magnetic coil current in different magnetic coils, gas flow rates in different gas injection zones and species composition of the gas in different gas injection zones.
5. The method of claim 1 wherein the step of constructing surfaces defining simultaneous values of all of said chamber parameters comprises the following step carried out for each one of said plural plasma parameters:
combining the single variable functions dependent upon respective single variables of source power, bias power and chamber pressure into a single composite function having three variables of source power, bias power and chamber pressure.
6. The method of claim 5 wherein the step of constructing surfaces defining simultaneous values of all of said chamber parameters further comprises the following step carried out for each one of said plural plasma parameters:
setting the composite function equal to a succession of values of the respective plasma parameter.
7. The method of claim 6 wherein the step of constructing surfaces defining simultaneous values of all of said chamber parameters further comprises the following step carried out for each one of said plural plasma parameters:
for each one of said succession of values, solving for a surface defining a set of simultaneous values of source power, bias power and chamber pressure for which the respective plasma parameter has the one value.
8. The method of claim 1 wherein the step of sampling RF electrical parameters at an RF bias power input to said wafer support pedestal comprises:
sensing an input impedance, an input current and an input voltage to a transmission line coupled between a bias power impedance match circuit and an electrode within the wafer pedestal.
9. The method of claim 8 wherein the step of computing from each sample of said RF electrical parameters the values of plural plasma parameters is carried out separately for plasma parameters of ion density, wafer voltage and etch rate.
10. The method of claim 9 wherein the step of computing from each sample of said RF electrical parameters the values of plural plasma parameters comprises:
computing a junction admittance of a junction between said transmission line and the electrode within the wafer pedestal from said input impedance, input current and input voltage and from parameters of the transmission line.
11. The method of claim 10 wherein the step of computing from each sample of said RF electrical parameters the values of plural plasma parameters further comprises:
providing shunt electrical quantities of a shunt capacitance between the electrode and a ground plane;
providing load electrical quantities of a load capacitance between the electrode and a wafer on the pedestal.
12. The method of claim 11 wherein the step of computing from each sample of said RF electrical parameters the values of plural plasma parameters further comprises:
computing said etch rate, plasma ion density and wafer voltage from said junction admittance, said shunt electrical quantities, said load electrical quantities and a frequency of RF bias power applied to said electrode.
13. The method of claim 12 wherein said parameters of said transmission line comprise a length of said transmission line, a characteristic impedance of said transmission line and a complex loss factor of said transmission line.
14. The method of claim 13 wherein said said shunt electrical quantities are computed from the size of an electrode-to-ground gap length, an area of said wafer, an electrode-to-ground dielectric constant and an electrode-to-ground conductive loss component.
15. The method of claim 14 wherein said load electrical quantities are computed from an electrode-to-wafer gap length, an area of the wafer, an electrode-to-wafer dielectric constant and an electrode-to-wafer conductive loss component.
16. The method of claim 15 wherein the step of computing said etch rate, plasma ion density and wafer voltage comprises:
first computing said wafer voltage and an imaginary part of a plasma admittance comprising a plasma susceptance, and computing said ion density and etch rate from said wafer voltage and said plasma susceptance.
17. The method of claim 2 wherein the number of said plural plasma parameters equals the number of said plural chamber parameters.
18. The method of claim 1, wherein the number of said plural chamber parameters is N and is greater than the number of said plural plasma parameters, said method further comprising:
controlling a plasma in the chamber during processing of a production wafer in the chamber in accordance with user-selected values of each of said plural plasma parameters by performing the following steps:
a. for each one of said plural plasma parameters, fetching a relevant surface of constant value corresponding to the user-selected value of said one plasma parameter, and determining an intersection of the relevant surfaces which defines a line in an N-dimensional space whose dimensions are the plural chamber parameters; and
b. varying said source power, bias power and the pressure in said chamber, respectively, along said line.
19. The method of claim 1 wherein the number of said plural chamber parameters is four.
20. The method of claim 1 wherein the number of said plural chamber parameters is five.
US11/608,964 2003-05-16 2006-12-11 Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters Expired - Fee Related US7452824B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/608,964 US7452824B2 (en) 2003-05-16 2006-12-11 Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/440,364 US7247218B2 (en) 2003-05-16 2003-05-16 Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US11/608,964 US7452824B2 (en) 2003-05-16 2006-12-11 Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/440,364 Continuation-In-Part US7247218B2 (en) 2003-05-16 2003-05-16 Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power

Publications (2)

Publication Number Publication Date
US20070080138A1 true US20070080138A1 (en) 2007-04-12
US7452824B2 US7452824B2 (en) 2008-11-18

Family

ID=46326790

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/608,964 Expired - Fee Related US7452824B2 (en) 2003-05-16 2006-12-11 Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters

Country Status (1)

Country Link
US (1) US7452824B2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060278608A1 (en) * 2003-05-16 2006-12-14 Hoffman Daniel J Method of determining plasma ion density, wafer voltage, etch rate and wafer current from applied bias voltage and current
US20070080140A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Plasma reactor control by translating desired values of m plasma parameters to values of n chamber parameters
US20070080139A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20070095788A1 (en) * 2003-05-16 2007-05-03 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavoir of selected plasma parameters as a function of selected chamber paramenters
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US20090322342A1 (en) * 2008-06-26 2009-12-31 Douglas Keil Methods for automatically characterizing a plasma
US20100008015A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Capacitively-coupled electrostatic (cce) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
US20100007362A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Rf-biased capacitively-coupled electrostatic (rfb-cce) probe arrangement for characterizing a film in a plasma processing chamber
US20100007337A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US20100006417A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Capacitively-coupled electrostatic (cce) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
US20100007359A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
US20100033195A1 (en) * 2008-07-07 2010-02-11 Jean-Paul Booth Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
US11153960B1 (en) * 2018-06-08 2021-10-19 Innoveering, LLC Plasma-based electro-optical sensing and methods

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090061544A1 (en) * 2007-08-30 2009-03-05 Applied Materials, Inc. Trajectory based control of plasma processing
JP2012175001A (en) * 2011-02-23 2012-09-10 Toshiba Corp Controller, plasma processing apparatus, and control method
US10536130B2 (en) 2017-08-29 2020-01-14 Mks Instruments, Inc. Balancing RF circuit and control for a cross-coupled SIMO distribution network

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2951960A (en) * 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
US2967926A (en) * 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US4458180A (en) * 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4570106A (en) * 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
US5017835A (en) * 1987-03-18 1991-05-21 Hans Oechsner High-frequency ion source
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5134603A (en) * 1987-09-30 1992-07-28 Deutsche Thomson-Brandt Gmbh Insertion/removal arrangement for a recording carrier
US5140223A (en) * 1989-07-18 1992-08-18 Leybold Aktiengesellschaft Circuit for adjusting the impedance of a plasma section to a high-frequency generator
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5213658A (en) * 1990-10-26 1993-05-25 Mitsubishi Denki Kabushiki Kaisha Plasma processing method
US5218271A (en) * 1990-06-22 1993-06-08 Research Institute Of Applied Mechanics And Electrodynamics Of Moscow Aviation Institute Plasma accelerator with closed electron drift
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US5280219A (en) * 1991-05-21 1994-01-18 Materials Research Corporation Cluster tool soft etch module and ECR plasma generator therefor
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
US5401351A (en) * 1993-01-27 1995-03-28 Nec Corporation Radio frequency electron cyclotron resonance plasma etching apparatus
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5534070A (en) * 1993-03-31 1996-07-09 Canon Kabushiki Kaisha Plasma CVD process using a very-high-frequency and plasma CVD apparatus
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
US5554223A (en) * 1993-03-06 1996-09-10 Tokyo Electron Limited Plasma processing apparatus with a rotating electromagnetic field
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5592055A (en) * 1994-10-21 1997-01-07 Proel Tecnologie S.P.A. Radio-frequency plasma source
US5595627A (en) * 1995-02-07 1997-01-21 Tokyo Electron Limited Plasma etching method
US5605627A (en) * 1992-10-07 1997-02-25 Minntech Corporation Dialysate filter including an asymmetric microporous, hollow fiber membrane incorporating a polyimide
US5618382A (en) * 1989-10-03 1997-04-08 Applied Materials, Inc. High-frequency semiconductor wafer processing apparatus and method
US5627435A (en) * 1993-07-12 1997-05-06 The Boc Group, Inc. Hollow cathode array and method of cleaning sheet stock therewith
US5654679A (en) * 1996-06-13 1997-08-05 Rf Power Products, Inc. Apparatus for matching a variable load impedance with an RF power generator impedance
US5661669A (en) * 1993-12-17 1997-08-26 Texas Instruments Incorporated Method for controlling semiconductor wafer processing
US5660671A (en) * 1990-09-29 1997-08-26 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5705019A (en) * 1994-10-26 1998-01-06 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5720826A (en) * 1995-05-30 1998-02-24 Canon Kabushiki Kaisha Photovoltaic element and fabrication process thereof
US5733511A (en) * 1994-06-21 1998-03-31 The Boc Group, Inc. Power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5770922A (en) * 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5855685A (en) * 1995-10-09 1999-01-05 Anelva Corporation Plasma enhanced CVD apparatus, plasma enhanced processing apparatus and plasma enhanced CVD method
US5858819A (en) * 1994-06-15 1999-01-12 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5866986A (en) * 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US5868848A (en) * 1995-06-07 1999-02-09 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5889252A (en) * 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5914568A (en) * 1997-03-19 1999-06-22 Tokyo Electron Limited Plasma processing apparatus
US5929717A (en) * 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US5936481A (en) * 1997-09-10 1999-08-10 Adtec Corporation Limited System for impedance matching and power control for apparatus for high frequency plasma treatment
US5939886A (en) * 1994-10-24 1999-08-17 Advanced Energy Industries, Inc. Plasma monitoring and control method and system
US5942074A (en) * 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
US6016131A (en) * 1995-08-16 2000-01-18 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6043608A (en) * 1996-10-31 2000-03-28 Nec Corporation Plasma processing apparatus
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US6093457A (en) * 1997-03-27 2000-07-25 Matsushita Electric Industrial Co., Ltd. Method for plasma processing
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6096160A (en) * 1994-02-10 2000-08-01 Sony Corporation Helicon wave plasma processing apparatus
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6188564B1 (en) * 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6218312B1 (en) * 1996-05-13 2001-04-17 Applied Materials Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6245190B1 (en) * 1997-03-26 2001-06-12 Hitachi, Ltd. Plasma processing system and plasma processing method
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6337292B1 (en) * 1998-10-29 2002-01-08 Lg. Philips Lcd Co., Ltd. Method of forming silicon oxide layer and method of manufacturing thin film transistor thereby
US6346915B1 (en) * 1999-08-06 2002-02-12 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US20020026251A1 (en) * 1997-09-17 2002-02-28 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
USRE37580E1 (en) * 1993-12-20 2002-03-12 Dorsey Gage Co., Inc. Guard ring electrostatic chuck
US6424232B1 (en) * 1999-11-30 2002-07-23 Advanced Energy's Voorhees Operations Method and apparatus for matching a variable load impedance with an RF power generator impedance
US20030003757A1 (en) * 2000-07-12 2003-01-02 Padmapani Nallan Method of etching tungsten or tungsten nitride in semiconductor structures
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20050151544A1 (en) * 2003-08-14 2005-07-14 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US20060144518A1 (en) * 1996-03-01 2006-07-06 Tetsunori Kaji Plasma processing apparatus and plasma processing method
US20070080137A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20070080140A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Plasma reactor control by translating desired values of m plasma parameters to values of n chamber parameters
US20070080139A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20070095788A1 (en) * 2003-05-16 2007-05-03 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavoir of selected plasma parameters as a function of selected chamber paramenters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1402020A (en) 1964-04-27 1965-06-11 Csf Improvements to ion sources
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
US5053678A (en) 1988-03-16 1991-10-01 Hitachi, Ltd. Microwave ion source
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
JPH02298024A (en) 1989-05-12 1990-12-10 Tadahiro Omi Reactive ion etching apparatus
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
JPH04901A (en) 1990-04-18 1992-01-06 Mitsubishi Electric Corp Method and device for feeding high frequency power for plasma apparatus
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
FR2667980A1 (en) 1990-10-12 1992-04-17 Sodern ELECTRON SOURCE HAVING A MATERIAL RETENTION DEVICE.
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
JP3279038B2 (en) 1994-01-31 2002-04-30 ソニー株式会社 Plasma apparatus and plasma processing method using the same
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
KR100226366B1 (en) 1995-08-23 1999-10-15 아끼구사 나오유끼 Plasma equipment and plasma processing method
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2967926A (en) * 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) * 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US4570106A (en) * 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) * 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4464223B1 (en) * 1983-10-03 1991-04-09 Tegal Corp
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
US5017835A (en) * 1987-03-18 1991-05-21 Hans Oechsner High-frequency ion source
US5134603A (en) * 1987-09-30 1992-07-28 Deutsche Thomson-Brandt Gmbh Insertion/removal arrangement for a recording carrier
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5140223A (en) * 1989-07-18 1992-08-18 Leybold Aktiengesellschaft Circuit for adjusting the impedance of a plasma section to a high-frequency generator
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5618382A (en) * 1989-10-03 1997-04-08 Applied Materials, Inc. High-frequency semiconductor wafer processing apparatus and method
US5218271A (en) * 1990-06-22 1993-06-08 Research Institute Of Applied Mechanics And Electrodynamics Of Moscow Aviation Institute Plasma accelerator with closed electron drift
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5660671A (en) * 1990-09-29 1997-08-26 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
US5213658A (en) * 1990-10-26 1993-05-25 Mitsubishi Denki Kabushiki Kaisha Plasma processing method
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
US5280219A (en) * 1991-05-21 1994-01-18 Materials Research Corporation Cluster tool soft etch module and ECR plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5453305A (en) * 1991-12-13 1995-09-26 International Business Machines Corporation Plasma reactor for processing substrates
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
US5605627A (en) * 1992-10-07 1997-02-25 Minntech Corporation Dialysate filter including an asymmetric microporous, hollow fiber membrane incorporating a polyimide
US5401351A (en) * 1993-01-27 1995-03-28 Nec Corporation Radio frequency electron cyclotron resonance plasma etching apparatus
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
US5554223A (en) * 1993-03-06 1996-09-10 Tokyo Electron Limited Plasma processing apparatus with a rotating electromagnetic field
US5534070A (en) * 1993-03-31 1996-07-09 Canon Kabushiki Kaisha Plasma CVD process using a very-high-frequency and plasma CVD apparatus
US6290806B1 (en) * 1993-04-16 2001-09-18 Micron Technology, Inc. Plasma reactor
US5904799A (en) * 1993-04-16 1999-05-18 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5627435A (en) * 1993-07-12 1997-05-06 The Boc Group, Inc. Hollow cathode array and method of cleaning sheet stock therewith
US5661669A (en) * 1993-12-17 1997-08-26 Texas Instruments Incorporated Method for controlling semiconductor wafer processing
USRE37580E1 (en) * 1993-12-20 2002-03-12 Dorsey Gage Co., Inc. Guard ring electrostatic chuck
US6096160A (en) * 1994-02-10 2000-08-01 Sony Corporation Helicon wave plasma processing apparatus
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5858819A (en) * 1994-06-15 1999-01-12 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
US5733511A (en) * 1994-06-21 1998-03-31 The Boc Group, Inc. Power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5592055A (en) * 1994-10-21 1997-01-07 Proel Tecnologie S.P.A. Radio-frequency plasma source
US5939886A (en) * 1994-10-24 1999-08-17 Advanced Energy Industries, Inc. Plasma monitoring and control method and system
US5705019A (en) * 1994-10-26 1998-01-06 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5595627A (en) * 1995-02-07 1997-01-21 Tokyo Electron Limited Plasma etching method
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5720826A (en) * 1995-05-30 1998-02-24 Canon Kabushiki Kaisha Photovoltaic element and fabrication process thereof
US5868848A (en) * 1995-06-07 1999-02-09 Tokyo Electron Limited Plasma processing apparatus
US6016131A (en) * 1995-08-16 2000-01-18 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5855685A (en) * 1995-10-09 1999-01-05 Anelva Corporation Plasma enhanced CVD apparatus, plasma enhanced processing apparatus and plasma enhanced CVD method
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US20060144518A1 (en) * 1996-03-01 2006-07-06 Tetsunori Kaji Plasma processing apparatus and plasma processing method
US5942074A (en) * 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
US6218312B1 (en) * 1996-05-13 2001-04-17 Applied Materials Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5654679A (en) * 1996-06-13 1997-08-05 Rf Power Products, Inc. Apparatus for matching a variable load impedance with an RF power generator impedance
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) * 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) * 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US6043608A (en) * 1996-10-31 2000-03-28 Nec Corporation Plasma processing apparatus
US5889252A (en) * 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US5914568A (en) * 1997-03-19 1999-06-22 Tokyo Electron Limited Plasma processing apparatus
US6245190B1 (en) * 1997-03-26 2001-06-12 Hitachi, Ltd. Plasma processing system and plasma processing method
US6093457A (en) * 1997-03-27 2000-07-25 Matsushita Electric Industrial Co., Ltd. Method for plasma processing
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US5936481A (en) * 1997-09-10 1999-08-10 Adtec Corporation Limited System for impedance matching and power control for apparatus for high frequency plasma treatment
US20020026251A1 (en) * 1997-09-17 2002-02-28 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) * 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6337292B1 (en) * 1998-10-29 2002-01-08 Lg. Philips Lcd Co., Ltd. Method of forming silicon oxide layer and method of manufacturing thin film transistor thereby
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) * 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
US6346915B1 (en) * 1999-08-06 2002-02-12 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6424232B1 (en) * 1999-11-30 2002-07-23 Advanced Energy's Voorhees Operations Method and apparatus for matching a variable load impedance with an RF power generator impedance
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US20030003757A1 (en) * 2000-07-12 2003-01-02 Padmapani Nallan Method of etching tungsten or tungsten nitride in semiconductor structures
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20070080137A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20070080140A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Plasma reactor control by translating desired values of m plasma parameters to values of n chamber parameters
US20070080139A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20070095788A1 (en) * 2003-05-16 2007-05-03 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavoir of selected plasma parameters as a function of selected chamber paramenters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US20050151544A1 (en) * 2003-08-14 2005-07-14 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US20060278610A1 (en) * 2003-05-16 2006-12-14 Applied Materials, Inc. Method of controlling chamber parameters of a plasma reactor in accordance with desired values of plural plasma parameters, by translating desired values for the plural plasma parameters to control values for each of the chamber parameters
US20060283835A1 (en) * 2003-05-16 2006-12-21 Applied Materials, Inc. Method of operating a plasma reactor chamber with respect to two plasma parameters selected from a group comprising ion density, wafer voltage, etch rate and wafer current, by controlling chamber parameters of source power and bias power
US20070029282A1 (en) * 2003-05-16 2007-02-08 Applied Materials, Inc. Method of processing a workpiece by controlling a set of plasma parameters through a set of chamber parameters using surfaces of constant value
US20070080140A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Plasma reactor control by translating desired values of m plasma parameters to values of n chamber parameters
US20070080139A1 (en) * 2003-05-16 2007-04-12 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20070095788A1 (en) * 2003-05-16 2007-05-03 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavoir of selected plasma parameters as a function of selected chamber paramenters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US20060278608A1 (en) * 2003-05-16 2006-12-14 Hoffman Daniel J Method of determining plasma ion density, wafer voltage, etch rate and wafer current from applied bias voltage and current
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
KR20110022626A (en) * 2008-06-26 2011-03-07 램 리써치 코포레이션 Methods for automatically characterizing a plasma
US20090322342A1 (en) * 2008-06-26 2009-12-31 Douglas Keil Methods for automatically characterizing a plasma
KR101606732B1 (en) * 2008-06-26 2016-03-28 램 리써치 코포레이션 Methods for automatically characterizing a plasma
TWI472777B (en) * 2008-06-26 2015-02-11 Lam Res Corp Methods for automatically characterizing a plasma
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
CN102067739A (en) * 2008-06-26 2011-05-18 朗姆研究公司 Methods for automatically characterizing a plasma
US20100008015A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Capacitively-coupled electrostatic (cce) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
US8547085B2 (en) 2008-07-07 2013-10-01 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US20100007362A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Rf-biased capacitively-coupled electrostatic (rfb-cce) probe arrangement for characterizing a film in a plasma processing chamber
US8159233B2 (en) 2008-07-07 2012-04-17 Lam Research Corporation Passive capacitively-coupled electrostatic (CCE) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
US8164349B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
US8164353B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation RF-biased capacitively-coupled electrostatic (RFB-CCE) probe arrangement for characterizing a film in a plasma processing chamber
US8179152B2 (en) 2008-07-07 2012-05-15 Lam Research Corporation Passive capacitively-coupled electrostatic (CCE) probe arrangement for detecting plasma instabilities in a plasma processing chamber
US20100006417A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Capacitively-coupled electrostatic (cce) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
US8780522B2 (en) 2008-07-07 2014-07-15 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
US20100007337A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US20100033195A1 (en) * 2008-07-07 2010-02-11 Jean-Paul Booth Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
US9129779B2 (en) 2008-07-07 2015-09-08 Lam Research Corporation Processing system for detecting in-situ arcing events during substrate processing
US9153421B2 (en) 2008-07-07 2015-10-06 Lam Research Corporation Passive capacitively-coupled electrostatic (CCE) probe method for detecting plasma instabilities in a plasma processing chamber
US20100007359A1 (en) * 2008-07-07 2010-01-14 Jean-Paul Booth Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
US11153960B1 (en) * 2018-06-08 2021-10-19 Innoveering, LLC Plasma-based electro-optical sensing and methods

Also Published As

Publication number Publication date
US7452824B2 (en) 2008-11-18

Similar Documents

Publication Publication Date Title
US7795153B2 (en) Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7452824B2 (en) Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7521370B2 (en) Method of operating a plasma reactor chamber with respect to two plasma parameters selected from a group comprising ion density, wafer voltage, etch rate and wafer current, by controlling chamber parameters of source power and bias power
US7910013B2 (en) Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7470626B2 (en) Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
KR100870121B1 (en) Impedance Matching Methods And Systems Performing The Same
CN107547061B (en) Impedance adjusting method for high-frequency matching system
US20130278141A1 (en) Three-coil inductively coupled plasma source with individually controlled coil currents from a single rf power generator
US20200075290A1 (en) Radio frequency (rf) pulsing impedance tuning with multiplier mode
TW200405766A (en) Method and system for data handling, storage and manipulation
TWI444110B (en) Plasma reactor with rf generator and automatic impedance match with minimum reflected power-seeking control
CN109148250A (en) Impedance-matching device and impedance matching methods
US20130277333A1 (en) Plasma processing using rf return path variable impedance controller with two-dimensional tuning space
US8017526B2 (en) Gate profile control through effective frequency of dual HF/VHF sources in a plasma etch process
WO2023009245A1 (en) Systems and methods for variable gain tuning of matching networks
CN106169409A (en) Use multiple inductance and capacitance apparatus to use multiple condition of plasma to determine the system and method for matching network model
Liu High-order moment method solution for the scattering analysis of penetrable bodies
JP6470515B2 (en) Plasma processing apparatus and plasma processing method
JP2022543517A (en) Power generation system and method using phase-linked solid-state generator modules

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HOFFMAN, DANIEL J.;GOLD, EZRA ROBERT;REEL/FRAME:018611/0296

Effective date: 20061206

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20121118