US20070081138A1 - Lithographic projection apparatus, device manufacturing methods and mask for use in a device manufacturing method - Google Patents

Lithographic projection apparatus, device manufacturing methods and mask for use in a device manufacturing method Download PDF

Info

Publication number
US20070081138A1
US20070081138A1 US11/246,551 US24655105A US2007081138A1 US 20070081138 A1 US20070081138 A1 US 20070081138A1 US 24655105 A US24655105 A US 24655105A US 2007081138 A1 US2007081138 A1 US 2007081138A1
Authority
US
United States
Prior art keywords
mask
diffuser
substrate
patterning device
illumination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/246,551
Inventor
Marcus Kerkhof
Bearrach Moest
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to US11/246,551 priority Critical patent/US20070081138A1/en
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VAN DE KERKHOF, MARCUS ADRIANUS, MOEST, BEARRACH
Priority to JP2006276040A priority patent/JP4519822B2/en
Priority to US11/545,763 priority patent/US8896817B2/en
Publication of US20070081138A1 publication Critical patent/US20070081138A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • G03F7/706Aberration measurement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70075Homogenization of illumination intensity in the mask plane by using an integrator, e.g. fly's eye lens, facet mirror or glass rod, by using a diffusing optical element or by beam deflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7069Alignment mark illumination, e.g. darkfield, dual focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection

Definitions

  • the present invention relates to lithographic apparatus, to device manufacturing methods using lithographic apparatus, and to masks for use in such methods.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • resist radiation-sensitive material
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
  • an important factor in the yield i.e. the percentage of correctly manufactured devices, is the accuracy within which layers are printed in relation to layers that have previously been formed. This is known as overlay and the overlay error budget will often be 10 nm or less. To achieve such accuracy, the substrate must be aligned to the mask pattern to be printed with great accuracy.
  • One known process for aligning the substrate and mask is known as off-line alignment and is performed in lithographic apparatus having separate measurement and exposure stations. It is a two-step process. First, at the measurement station, the positions of a plurality of, e.g. sixteen, alignment markers printed on the substrate relative to one or more fixed markers, known as fiducials, provided on the substrate table are measured and stored. Then, the substrate table, with substrate still firmly fixed thereto, is transferred to the exposure station.
  • the fiducial, as well as a marker detectable by an alignment sensor also comprises a transmission image sensor (TIS). This is used to locate in space the position of an aerial image of a mask marker contained in the mask pattern that is to be exposed onto the substrate. Knowing the position of the TIS, and hence the fixed markers, relative to the image of the mask marker and also the positions of the substrate alignment markers relative to the fixed markers, it is possible to position the substrate in a desired position for correct exposure of the substrate to the mask pattern.
  • TIS transmission image sensor
  • a lithographic projection apparatus comprising:
  • a projection system arranged to project an image of the patterning device onto a substrate
  • a sensor selectively positionable in place of the substrate and arranged to measure a property of the image projected by the projection system
  • a diffuser selectively positionable in the path of the illumination beam and arranged to increase the range of angles at which radiation of the illumination beam is incident on the patterning device.
  • a device manufacturing method using a lithographic projection apparatus having an illumination system arranged to illuminate a patterning device with an illumination beam, a projection system arranged to project an image of the patterning device onto a substrate and a sensor arranged to detect a property of an image projected by the projection system, the method comprising:
  • a pattern layer having a first area in which is defined at least one device pattern and a second area not overlapping said first area in which is defined at least one marker pattern;
  • a diffuser at least partly covering said second area and arranged to increase the range of angles of incidence of radiation illuminating the second area.
  • FIG. 1 depicts a lithographic apparatus according to an embodiment of the invention
  • FIG. 2 depicts the substrate stage of the apparatus of FIG. 1 ;
  • FIG. 3 depicts the optical arrangements of the apparatus of FIG. 1 ;
  • FIGS. 4 and 5 depict coupling of radiation into a sensor at substrate level without and with a diffuser positioned in the path of the projection beams;
  • FIGS. 7 to 10 depict in plan and elevation the mask table of a second embodiment of the invention with diffusers in retracted and operative positions;
  • FIGS. 11 and 12 depict in plan and elevation a mask according to a third embodiment of the invention.
  • FIG. 1 schematically depicts a lithographic apparatus according to one embodiment of the invention.
  • the apparatus comprises:
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • optical components such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the support structure supports, i.e. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the support structure may be a frame or a table, for example, which may be fixed or movable as required.
  • the support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”
  • patterning device used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • the patterning device may be transmissive or reflective.
  • Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • the apparatus is of a transmissive type (e.g. employing a transmissive mask).
  • the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above, or employing a reflective mask).
  • the lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • the illuminator IL receives a radiation beam from a radiation source SO.
  • the source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus. and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp.
  • the source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • Mask MA and substrate W may be aligned using mask alignment marks M 1 , M 2 and substrate alignment marks P 1 , P 2 .
  • the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks).
  • the mask alignment marks may be located between the dies.
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • step mode the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • the apparatus also comprises an alignment sensor AS, which may be mounted at the measurement station of a dual stage apparatus, which is used to detect alignment markers printed on a substrate W and also fixed markers (fiducials) provided on the substrate table.
  • an alignment sensor AS which may be mounted at the measurement station of a dual stage apparatus, which is used to detect alignment markers printed on a substrate W and also fixed markers (fiducials) provided on the substrate table.
  • FIG. 2 shows four alignment markers P 1 -P 4 printed on the substrate and two fixed markers TIS 1 and TIS 2 provided on the substrate table WT.
  • the substrate table may also have on it a sensor IA for an interferometric system that measures properties of the projection system, e.g. aberrations, and sensors for other systems that involve detection of a property of an image projected by projection system PL.
  • the positions, shown by dashed arrows, of the substrate markers P 1 -P 4 relative to the fixed markers TIS 1 , TIS 2 can be determined.
  • the fixed markers TIS 1 and TIS 2 have integrated into them an image sensor that can be used to determine the location of an image of a mask marker by scanning the image sensor through the aerial image.
  • an image sensor that can be used to determine the location of an image of a mask marker by scanning the image sensor through the aerial image.
  • a plane referred to as a pupil plane PP, (and sometimes multiple planes) in the illumination system IL that is a Fourier transform of the object plane of the projection system PL, in which the mask MA is located.
  • position in the pupil plane (expressed using normalized variables ⁇ x and ⁇ y ) translates to angle ( ⁇ , ⁇ ) at the object plane so that the angular distribution of the illumination of the mask can be determined by defining an intensity distribution in the pupil plane.
  • a variety of devices can be used to define the intensity distribution in the pupil plane, including zoom lenses, axicons, zoom-axicons, diffractive optical elements, masking blades and aperture plates.
  • Illumination profiles are commonly referred to by the shape of the intensity distribution in the pupil plane and include: conventional illumination (defined by a pupil filling factor often referred to as a ⁇ -setting), annular illumination (defined by inner and outer radii, often referred to as ⁇ i and ⁇ o ), dipole illumination and quadrupole illumination as well as combinations of these and more complex distributions.
  • a lithographic apparatus may be provided with devices to define standard illumination profiles, with or without adjustable parameters, that are suitable for imaging common patterns, or devices to define custom illumination profiles for specific patterns.
  • the present invention may be used with any lithographic apparatus having one or more devices to control or adjust the illumination profile.
  • an image or other sensor in the substrate table may comprise an aperture plate 41 to define an aperture of specific size and shape and a transducer 42 for converting the incident radiation to an electrical signal.
  • the aperture plate must have a non-negligible thickness and design constraints, such as the need to provide a photo-luminescent conversion layer to convert short wavelength radiation of the projection beam to a longer wavelength detectable by the transducer 42 , the transducer 42 can only accept radiation incident in the aperture in a comparatively narrow range of angles, as indicated by dotted lines.
  • the illumination mode used is one in which most of the radiation is incident on the mask at large angle s of incidence, for example an annular illumination mode with a large ⁇ i value or a dipole or quadrupole mode with poles close to the edge of the pupil plane, it may be that little or no radiation is coupled into the transducer 42 , as shown in FIG. 4 . This can result in a low signal to noise ratio and other effects that reduce the accuracy of the resulting measurement. A reduction of accuracy can also occur even when sufficient radiation is coupled in to the transducer if the sensitivity of the transducer is not uniform with angle of incidence. In essence, a mismatch between the illumination mode and the acceptance NA of the sensor can lead to inaccuracies. This problem is exacerbated in that the reducing magnification (e.g. 1 ⁇ 4 or 1 ⁇ 5) of the projection lens increases angles of incidence at the substrate level.
  • the reducing magnification e.g. 1 ⁇ 4 or 1 ⁇ 5
  • a diffuser module 10 is provided in the illumination system IL.
  • This comprises a diffuser 11 and an actuator system 12 by which the diffuser can be inserted into and removed from the path of the projection beam comparatively quickly.
  • the effect of the diffuser 11 is to increase the range of angles at which radiation is incident onto the mask and hence onto the sensor 41 , 42 . This can both ensure that sufficient radiation is coupled into the sensor and that there is sufficient uniformity of angle of incidence to mitigate the effect of any angle sensitivity of the sensor. Because the diffuser can be moved quickly into and out of the beam it can be inserted for measurements and removed for production exposures with no or reduced loss of throughput.
  • the diffuser is shown in the figures relatively near the mask MA, it can in fact be positioned in many places up stream of the mask, e.g., in the illumination system, provided it is not in or very near the pupil plane or a plane conjugate with either the pupil plane or the plane of the mask. In some cases it can be advantageous to position the diffuser near the mask so that movable mask masking blades can be used to control the area illuminated during measurements.
  • the form of the diffuser will depend on the degree and nature of the mismatch between the illumination mode and the sensor acceptance range. In many cases a glass or quartz plate with one or both surfaces randomly etched or ground to scatter radiation will be sufficient. In other cases a diffraction or holographic pattern may be employed.
  • a further advantage of the diffuser is that the range of shapes in the aerial images that are measured decreases. This is caused by the fact that the similarity between the illumination pupils increases due to the diffuser. This means that the model function used to interpret the result of the measurement can be optimized far better than when all possible illumination modes have to be considered (especially keeping in mind modes like dipole illumination). This in turn will improve the accuracy of the fit.
  • the advantages provided by the diffuser are particularly important for immersion lithography apparatus in which the NA of the system allows illumination modes beyond the critical angle of the sensor.
  • the desired illumination mode is set S 1 ;
  • the diffuser is inserted into the path of the illumination beam S 2 ;
  • production exposures of one or more target portions of the substrate are performed S 5 .
  • the setting of the illumination mode may be performed in parallel with the insertion of the diffuser and/or the loading of a mask or substrate.
  • the illumination mode may remain set from previous exposures of the same or a different substrate.
  • FIGS. 7 to 10 A second embodiment of the invention is shown in FIGS. 7 to 10 .
  • diffusers localized to the positions of the mask markers TIS-M 1 to TIS-M 4 are employed.
  • Four diffuser modules 20 - 1 to 20 - 4 are shown but more or fewer may be used according to the number of markers on the mask.
  • Each diffuser module comprises a diffuser plate 21 , which may be the same as the diffuser of the first embodiment, and an actuator system arranged to move the diffuser plate between operative and retracted positions.
  • the diffusers 21 cover their respective markers and diffuse the incident radiation thereon to match to the requirements of the sensor at substrate level.
  • the diffusers In their retracted positions shown in FIGS. 7 and 8 , the diffusers do not hinder loading and unloading of the mask by a conventional mask robot.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

Abstract

During an alignment calibration process in a lithographic apparatus using a sensor to detect a property of a projected image at substrate level, a diffuser is inserted into the illumination beam to increase the range of angles of radiation incident on the substrate. Thereby it can be ensured that sufficient radiation enters the sensor even when the is a mismatch between the illumination mode used and the acceptance NA of the sensor.

Description

    FIELD
  • The present invention relates to lithographic apparatus, to device manufacturing methods using lithographic apparatus, and to masks for use in such methods.
  • BACKGROUND
  • A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
  • In device manufacturing methods using lithographic apparatus, an important factor in the yield, i.e. the percentage of correctly manufactured devices, is the accuracy within which layers are printed in relation to layers that have previously been formed. This is known as overlay and the overlay error budget will often be 10 nm or less. To achieve such accuracy, the substrate must be aligned to the mask pattern to be printed with great accuracy.
  • One known process for aligning the substrate and mask is known as off-line alignment and is performed in lithographic apparatus having separate measurement and exposure stations. It is a two-step process. First, at the measurement station, the positions of a plurality of, e.g. sixteen, alignment markers printed on the substrate relative to one or more fixed markers, known as fiducials, provided on the substrate table are measured and stored. Then, the substrate table, with substrate still firmly fixed thereto, is transferred to the exposure station. The fiducial, as well as a marker detectable by an alignment sensor, also comprises a transmission image sensor (TIS). This is used to locate in space the position of an aerial image of a mask marker contained in the mask pattern that is to be exposed onto the substrate. Knowing the position of the TIS, and hence the fixed markers, relative to the image of the mask marker and also the positions of the substrate alignment markers relative to the fixed markers, it is possible to position the substrate in a desired position for correct exposure of the substrate to the mask pattern.
  • There are of course other known alignment methods, including through-the-lens methods in which an image of a grating mark on the mask is projected onto a grating mark on the substrate, or vice versa, using the projection system of the apparatus and alignment is detected by looking at the resulting diffracted light.
  • With the continual desire to image ever smaller patterns to create device with higher component densities, there is pressure to reduce overlay errors, which leads to a desire for improved alignment methods and systems.
  • SUMMARY
  • It is therefore desirable to provide improved alignment systems and methods for use in projection lithography.
  • According to an aspect of the invention, there is provided a lithographic projection apparatus comprising:
  • an illumination system arranged to illuminate a patterning device with an illumination beam of radiation having a defined illumination profile;
  • a projection system arranged to project an image of the patterning device onto a substrate;
  • a sensor selectively positionable in place of the substrate and arranged to measure a property of the image projected by the projection system; and
  • a diffuser selectively positionable in the path of the illumination beam and arranged to increase the range of angles at which radiation of the illumination beam is incident on the patterning device.
  • According to an aspect of the invention, there is provided a device manufacturing method using a lithographic projection apparatus having an illumination system arranged to illuminate a patterning device with an illumination beam, a projection system arranged to project an image of the patterning device onto a substrate and a sensor arranged to detect a property of an image projected by the projection system, the method comprising:
  • setting the illumination system to illuminate the patterning device with an illumination beam having an illumination profile;
  • inserting a diffuser into the path of the illumination beam so as to increase the range of angles at which radiation of the illumination beam is incident on a marker on the patterning device; and
  • using the sensor to detect a property of an image of the marker projected by the projection system.
  • According to an aspect of the invention, there is provided a mask for use in a device manufacturing method using a lithographic apparatus, the mask comprising:
  • a substrate;
  • a pattern layer having a first area in which is defined at least one device pattern and a second area not overlapping said first area in which is defined at least one marker pattern; and
  • a diffuser at least partly covering said second area and arranged to increase the range of angles of incidence of radiation illuminating the second area.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:
  • FIG. 1 depicts a lithographic apparatus according to an embodiment of the invention;
  • FIG. 2 depicts the substrate stage of the apparatus of FIG. 1;
  • FIG. 3 depicts the optical arrangements of the apparatus of FIG. 1;
  • FIGS. 4 and 5 depict coupling of radiation into a sensor at substrate level without and with a diffuser positioned in the path of the projection beams;
  • FIG. 6 depicts a method according to an embodiment of the invention;
  • FIGS. 7 to 10 depict in plan and elevation the mask table of a second embodiment of the invention with diffusers in retracted and operative positions; and
  • FIGS. 11 and 12 depict in plan and elevation a mask according to a third embodiment of the invention.
  • DETAILED DESCRIPTION
  • FIG. 1 schematically depicts a lithographic apparatus according to one embodiment of the invention. The apparatus comprises:
      • an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or DUV radiation);
      • a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters;
      • a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and
      • a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • The support structure supports, i.e. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”
  • The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
  • As here depicted, the apparatus is of a transmissive type (e.g. employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above, or employing a reflective mask).
  • The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.
  • Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus. and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • The illuminator IL may comprise an adjuster AD for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
  • The radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in FIG. 1) can be used to accurately position the mask MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the mask table MT may be connected to a short-stroke actuator only, or may be fixed. Mask MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks may be located between the dies.
  • The depicted apparatus could be used in at least one of the following modes:
  • 1. In step mode, the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • 2. In scan mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • 3. In another mode, the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
  • The apparatus also comprises an alignment sensor AS, which may be mounted at the measurement station of a dual stage apparatus, which is used to detect alignment markers printed on a substrate W and also fixed markers (fiducials) provided on the substrate table. This can be seen in FIG. 2, which shows four alignment markers P1-P4 printed on the substrate and two fixed markers TIS1 and TIS2 provided on the substrate table WT. The substrate table may also have on it a sensor IA for an interferometric system that measures properties of the projection system, e.g. aberrations, and sensors for other systems that involve detection of a property of an image projected by projection system PL. By scanning the substrate table WT under the alignment sensor AS whilst keep track of its movements using the displacement measurement system IF, the positions, shown by dashed arrows, of the substrate markers P1-P4 relative to the fixed markers TIS1, TIS2 can be determined.
  • The fixed markers TIS1 and TIS2 have integrated into them an image sensor that can be used to determine the location of an image of a mask marker by scanning the image sensor through the aerial image. Thus the relative position of the image of the mask marker and the fixed markers can be determined and the previously obtained relative positions of the substrate markers allow the substrate to be positioned at any desired position relative to the projected image with great accuracy.
  • One factor that influences the projected image of a mask pattern is the illumination profile of the mask, that is the angles from which radiation is incident on the mask. Most lithographic apparatus use the well-known Kohler illumination arrangement, which is illustrated schematically in FIG. 3. In such an arrangement, there is a plane, referred to as a pupil plane PP, (and sometimes multiple planes) in the illumination system IL that is a Fourier transform of the object plane of the projection system PL, in which the mask MA is located. As is well known, position in the pupil plane (expressed using normalized variables σx and σy) translates to angle (θ, φ) at the object plane so that the angular distribution of the illumination of the mask can be determined by defining an intensity distribution in the pupil plane. A variety of devices can be used to define the intensity distribution in the pupil plane, including zoom lenses, axicons, zoom-axicons, diffractive optical elements, masking blades and aperture plates.
  • Illumination profiles are commonly referred to by the shape of the intensity distribution in the pupil plane and include: conventional illumination (defined by a pupil filling factor often referred to as a σ-setting), annular illumination (defined by inner and outer radii, often referred to as σi and σo), dipole illumination and quadrupole illumination as well as combinations of these and more complex distributions. A lithographic apparatus may be provided with devices to define standard illumination profiles, with or without adjustable parameters, that are suitable for imaging common patterns, or devices to define custom illumination profiles for specific patterns. The present invention may be used with any lithographic apparatus having one or more devices to control or adjust the illumination profile.
  • As shown in FIG. 4, an image or other sensor in the substrate table may comprise an aperture plate 41 to define an aperture of specific size and shape and a transducer 42 for converting the incident radiation to an electrical signal. Because the aperture plate must have a non-negligible thickness and design constraints, such as the need to provide a photo-luminescent conversion layer to convert short wavelength radiation of the projection beam to a longer wavelength detectable by the transducer 42, the transducer 42 can only accept radiation incident in the aperture in a comparatively narrow range of angles, as indicated by dotted lines.
  • If the illumination mode used is one in which most of the radiation is incident on the mask at large angle s of incidence, for example an annular illumination mode with a large σi value or a dipole or quadrupole mode with poles close to the edge of the pupil plane, it may be that little or no radiation is coupled into the transducer 42, as shown in FIG. 4. This can result in a low signal to noise ratio and other effects that reduce the accuracy of the resulting measurement. A reduction of accuracy can also occur even when sufficient radiation is coupled in to the transducer if the sensitivity of the transducer is not uniform with angle of incidence. In essence, a mismatch between the illumination mode and the acceptance NA of the sensor can lead to inaccuracies. This problem is exacerbated in that the reducing magnification (e.g. ¼ or ⅕) of the projection lens increases angles of incidence at the substrate level.
  • One possibility for addressing this problem would be to set an illumination mode that is more compatible with the sensor whilst the necessary measurements are taking place and then revert to the desired, incompatible illumination mode for production exposures. However, depending on the device used to set the illumination mode, it can take a significant length of time to set an illumination mode and allow it to stabilize before exposures can be carried out. Thus this approach would lead to a loss of throughput.
  • Therefore, in this. embodiment, a diffuser module 10 is provided in the illumination system IL. This comprises a diffuser 11 and an actuator system 12 by which the diffuser can be inserted into and removed from the path of the projection beam comparatively quickly. As shown in FIG. 5, the effect of the diffuser 11 is to increase the range of angles at which radiation is incident onto the mask and hence onto the sensor 41, 42. This can both ensure that sufficient radiation is coupled into the sensor and that there is sufficient uniformity of angle of incidence to mitigate the effect of any angle sensitivity of the sensor. Because the diffuser can be moved quickly into and out of the beam it can be inserted for measurements and removed for production exposures with no or reduced loss of throughput.
  • Although the diffuser is shown in the figures relatively near the mask MA, it can in fact be positioned in many places up stream of the mask, e.g., in the illumination system, provided it is not in or very near the pupil plane or a plane conjugate with either the pupil plane or the plane of the mask. In some cases it can be advantageous to position the diffuser near the mask so that movable mask masking blades can be used to control the area illuminated during measurements.
  • The form of the diffuser will depend on the degree and nature of the mismatch between the illumination mode and the sensor acceptance range. In many cases a glass or quartz plate with one or both surfaces randomly etched or ground to scatter radiation will be sufficient. In other cases a diffraction or holographic pattern may be employed.
  • A further advantage of the diffuser is that the range of shapes in the aerial images that are measured decreases. This is caused by the fact that the similarity between the illumination pupils increases due to the diffuser. This means that the model function used to interpret the result of the measurement can be optimized far better than when all possible illumination modes have to be considered (especially keeping in mind modes like dipole illumination). This in turn will improve the accuracy of the fit.
  • The advantages provided by the diffuser are particularly important for immersion lithography apparatus in which the NA of the system allows illumination modes beyond the critical angle of the sensor.
  • A method according to the invention is shown in FIG. 6—this comprises the following steps:
  • the desired illumination mode is set S1;
  • the diffuser is inserted into the path of the illumination beam S2;
  • the mask marker to TIS alignment process is carried out S3
  • the diffuser is removed from the path of the projection beam S4; and
  • production exposures of one or more target portions of the substrate are performed S5.
  • Depending on the circumstances the setting of the illumination mode may be performed in parallel with the insertion of the diffuser and/or the loading of a mask or substrate. Alternatively, the illumination mode may remain set from previous exposures of the same or a different substrate.
  • A second embodiment of the invention is shown in FIGS. 7 to 10. In this embodiment, diffusers localized to the positions of the mask markers TIS-M1 to TIS-M4 are employed. Four diffuser modules 20-1 to 20-4 are shown but more or fewer may be used according to the number of markers on the mask. Each diffuser module comprises a diffuser plate 21, which may be the same as the diffuser of the first embodiment, and an actuator system arranged to move the diffuser plate between operative and retracted positions. In the operative positions, shown in FIGS. 9 and 10, the diffusers 21 cover their respective markers and diffuse the incident radiation thereon to match to the requirements of the sensor at substrate level. In their retracted positions shown in FIGS. 7 and 8, the diffusers do not hinder loading and unloading of the mask by a conventional mask robot. Depending on the relative positions of the mask markers and device pattern areas of the mask, it may be possible to leave the diffusers in position during production exposures.
  • A third embodiment of the invention is shown in FIGS. 11 and 12. In this case the diffusers 31 are integrated with the mask MA, and again localized to the position of the mask markers rather than to the time of the measurement, as in the first embodiment. Because the diffusers 31 are integrated to the mask they can be made smaller and it can be ensured that they do not interfere with the production exposures. Most transparent masks comprise a substrate, e.g. of quartz, with a pattern layer, e.g. of chrome and/or a phase relief, on one side. In such a case, the diffuser is preferably located on the opposite side. This avoids damage to the pattern layer and spaces the diffuser from the pattern to improve the spreading of illumination angles. The diffuser may be an additional piece of material added to the mask or may be formed by surface treatment, e.g. etching or grinding, of the backside of the mask. Localized implantation of scattering centers may also be employed.
  • Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
  • The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams.
  • The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
  • While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein.
  • The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

Claims (18)

1. A lithographic projection apparatus comprising:
an illumination system arranged to illuminate a patterning device with an illumination beam of radiation having a defined illumination profile;
a projection system arranged to project an image of the patterning device onto a substrate;
a sensor selectively positionable in place of said substrate and arranged to measure a property of the image projected by the projection system; and
a diffuser selectively positionable in the path of said illumination beam and arranged to increase the range of angles at which radiation of the illumination beam is incident on the patterning device.
2. Apparatus according to claim 1 wherein the illumination system includes a pupil plane that is a Fourier transform of an object plane containing the patterning device and wherein the diffuser is selectively positionable in a plane that is not conjugate with either the pupil plane or the object plane.
3. Apparatus according to claim 2 wherein the illumination system comprises moveable masking blades arranged to define the area of the patterning device that is illuminated by the illumination beam and wherein the diffuser is proximate the moveable masking blades.
4. Apparatus according to claim 1 wherein the diffuser is proximate the patterning device.
5. Apparatus according to claim 1 wherein the diffuser is arranged to be movable into and out of the illumination beam faster that the illumination system can change the illumination profile of the illumination beam.
6. Apparatus according to claim 1 wherein said sensor is arranged to accept radiation arriving at a predetermined range of angles of incidence and wherein said diffuser is arranged to diffuse light such that light arrives at said sensor across substantially all of said predetermined range of angles of incidence.
7. Apparatus according to claim 1 wherein the sensor comprises a transmission image sensor.
8. Apparatus according to claim 1 wherein the sensor comprises a reflection image sensor.
9. Apparatus according to claim 1 wherein the sensor comprises an interferometric alignment sensor.
10. A device manufacturing method using a lithographic projection apparatus having an illumination system arranged to illuminate a patterning device with an illumination beam, a projection system arranged to project an image of the patterning device onto a substrate and a sensor arranged to detect a property of an image projected by the projection system, the method comprising:
setting the illumination system to illuminate the patterning device with an illumination beam having an illumination profile;
inserting a diffuser into the path of the illumination beam so as to increase the range of angles at which radiation of the illumination beam is incident on a marker on the patterning device; and
using the sensor to detect a property of an image of the marker projected by the projection system.
11. A method according to claim 10 further comprising:
removing the diffuser from the path of the illumination beam after the step of using the sensor; and
exposing a substrate to a pattern defined by said patterning device.
12. A method according to claim 10 wherein the patterning device is a mask and wherein said diffuser is attached to said mask.
13. A method according to claim 10 wherein the patterning device is a mask and wherein said apparatus further comprises a mask table arranged to hold the mask, the method comprising loading the mask onto the mask table, positioning the diffuser over the marker on the mask and moving the mask table holding the mask and diffuser to insert the mask and diffuser into the path of the illumination beam
14. A mask for use in a device manufacturing method of a lithographic apparatus, the mask comprising:
a substrate;
a pattern layer having a first area in which is defined at least one device pattern and a second area not overlapping said first area in which is defined at least one marker pattern; and
a diffuser at least partly covering said second area and arranged to increase the range of angles of incidence of radiation illuminating the second area.
15. A mask according to claim 14 wherein the substrate has a first side and a second side opposite thereto, the pattern layer being provided on the first side and the diffuser on the second side.
16. A mask according to claim 14 wherein the diffuser comprises an additional piece of material adhered to the substrate.
17. A mask according to claim 14 wherein the diffuser comprises a surface relief on the substrate.
18. A mask according to claim 14 wherein the diffuser comprises a part of the substrate having scattering centers implanted therein.
US11/246,551 2005-10-11 2005-10-11 Lithographic projection apparatus, device manufacturing methods and mask for use in a device manufacturing method Abandoned US20070081138A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/246,551 US20070081138A1 (en) 2005-10-11 2005-10-11 Lithographic projection apparatus, device manufacturing methods and mask for use in a device manufacturing method
JP2006276040A JP4519822B2 (en) 2005-10-11 2006-10-10 Lithographic projection apparatus, device manufacturing method, and mask used in device manufacturing method
US11/545,763 US8896817B2 (en) 2005-10-11 2006-10-11 Lithographic projection apparatus, device manufacturing methods and mask with sensor and diffuser for use in a device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/246,551 US20070081138A1 (en) 2005-10-11 2005-10-11 Lithographic projection apparatus, device manufacturing methods and mask for use in a device manufacturing method

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/545,763 Continuation-In-Part US8896817B2 (en) 2005-10-11 2006-10-11 Lithographic projection apparatus, device manufacturing methods and mask with sensor and diffuser for use in a device manufacturing method
US11/545,763 Continuation US8896817B2 (en) 2005-10-11 2006-10-11 Lithographic projection apparatus, device manufacturing methods and mask with sensor and diffuser for use in a device manufacturing method

Publications (1)

Publication Number Publication Date
US20070081138A1 true US20070081138A1 (en) 2007-04-12

Family

ID=37910805

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/246,551 Abandoned US20070081138A1 (en) 2005-10-11 2005-10-11 Lithographic projection apparatus, device manufacturing methods and mask for use in a device manufacturing method
US11/545,763 Active 2029-08-06 US8896817B2 (en) 2005-10-11 2006-10-11 Lithographic projection apparatus, device manufacturing methods and mask with sensor and diffuser for use in a device manufacturing method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/545,763 Active 2029-08-06 US8896817B2 (en) 2005-10-11 2006-10-11 Lithographic projection apparatus, device manufacturing methods and mask with sensor and diffuser for use in a device manufacturing method

Country Status (2)

Country Link
US (2) US20070081138A1 (en)
JP (1) JP4519822B2 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090002665A1 (en) * 2007-06-27 2009-01-01 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method
US20090168039A1 (en) * 2007-12-27 2009-07-02 Asml Netherlands B.V. Device Manufacturing Method and Lithographic Apparatus
US20090309039A1 (en) * 2008-06-11 2009-12-17 Solar Implant Technologies Inc. Application specific implant system and method for use in solar cell fabrications
US20100323508A1 (en) * 2009-06-23 2010-12-23 Solar Implant Technologies Inc. Plasma grid implant system for use in solar cell fabrications
US20110162703A1 (en) * 2009-03-20 2011-07-07 Solar Implant Technologies, Inc. Advanced high efficientcy crystalline solar cell fabrication method
CN103353710A (en) * 2013-06-26 2013-10-16 京东方科技集团股份有限公司 Exposure device, system and method
US9116446B2 (en) 2010-11-01 2015-08-25 Asml Netherlands B.V. Lithographic apparatus and method
WO2015169581A1 (en) * 2014-05-07 2015-11-12 Asml Netherlands B.V. Diamond-based monitoring apparatus for lithographic apparatus, and a lithographic apparatus comprising diamond-based monitoring apparatus
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
EP2458650A3 (en) * 2007-06-25 2016-12-14 ASML Netherlands BV Radiation detector, method of manufacturing a radiation detector, and lithographic apparatus comprising a radiation detector
WO2017207512A3 (en) * 2016-06-03 2018-02-22 Asml Netherlands B.V. Patterning device
CN109696798A (en) * 2017-10-23 2019-04-30 力晶科技股份有限公司 The maintaining method of photomask and photomask carrying platform
US20200036442A1 (en) * 2016-04-05 2020-01-30 Facebook, Inc. Luminescent Detector for Free-Space Optical Communication
US11567401B2 (en) * 2019-12-20 2023-01-31 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI545352B (en) * 2006-02-17 2016-08-11 卡爾蔡司Smt有限公司 Illumination system for a microlithographic projection exposure apparatus
US20090002656A1 (en) * 2007-06-29 2009-01-01 Asml Netherlands B.V. Device and method for transmission image detection, lithographic apparatus and mask for use in a lithographic apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030081191A1 (en) * 1995-03-16 2003-05-01 Nikon Corporation Exposure apparatus and method
US6940587B2 (en) * 2002-09-30 2005-09-06 Asml Netherlands B.V. Lithographic apparatus and a measurement system
US6977717B1 (en) * 2003-12-04 2005-12-20 Advanced Micro Devices, Inc. Method and device for determining projection lens pupil transmission distribution and illumination intensity distribution in photolithographic imaging system

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2928277B2 (en) * 1989-08-03 1999-08-03 株式会社日立製作所 Projection exposure method and apparatus
JPH0837139A (en) * 1994-07-21 1996-02-06 Sony Corp Exposure illuminating system
JP4692862B2 (en) * 2000-08-28 2011-06-01 株式会社ニコン Inspection apparatus, exposure apparatus provided with the inspection apparatus, and method for manufacturing microdevice
JP2003075990A (en) * 2001-09-07 2003-03-12 Toshiba Corp Mask for inspection and inspecting method for exposure device
JP3904110B2 (en) * 2002-01-23 2007-04-11 株式会社ニコン Optical characteristic measuring method, optical characteristic measuring apparatus, optical system adjusting method, and exposure apparatus
JP2003254725A (en) * 2002-03-04 2003-09-10 Nikon Corp Method and instrument for measuring wave front aberration
DE60232024D1 (en) * 2002-04-17 2009-05-28 Canon Kk RETICLES AND METHOD FOR MEASURING OPTICAL PROPERTIES
JP2004014865A (en) * 2002-06-07 2004-01-15 Nikon Corp Reticle, wavefront aberration measuring instrument, and manufacturing method of semiconductor aligner

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030081191A1 (en) * 1995-03-16 2003-05-01 Nikon Corporation Exposure apparatus and method
US6940587B2 (en) * 2002-09-30 2005-09-06 Asml Netherlands B.V. Lithographic apparatus and a measurement system
US6977717B1 (en) * 2003-12-04 2005-12-20 Advanced Micro Devices, Inc. Method and device for determining projection lens pupil transmission distribution and illumination intensity distribution in photolithographic imaging system

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2458650A3 (en) * 2007-06-25 2016-12-14 ASML Netherlands BV Radiation detector, method of manufacturing a radiation detector, and lithographic apparatus comprising a radiation detector
US8411250B2 (en) * 2007-06-27 2013-04-02 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method
US20090002665A1 (en) * 2007-06-27 2009-01-01 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method
TWI467341B (en) * 2007-06-27 2015-01-01 Canon Kk Exposure apparatus and device manufacturing method
US20090168039A1 (en) * 2007-12-27 2009-07-02 Asml Netherlands B.V. Device Manufacturing Method and Lithographic Apparatus
US8049864B2 (en) 2007-12-27 2011-11-01 Asml Netherlands B.V. Device manufacturing method and lithographic apparatus
US8871619B2 (en) 2008-06-11 2014-10-28 Intevac, Inc. Application specific implant system and method for use in solar cell fabrications
US20090309039A1 (en) * 2008-06-11 2009-12-17 Solar Implant Technologies Inc. Application specific implant system and method for use in solar cell fabrications
WO2009152375A1 (en) * 2008-06-11 2009-12-17 Solar Implant Technologies Inc. Solar cell fabrication using implantation
CN102099923A (en) * 2008-06-11 2011-06-15 因特瓦克公司 Solar cell fabrication using implantation
US8697553B2 (en) 2008-06-11 2014-04-15 Intevac, Inc Solar cell fabrication with faceting and ion implantation
US20110162703A1 (en) * 2009-03-20 2011-07-07 Solar Implant Technologies, Inc. Advanced high efficientcy crystalline solar cell fabrication method
US20100323508A1 (en) * 2009-06-23 2010-12-23 Solar Implant Technologies Inc. Plasma grid implant system for use in solar cell fabrications
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8697552B2 (en) 2009-06-23 2014-04-15 Intevac, Inc. Method for ion implant using grid assembly
US8997688B2 (en) 2009-06-23 2015-04-07 Intevac, Inc. Ion implant system having grid assembly
US9741894B2 (en) 2009-06-23 2017-08-22 Intevac, Inc. Ion implant system having grid assembly
US9303314B2 (en) 2009-06-23 2016-04-05 Intevac, Inc. Ion implant system having grid assembly
US9116446B2 (en) 2010-11-01 2015-08-25 Asml Netherlands B.V. Lithographic apparatus and method
US9875922B2 (en) 2011-11-08 2018-01-23 Intevac, Inc. Substrate processing system and method
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
US9583661B2 (en) 2012-12-19 2017-02-28 Intevac, Inc. Grid for plasma ion implant
US10401723B2 (en) 2013-06-03 2019-09-03 Asml Netherlands B.V. Patterning device
CN103353710A (en) * 2013-06-26 2013-10-16 京东方科技集团股份有限公司 Exposure device, system and method
US10082424B2 (en) 2014-05-07 2018-09-25 Asml Netherlands B.V. Diamond-based monitoring apparatus for lithographic apparatus, and a lithographic apparatus comprising diamond-based monitoring apparatus
WO2015169581A1 (en) * 2014-05-07 2015-11-12 Asml Netherlands B.V. Diamond-based monitoring apparatus for lithographic apparatus, and a lithographic apparatus comprising diamond-based monitoring apparatus
US20200036442A1 (en) * 2016-04-05 2020-01-30 Facebook, Inc. Luminescent Detector for Free-Space Optical Communication
US10855370B2 (en) * 2016-04-05 2020-12-01 Facebook, Inc. Luminescent detector for free-space optical communication
WO2017207512A3 (en) * 2016-06-03 2018-02-22 Asml Netherlands B.V. Patterning device
US10732498B2 (en) 2016-06-03 2020-08-04 Asml Netherlands B.V. Patterning device
CN109696798A (en) * 2017-10-23 2019-04-30 力晶科技股份有限公司 The maintaining method of photomask and photomask carrying platform
US11567401B2 (en) * 2019-12-20 2023-01-31 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system

Also Published As

Publication number Publication date
JP4519822B2 (en) 2010-08-04
JP2007110116A (en) 2007-04-26
US20070091290A1 (en) 2007-04-26
US8896817B2 (en) 2014-11-25

Similar Documents

Publication Publication Date Title
US8896817B2 (en) Lithographic projection apparatus, device manufacturing methods and mask with sensor and diffuser for use in a device manufacturing method
US7486408B2 (en) Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7633600B2 (en) Lithographic apparatus and device manufacturing method
US7388652B2 (en) Wave front sensor with grey filter and lithographic apparatus comprising same
US8334983B2 (en) Lithographic apparatus and device manufacturing method
US9025148B2 (en) Alignment mark, substrate, set of patterning devices, and device manufacturing method
US8029953B2 (en) Lithographic apparatus and device manufacturing method with double exposure overlay control
EP2063321A2 (en) Method of measuring focus of a lithographic projection apparatus
US20110003256A1 (en) Lithographic Apparatus and Device Manufacturing Method
US20070115452A1 (en) Method of measuring the magnification of a projection system, device manufacturing method and computer program product
US7532307B2 (en) Focus determination method, device manufacturing method, and mask
JP4940219B2 (en) How to measure overlay
US7425397B2 (en) Method of determining an illumination profile and device manufacturing method
JP2004343124A (en) Method for calibrating lithographic apparatus, aligning method, computer program, lithographic apparatus and method for manufacturing device
US8252491B2 (en) Method of forming a marker, substrate having a marker and device manufacturing method
US7821650B2 (en) Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7547495B2 (en) Device manufacturing method and computer program product
US20060035159A1 (en) Method of providing alignment marks, method of aligning a substrate, device manufacturing method, computer program, and device
US7894063B2 (en) Lithographic method
CN108292111B (en) Method and apparatus for processing a substrate in a lithographic apparatus
EP2204697A2 (en) Marker structure, lithographic projection apparatus, method for substrate alignment using such a structure, and substrate comprising such marker structure
JP2006100802A (en) Alignment device, alignment method and lithographic device
US20100178612A1 (en) Lithographic apparatus and device manufacturing method
NL1036635A1 (en) Method of providing alignment marks, device manufacturing method and lithographic apparatus.

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:VAN DE KERKHOF, MARCUS ADRIANUS;MOEST, BEARRACH;REEL/FRAME:017402/0385;SIGNING DATES FROM 20051107 TO 20051111

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION