US20070090446A1 - Hardmask etch for gate polyetch - Google Patents

Hardmask etch for gate polyetch Download PDF

Info

Publication number
US20070090446A1
US20070090446A1 US11/635,637 US63563706A US2007090446A1 US 20070090446 A1 US20070090446 A1 US 20070090446A1 US 63563706 A US63563706 A US 63563706A US 2007090446 A1 US2007090446 A1 US 2007090446A1
Authority
US
United States
Prior art keywords
gate
layer
etch
etching
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/635,637
Inventor
Koji Tamura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/635,637 priority Critical patent/US20070090446A1/en
Publication of US20070090446A1 publication Critical patent/US20070090446A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Definitions

  • the invention pertains to the avoidance of shape defects that occur when a gate electrode is formed.
  • Transistor manufacturing methods are adopting patterning methods that use an inorganic antireflective film formed from such materials as silicon oxynitride (SiON) and a dual gate process that prevents depletion of the gate electrode.
  • FIG. 7 is an SEM (scanning electron microscope) photomicrograph of a gate cross section of a FET (field effect transistor). The notching in the gate is clearly evident.
  • the conventional technology for manufacturing a semiconductor device having a gate is typified by Takegawa et al., Japanese Patent 2000100965, published Apr. 7, 2000.
  • a compensating film 51 is formed on a hard mask 41 used for etching a gate electrode 30 .
  • the structure of the semiconductor device also includes a SI substrate 10 , an oxide layer 20 , a poly-Si layer 31 and a WSi 2 layer 32 .
  • the compensating film 51 is formed of poly-Si, which is the same as the poly-Si used to form the gate electrode 30 . As shown in FIG. 2 , the compensating film 51 is completely removed while the etching is carried out.
  • the hard mask 41 is not exposed to the etching gas and is therefore prevented from being thinned while etching is performed.
  • the mask 41 prevents ions from penetrating it during ion injection.
  • Takegawa fails to offer any technology to prevent the formation of notches in the gate.
  • the defects that can occur depend on the type of etching process.
  • Wet etching with phosphoric acid (H 3 PO 4 ) can result in the formation of a notch in the gate, and the notch will result in high resistivity and degradation of the transistor.
  • notch formation can be prevented, to an extent, by the application of a layer of photoresist, the photoresist has a limited effectiveness in preventing notch formation.
  • the application of photoresist also entails additional process steps and reagent cost that result in a less economically viable transistor manufacturing process.
  • the invention in part, pertains to a TFT gate free from notching and less than about 50 ⁇ undercutting and a method of forming the gate.
  • the invention in part, pertains a method of manufacturing a semiconductor device by forming an oxide layer over a substrate, forming a silicon layer over the oxide layer, forming a hard mask over the silicon layer, forming a layer of photoresist over a portion of the silicon layer corresponding to a gate, etching, removing the photoresist and performing a second etching.
  • the etching steps can be a dry etch.
  • the substrate can be silicon
  • the oxide layer can be silicon oxide
  • the invention in part, pertains to the hard mask being an antireflective coating over a layer of silicon oxide.
  • the antireflective coating can be silicon oxynitride.
  • the second etching removes the antireflective coating.
  • the invention in part, pertains to the silicon layer being non-doped or n-doped poly-Si.
  • the silicon layer can have a thickness of about 2000 ⁇ .
  • the photoresist is preferably a 248 nm photoresist.
  • the layer of silicon oxide has a thickness of about 300-700 ⁇ .
  • the antireflective coating has a thickness of about 300-700 ⁇ .
  • the invention in part, pertains to a semiconductor device that is an oxide layer over a substrate, a silicon layer over the oxide layer, the silicon layer having a notch-free structure, and the gate structure has been formed using a hard mask comprising an antireflective layer over a silicon oxide layer, the mask having been subsequently removed.
  • FIG. 1 shows a semiconductor device formed according to the conventional art.
  • FIG. 2 shows a further processed semiconductor device formed according to the conventional art.
  • FIG. 3 shows a hard mask process according to an embodiment of the invention.
  • FIG. 4 shows the removal of the BARC film and the silicon dioxide film according to an embodiment of the invention.
  • FIG. 5 shows the structure following the stripping of the photoresist layer according to an embodiment of the invention.
  • FIG. 6 shows the gate etch of the semiconductor device according to an embodiment of the invention.
  • FIG. 7 is an electron photomicrograph of a FET having a notched gate structure formed according to the conventional art.
  • FIG. 8 is an electron photomicrograph of a FET having an undercut gate structure formed according to the conventional art.
  • FIGS. 9 a and 9 b are electron photomicrographs of gates formed according to an embodiment of the invention.
  • FIGS. 10 a and 10 b are electron photomicrographs after hard mask formation and photoresist removal for gate etching according to an embodiment of the invention.
  • the invention avoids shape defects in gate formation by utilizing a hard mask having a 2-layer structure.
  • the 2-layer structure comprises an inorganic antireflective film (ARC) over a silicon oxide film.
  • ARC inorganic antireflective film
  • An example of the inorganic reflective film can be, but is not restricted to silicon oxynitride (SiON).
  • the inorganic antireflective film that constitutes the upper layer of the hard mask, is simultaneously removed when the gate poly-Si is etched. Consequently, only the silicon oxide film remains on the gate poly-Si after gate etching.
  • the silicon oxide film can be removed in a subsequent step using hydrofluoric acid or other liquid etchant without etching the phosphorous-implanted poly-Si. As a result, the shape defects associated with the conventional technology can be avoided.
  • FIG. 3 shows a hard mask process according to an embodiment of the invention.
  • a gate oxide film 102 Over a silicon substrate 101 is formed a gate oxide film 102 .
  • a poly-Si layer 103 Over the gate oxide film 102 is a poly-Si layer 103 .
  • the poly-Si layer 103 can be non-doped or n-doped.
  • a hard mask comprising a silicon oxide film 104 over which is a BARC (bottom antireflective coating) 105 .
  • BARC bottom antireflective coating
  • the BARC 105 is, in part, to reduce undesirable reflections.
  • the BARC can be either organic or inorganic, In the invention, the BARC is preferably an inorganic ARC such as silicon oxynitride.
  • the BARC layer 105 can also be, but is not restricted to Ta 2 O 5 , PMMA (polymethyl methacrylate) and polycarbonate.
  • the photoresist 106 can be a positive or negative photoresist.
  • the positive photoresist can be, for example, a phenol-formaldehyde resin, also referred to as novolak.
  • the photoresist can contain a sensitizer such as diazonaphthoquinone.
  • the photoresist can be, for example, a chemically amplified photoresist containing an acid generator.
  • the photoresist can be a DUV (deep ultraviolet) photoresist based on, for example, tert-butoxycarbonyl, polyhydroxy styrene, phenolic resin, or PMMA (polymethyl methacrylate)
  • the photoresist can be diluted with a solvent such as EGMEA (ethyleneglycol monomethylether acetate) or PGMEA (propyleneglycol monomethylether acetate).
  • the photoresist is preferably a 248 nm or 193 nm photoresist, i.e., photoresists that have a poor resistance to plasma. Most preferably, a 248 nm photoresist is used.
  • the thicknesses of the layers shown in FIG. 3 can vary, depending on the process parameters.
  • the poly-Si layer 103 can have a thickness of about 2000 ⁇ . Preferably, the poly-Si layer 103 has a thickness of about 1750 ⁇ 50 ⁇ .
  • the silicon oxide film 104 can be from about 300-700 ⁇ in thickness. Typical values for the silicon oxide film 104 are about 590 ⁇ or about 640 ⁇ , but are not restricted to these values.
  • the BARC film 105 can be from about 300-700 ⁇ in thickness. Typical values for the BARC film 105 are about 510 A or about 620 ⁇ , but are not restricted to these values.
  • the photoresist layer 103 can have a thickness of more than about 1000 ⁇ . Preferably, the photoresist layer 103 can have a thickness of about 4000 ⁇ .
  • FIG. 4 shows the removal of the BARC film 105 and the silicon dioxide film 104 except at the portion covered by the photoresist layer 106 .
  • This is accomplished using a dry etch such as a plasma etch.
  • the anisotropic plasma etch used high powered radio frequency (RF) energy to ionize a gas, typically fluorine or chlorine, inside a vacuum process chamber. After the etch, the photoresist layer 106 is stripped.
  • RF radio frequency
  • FIG. 5 shows the structure following the stripping of the photoresist layer 106 .
  • a hard mask structure comprising the silicon oxide layer 104 and the BARC layer 105 remains over the portion of the poly-Si layer 103 corresponding to a gate structure.
  • FIG. 6 shows the gate etch of the semiconductor device.
  • the BARC layer 105 is completely removed during the gate etch of the poly-Si layer 103 .
  • the gate etch is preferably a dry etch. As a result, the removal of the BARC layer 105 eliminates the possibility of notch formation.
  • the residual silicon oxide layer 104 can be removed in s subsequent step using a solution containing hydrofluoric acid.
  • Oxide can be wet etched by hydrofluoric acid (HF). Selective oxide removal is performed by spraying or immersing the wafer in a dilute solution of HF. The dilute solution of HF is frequently buffered with ammonium fluoride (NH 4 F). This solution is referred to as buffered oxide etch (BOE). Buffering the HF provides a well controlled etch solution that slows and stabilizes the etch and does not appreciably attack photoresist. The BOE is rinsed from the wafer with deionized water.
  • HF hydrofluoric acid
  • Silicon oxide is an amorphous material that etches isotropically when exposed to BOE. That is, the depth of the etch is accompanied by a lateral etch under the mask material.
  • the lateral etching i.e., undercutting, serves to limit the density of lines and spaces that can be achieved.
  • Dry etching can be either an isotropic or an anisotropic process.
  • One dry etch process is RIE (reactive ion etch).
  • RIE reactive ion etch
  • RIE uses a radio frequency signal to generate a plasma of chemically reactive gas to etch the substrate. Because the substrate is placed directly onto the cathode, momentum transfer plays a significant role in the etching process. Thus by varying the process parameters, etching may be performed either isotropically or anisotropically. RIE can be performed using chemistries based upon SF 6 . RIE has a low selectivity for silicon.
  • ICP (inductively coupled plasma) etching is a method of anisotropic plasma etching to provide laterally defined recess structures through an etching mask employing a plasma.
  • ICP-etching includes plasma etching by contact with a reactive etchant gas to remove material from the surface of the substrate and provide exposed surfaces.
  • ICP etching uses chemistries based upon Cl 2 and HBr.
  • Photoresist removal can be accomplished by ashing. Ashing removes the resist layer by reacting atomic oxygen with the resist material in a plasma environment. There are frequently gases such as N 2 or H 2 added to improve ash performance and to enhance the removal of polymeric residues. Photoresist can also be removed using wet chemical methods.
  • a conventional art gate on a NFET was formed having a single BARC layer on a poly-Si gate structure portion.
  • the BARC was a 520 ⁇ layer of SiON.
  • Etching was performed with an 85% solution of H 3 PO 4 at 165° C. for 9 minutes.
  • FIG. 7 is an electron photomicrograph of the gate.
  • Example 2 is a conventional art etch of a NFET gate structure having a single BARC layer on a poly-Si gate structure portion.
  • the results are shown in FIG. 8 , a TEM (transmission electron microscopy) photomicrograph.
  • the gate structure in FIG. 8 shows a poly-Si gate structure having a size of 2000 ⁇ . However an 80 ⁇ Si recess is observed at the base of the gate. This recess, i.e., undercutting of the Si substrate, causes unreliability and deleterious electrical properties in the FET.
  • a gate having a hardmask is formed according to the invention.
  • the hardmask has a BARC (SiON) layer over an SiO 2 layer.
  • the etch sequence is as follows:
  • etch steps were used to form the gate (B.T. ⁇ M.E. ⁇ S.L. ⁇ O.E.).
  • the mask is etched according to the following sequence: HM (80 CF 4 /120 Ar, 4 mTorr, 500 Ws/150 Wb, 8 Torr Back-He, EPD 25% O.E.), B.T. (65 CF 4 , 4 mTorr, 650 Ws/100Wb, 8 Torr Back He, 10 sec.), M.E. (60 Cl 2 /100 Br/16HeO 2 /25CF 4 , 4 mTorr, 400 Ws/120 Wb, 8 Torr Back-He, 18 sec.), S.L.
  • FIG. 9 a and 9 b show the gate structure formed according to the invention.
  • a typical gate structure has dimensions of about 202.5 nm by 60.9 nm.
  • FIG. 9 b shows a gate structure having a 54.4 nm residual hard mask oxide layer on the gate structure.
  • the residual hard mask oxide is removed by etching.
  • the residual oxide hard mask is removed by wet etch after gate formation, for example, hydrofluoric acid which doesn't cause poly notch.
  • the need is to minimize both “poly notch” and substrate loss that can cause serious degradation to the transistor.
  • Poly notch is caused by Phosphoric acid during SiON BARC removal after gate formation in the conventional process.
  • the hard mask was formed using 40 CF 4 /160 Ar (sccm), 10 mTorr, 750 Ws/150 Wb, 8 Torr Back-He, 41 sec.)
  • FIGS. 10 a and 10 b are the cross sectional electron photomicrographs after hard mask formation and photoresist removal for gate etching. The results show that notch-free gates are formed having a taper angle of 89 degrees.
  • the poly-Si loss is about 150 ⁇ , while the sub trench effect is less than 50 ⁇ .
  • the bilayer hard mask of the invention demonstrates clear advantages over the conventional art gate forming technologies. Notching of the gate is avoided. Additionally, undercutting of the gate is kept to a minimum. The result is a semiconductor manufacturing process that is highly advantageous to the 0.18 ⁇ m and beyond technologies.

Abstract

A semiconductor device is provided that comprises a substrate; an oxide layer over the substrate; and a silicon layer over the oxide layer, the silicon layer forming a notch-free gate structure; wherein the gate structure has been formed using a hard mask comprising an antireflective layer over a silicon oxide layer, the mask having been subsequently removed.

Description

    FIELD OF THE INVENTION
  • The invention pertains to the avoidance of shape defects that occur when a gate electrode is formed.
  • BACKGROUND OF THE INVENTION
  • Recent advances in MOS LSI (metal oxide semiconductor large scale integration) has pushed semiconductor technology to the 0.18 μm geometry and beyond. Transistor manufacturing methods are adopting patterning methods that use an inorganic antireflective film formed from such materials as silicon oxynitride (SiON) and a dual gate process that prevents depletion of the gate electrode.
  • When a gate electrode is patterned using an antireflective film, it becomes necessary to remove the antireflective film from the polycrystalline silicon (also referred to as poly-Si) gate electrode after etching the gate. A hot phosphoric acid solution is generally used for this removal. However, when a poly-Si gate that has been implanted with phosphorous in a dual gate process is etched, shape defects result.
  • The shape defects associated with the conventional art etch process are shown in FIG. 7, which is an SEM (scanning electron microscope) photomicrograph of a gate cross section of a FET (field effect transistor). The notching in the gate is clearly evident.
  • The conventional technology for manufacturing a semiconductor device having a gate is typified by Takegawa et al., Japanese Patent 2000100965, published Apr. 7, 2000. In Takegawa, as is shown in FIG. 1, a compensating film 51 is formed on a hard mask 41 used for etching a gate electrode 30. The structure of the semiconductor device also includes a SI substrate 10, an oxide layer 20, a poly-Si layer 31 and a WSi2 layer 32. The compensating film 51 is formed of poly-Si, which is the same as the poly-Si used to form the gate electrode 30. As shown in FIG. 2, the compensating film 51 is completely removed while the etching is carried out. The hard mask 41 is not exposed to the etching gas and is therefore prevented from being thinned while etching is performed. The mask 41 prevents ions from penetrating it during ion injection. However, Takegawa fails to offer any technology to prevent the formation of notches in the gate.
  • In the conventional gate formation technologies, the defects that can occur depend on the type of etching process. Wet etching with phosphoric acid (H3PO4) can result in the formation of a notch in the gate, and the notch will result in high resistivity and degradation of the transistor. Although notch formation can be prevented, to an extent, by the application of a layer of photoresist, the photoresist has a limited effectiveness in preventing notch formation. The application of photoresist also entails additional process steps and reagent cost that result in a less economically viable transistor manufacturing process.
  • On the other hand, a dry etching process tends to form recesses in the silicon. These recesses will result in a degradation of the transistor. As a result, both wet and dry etching to form a gate structure pose numerous difficulties in the conventional art.
  • As has been discussed above, the conventional gate manufacturing technology tends to form unacceptable notching in the gate during the etching process. Accordingly, new technologies are required for the 0.18 μm geometry and beyond.
  • SUMMARY OF THE INVENTION
  • The invention, in part, pertains to a TFT gate free from notching and less than about 50 Å undercutting and a method of forming the gate.
  • The invention, in part, pertains a method of manufacturing a semiconductor device by forming an oxide layer over a substrate, forming a silicon layer over the oxide layer, forming a hard mask over the silicon layer, forming a layer of photoresist over a portion of the silicon layer corresponding to a gate, etching, removing the photoresist and performing a second etching. The etching steps can be a dry etch. The substrate can be silicon, and the oxide layer can be silicon oxide
  • The invention, in part, pertains to the hard mask being an antireflective coating over a layer of silicon oxide. The antireflective coating can be silicon oxynitride. The second etching removes the antireflective coating.
  • The invention, in part, pertains to the silicon layer being non-doped or n-doped poly-Si. The silicon layer can have a thickness of about 2000 Å. The photoresist is preferably a 248 nm photoresist. The layer of silicon oxide has a thickness of about 300-700 Å. The antireflective coating has a thickness of about 300-700 Å.
  • The invention, in part, pertains to a semiconductor device that is an oxide layer over a substrate, a silicon layer over the oxide layer, the silicon layer having a notch-free structure, and the gate structure has been formed using a hard mask comprising an antireflective layer over a silicon oxide layer, the mask having been subsequently removed.
  • It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory and are intended to provide further explanation of the invention as claimed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention. The drawings illustrate embodiments of the invention and together with the description serve to explain the principles of the embodiments of the invention.
  • FIG. 1 shows a semiconductor device formed according to the conventional art.
  • FIG. 2 shows a further processed semiconductor device formed according to the conventional art.
  • FIG. 3 shows a hard mask process according to an embodiment of the invention.
  • FIG. 4 shows the removal of the BARC film and the silicon dioxide film according to an embodiment of the invention.
  • FIG. 5 shows the structure following the stripping of the photoresist layer according to an embodiment of the invention.
  • FIG. 6 shows the gate etch of the semiconductor device according to an embodiment of the invention.
  • FIG. 7 is an electron photomicrograph of a FET having a notched gate structure formed according to the conventional art.
  • FIG. 8 is an electron photomicrograph of a FET having an undercut gate structure formed according to the conventional art.
  • FIGS. 9 a and 9 b are electron photomicrographs of gates formed according to an embodiment of the invention.
  • FIGS. 10 a and 10 b are electron photomicrographs after hard mask formation and photoresist removal for gate etching according to an embodiment of the invention.
  • DETAILED DESCRIPTION
  • Advantages of the present invention will become more apparent from the detailed description given herein after. However, it should be understood that the detailed description and specific examples, while indicating preferred embodiments of the invention, are given by way of illustration only, since various changes and modifications within the spirit and scope of the invention will become apparent to those skilled in the art from this detailed description.
  • The invention avoids shape defects in gate formation by utilizing a hard mask having a 2-layer structure. The 2-layer structure comprises an inorganic antireflective film (ARC) over a silicon oxide film. An example of the inorganic reflective film can be, but is not restricted to silicon oxynitride (SiON).
  • In the invention, the inorganic antireflective film, that constitutes the upper layer of the hard mask, is simultaneously removed when the gate poly-Si is etched. Consequently, only the silicon oxide film remains on the gate poly-Si after gate etching. The silicon oxide film can be removed in a subsequent step using hydrofluoric acid or other liquid etchant without etching the phosphorous-implanted poly-Si. As a result, the shape defects associated with the conventional technology can be avoided.
  • FIG. 3 shows a hard mask process according to an embodiment of the invention. Over a silicon substrate 101 is formed a gate oxide film 102. Over the gate oxide film 102 is a poly-Si layer 103. The poly-Si layer 103 can be non-doped or n-doped. Over the poly-Si layer 103 is a hard mask comprising a silicon oxide film 104 over which is a BARC (bottom antireflective coating) 105. Over the BARC 105 is a layer of photoresist 106 covering a portion of the structure where a gate is to be formed.
  • The BARC 105 is, in part, to reduce undesirable reflections. The BARC can be either organic or inorganic, In the invention, the BARC is preferably an inorganic ARC such as silicon oxynitride. The BARC layer 105 can also be, but is not restricted to Ta2O5, PMMA (polymethyl methacrylate) and polycarbonate.
  • The photoresist 106 can be a positive or negative photoresist. The positive photoresist can be, for example, a phenol-formaldehyde resin, also referred to as novolak. The photoresist can contain a sensitizer such as diazonaphthoquinone. The photoresist can be, for example, a chemically amplified photoresist containing an acid generator. The photoresist can be a DUV (deep ultraviolet) photoresist based on, for example, tert-butoxycarbonyl, polyhydroxy styrene, phenolic resin, or PMMA (polymethyl methacrylate) The photoresist can be diluted with a solvent such as EGMEA (ethyleneglycol monomethylether acetate) or PGMEA (propyleneglycol monomethylether acetate). The photoresist is preferably a 248 nm or 193 nm photoresist, i.e., photoresists that have a poor resistance to plasma. Most preferably, a 248 nm photoresist is used.
  • The thicknesses of the layers shown in FIG. 3 can vary, depending on the process parameters. The poly-Si layer 103 can have a thickness of about 2000 Å. Preferably, the poly-Si layer 103 has a thickness of about 1750±50 Å. The silicon oxide film 104 can be from about 300-700 Å in thickness. Typical values for the silicon oxide film 104 are about 590 Å or about 640 Å, but are not restricted to these values. The BARC film 105 can be from about 300-700 Å in thickness. Typical values for the BARC film 105 are about 510 A or about 620 Å, but are not restricted to these values. The photoresist layer 103 can have a thickness of more than about 1000 Å. Preferably, the photoresist layer 103 can have a thickness of about 4000 Å.
  • FIG. 4 shows the removal of the BARC film 105 and the silicon dioxide film 104 except at the portion covered by the photoresist layer 106. This is accomplished using a dry etch such as a plasma etch. The anisotropic plasma etch used high powered radio frequency (RF) energy to ionize a gas, typically fluorine or chlorine, inside a vacuum process chamber. After the etch, the photoresist layer 106 is stripped.
  • FIG. 5 shows the structure following the stripping of the photoresist layer 106. After the photoresist is stripped, a hard mask structure comprising the silicon oxide layer 104 and the BARC layer 105 remains over the portion of the poly-Si layer 103 corresponding to a gate structure.
  • FIG. 6 shows the gate etch of the semiconductor device. The BARC layer 105 is completely removed during the gate etch of the poly-Si layer 103. The gate etch is preferably a dry etch. As a result, the removal of the BARC layer 105 eliminates the possibility of notch formation. Following the etch, the residual silicon oxide layer 104 can be removed in s subsequent step using a solution containing hydrofluoric acid.
  • Oxide can be wet etched by hydrofluoric acid (HF). Selective oxide removal is performed by spraying or immersing the wafer in a dilute solution of HF. The dilute solution of HF is frequently buffered with ammonium fluoride (NH4F). This solution is referred to as buffered oxide etch (BOE). Buffering the HF provides a well controlled etch solution that slows and stabilizes the etch and does not appreciably attack photoresist. The BOE is rinsed from the wafer with deionized water.
  • Silicon oxide is an amorphous material that etches isotropically when exposed to BOE. That is, the depth of the etch is accompanied by a lateral etch under the mask material. The lateral etching, i.e., undercutting, serves to limit the density of lines and spaces that can be achieved.
  • Dry etching can be either an isotropic or an anisotropic process. One dry etch process is RIE (reactive ion etch). RIE (reactive ion etch) uses a radio frequency signal to generate a plasma of chemically reactive gas to etch the substrate. Because the substrate is placed directly onto the cathode, momentum transfer plays a significant role in the etching process. Thus by varying the process parameters, etching may be performed either isotropically or anisotropically. RIE can be performed using chemistries based upon SF6. RIE has a low selectivity for silicon.
  • ICP (inductively coupled plasma) etching is a method of anisotropic plasma etching to provide laterally defined recess structures through an etching mask employing a plasma. ICP-etching includes plasma etching by contact with a reactive etchant gas to remove material from the surface of the substrate and provide exposed surfaces. ICP etching uses chemistries based upon Cl2 and HBr.
  • Photoresist removal can be accomplished by ashing. Ashing removes the resist layer by reacting atomic oxygen with the resist material in a plasma environment. There are frequently gases such as N2 or H2 added to improve ash performance and to enhance the removal of polymeric residues. Photoresist can also be removed using wet chemical methods.
  • The following examples illustrate the advantages of embodiments the present invention compared to the conventional art.
  • EXAMPLE 1
  • A conventional art gate on a NFET was formed having a single BARC layer on a poly-Si gate structure portion. The BARC was a 520 Å layer of SiON. Etching was performed with an 85% solution of H3PO4 at 165° C. for 9 minutes. The results are shown in FIG. 7, which is an electron photomicrograph of the gate.
  • The results for the conventional art wet etch are an etch rate of 58 Å to yield an etch uniformity of 8.5%. The etch selectivity to Poly-Si was 36, and the selectivity (value of the ratio of etch rate) to USG (undoped silicate glass) was 14.5
  • EXAMPLE 2
  • Example 2 is a conventional art etch of a NFET gate structure having a single BARC layer on a poly-Si gate structure portion. The dry etch was performed at CHF3/Ar ratio of 10/50 sccm (standard cubic centimeters per minute) at a pressure of 35 mTorr and RF=200 W. The results are shown in FIG. 8, a TEM (transmission electron microscopy) photomicrograph.
  • The gate structure in FIG. 8 shows a poly-Si gate structure having a size of 2000 Å. However an 80 Å Si recess is observed at the base of the gate. This recess, i.e., undercutting of the Si substrate, causes unreliability and deleterious electrical properties in the FET.
  • EXAMPLE 3
  • A gate having a hardmask is formed according to the invention. The hardmask has a BARC (SiON) layer over an SiO2 layer.
  • For the etch sequence, the following parameters are defined:
    • HM:. Hardmask. The HM means the SiON/Oxide film stack.
    • B.T.: Breakthrough. B.T. is the name of etching step which has low Poly-Si/Oxide etch selectivity. Generally, this step is used at the beginning of gate etch sequence, because the gas chemistry for gate poly-Si etching has high selectivity to oxide, which easily causes etch stop issues during etching native oxide at the top of poly-Si film. So, the breakthrough step is usually used to remove native oxide on the poly-Si surface.
    • M.E.: Main Etch. The M.E. is an etch step that controls the profile of the gate.
    • S.L.: Soft Landing. The S.L. is also an etch step. The S.L. is used to stop gate poly-Si etching on the thin gate oxide underneath, and to simultaneously control the profile of the gate bottom.
    • O.E.: Over Etch. The O.E. is the etching step to remove the residual poly-Si after S.L. step.
    • EPD: End Point Detection. The EPD is the system to control etching time automatically and accurately. This system monitors the intensity of light emission from plasma during etching, and detects the end of etching of particular film from the changing of the emission intensity. This system is generally used for etching process.
    • Ws: Source Power. The Ws is the RF (Radio Frequency) power to generate plasma.
    • Wb: Bias Power. The Wb is the RF (Radio Frequency) power to control incident energy of ions to wafer.
  • In this example, the etch sequence is as follows:
      • 1. Hard Mask (HM) etch,
      • 2. Photoresist removal (conventional oxygen plasma etching is used), and
      • 3. Gate poly-Si formation.
  • Four etch steps were used to form the gate (B.T.→M.E.→S.L.→O.E.). The mask is etched according to the following sequence: HM (80 CF4/120 Ar, 4 mTorr, 500 Ws/150 Wb, 8 Torr Back-He, EPD 25% O.E.), B.T. (65 CF4, 4 mTorr, 650 Ws/100Wb, 8 Torr Back He, 10 sec.), M.E. (60 Cl2/100 Br/16HeO2/25CF4, 4 mTorr, 400 Ws/120 Wb, 8 Torr Back-He, 18 sec.), S.L. (140 HBr/7 HeO2, 4 mTorr, 500 Ws/30 Wb, 8 Torr Back-He, EPD Just) and O.E. (120 HBr/7HeO2, 70 mTorr, 600 Ws/150 Wb, 8 Torr Back-He, 30 sec.). The results are shown in FIGS. 9 a and 9 b.
  • The results in FIG. 9 a and 9 b show the gate structure formed according to the invention. A typical gate structure has dimensions of about 202.5 nm by 60.9 nm. FIG. 9 b shows a gate structure having a 54.4 nm residual hard mask oxide layer on the gate structure.
  • The residual hard mask oxide is removed by etching. The residual oxide hard mask is removed by wet etch after gate formation, for example, hydrofluoric acid which doesn't cause poly notch. The need is to minimize both “poly notch” and substrate loss that can cause serious degradation to the transistor. Poly notch is caused by Phosphoric acid during SiON BARC removal after gate formation in the conventional process.
  • In the example, the hard mask was formed using 40 CF4/160 Ar (sccm), 10 mTorr, 750 Ws/150 Wb, 8 Torr Back-He, 41 sec.)
  • FIGS. 10 a and 10 b are the cross sectional electron photomicrographs after hard mask formation and photoresist removal for gate etching. The results show that notch-free gates are formed having a taper angle of 89 degrees. The poly-Si loss is about 150 Å, while the sub trench effect is less than 50 Å.
  • As has been shown, the bilayer hard mask of the invention demonstrates clear advantages over the conventional art gate forming technologies. Notching of the gate is avoided. Additionally, undercutting of the gate is kept to a minimum. The result is a semiconductor manufacturing process that is highly advantageous to the 0.18 μm and beyond technologies.
  • The foregoing embodiments and advantages are merely exemplary and are not to be construed as limiting the present invention. The present teaching can be readily applied to other types of methods and apparatuses. The description of the present invention is intended to be illustrative, and not to limit the scope of the claims. Many alternatives, modifications, and variations will be apparent to those skilled in the art. It is to be understood that the foregoing descriptions and specific embodiments shown herein are merely illustrative of the best mode of the invention and the principles thereof, which is therefore understood to be limited only by the scope of the appended claims.

Claims (6)

1. A semiconductor device that comprises:
a substrate;
an oxide layer over the substrate; and
a silicon layer over the oxide layer, the silicon layer forming a notch-free gate structure;
wherein the gate structure has been formed using a hard mask comprising an antireflective layer over a silicon oxide layer, the mask having been subsequently removed.
2. The semiconductor device of claim 1, wherein the antireflective layer comprises silicon oxynitride.
3. The semiconductor device of claim 1, wherein the silicon oxide layer has a thickness of about 300-700 Å.
4. The semiconductor device of claim 1, wherein the antireflective coating has a thickness of about 300-700 Å.
5. The semiconductor device of claim 1, wherein the silicon layer comprises non-doped or n-doped poly-Si.
6. The semiconductor device of claim 1, wherein the silicon layer has a thickness of about 2000 Å.
US11/635,637 2002-05-30 2006-12-08 Hardmask etch for gate polyetch Abandoned US20070090446A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/635,637 US20070090446A1 (en) 2002-05-30 2006-12-08 Hardmask etch for gate polyetch

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/157,192 US7163879B2 (en) 2002-05-30 2002-05-30 Hard mask etch for gate polyetch
US11/635,637 US20070090446A1 (en) 2002-05-30 2006-12-08 Hardmask etch for gate polyetch

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/157,192 Division US7163879B2 (en) 2002-05-30 2002-05-30 Hard mask etch for gate polyetch

Publications (1)

Publication Number Publication Date
US20070090446A1 true US20070090446A1 (en) 2007-04-26

Family

ID=29582410

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/157,192 Expired - Lifetime US7163879B2 (en) 2002-05-30 2002-05-30 Hard mask etch for gate polyetch
US11/635,637 Abandoned US20070090446A1 (en) 2002-05-30 2006-12-08 Hardmask etch for gate polyetch

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/157,192 Expired - Lifetime US7163879B2 (en) 2002-05-30 2002-05-30 Hard mask etch for gate polyetch

Country Status (2)

Country Link
US (2) US7163879B2 (en)
JP (1) JP4201646B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090214838A1 (en) * 2008-02-25 2009-08-27 Sony Corporation Method of applying a pattern of metal, metal oxide and/or semiconductor material on a substrate
US9514942B1 (en) 2016-03-03 2016-12-06 Globalfoundries Inc. Method of forming a gate mask for fabricating a structure of gate lines

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7008870B2 (en) * 2003-12-26 2006-03-07 Macronix International Co., Ltd. Structure applied to a photolithographic process and method for fabricating a semiconductor device
US7071085B1 (en) * 2004-05-25 2006-07-04 Advanced Micro Devices, Inc. Predefined critical spaces in IC patterning to reduce line end pull back
US7105099B2 (en) * 2004-07-14 2006-09-12 Macronix International Co., Ltd. Method of reducing pattern pitch in integrated circuits
JP2006128380A (en) * 2004-10-28 2006-05-18 Toshiba Corp Method and apparatus for manufacturing semiconductor device
CN100424821C (en) * 2004-12-08 2008-10-08 旺宏电子股份有限公司 Method for reducing pattern line distance
US7361588B2 (en) * 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
KR100618907B1 (en) 2005-07-30 2006-09-01 삼성전자주식회사 Semiconductor structure comprising multiple barc and method of shaping pr pattern and method of shaping pattern of semiconductor device using the same structure
KR100685903B1 (en) * 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 Method for manufacturing the semiconductor device
KR100695500B1 (en) * 2005-12-28 2007-03-16 주식회사 하이닉스반도체 Method for manufacturing the semiconductor device with top round recess-gate pattern
KR100835697B1 (en) * 2006-12-08 2008-06-09 구한일 Rotary type air sign balloon
US8889018B2 (en) 2010-11-23 2014-11-18 HGST Netherlands B.V. Method for manufacturing a magnetic write pole using a multi-layered hard mask structure
JP2013153074A (en) * 2012-01-25 2013-08-08 Fujifilm Corp Method for forming capacitor
CN105762195B (en) * 2016-03-04 2019-07-26 武汉华星光电技术有限公司 Metal oxide thin-film transistor and preparation method thereof

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891784A (en) * 1993-11-05 1999-04-06 Lucent Technologies, Inc. Transistor fabrication method
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6174818B1 (en) * 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US20010033006A1 (en) * 1998-03-19 2001-10-25 Siemens Aktiengesellschaft MOS transistor in a single-transistor memory cell having a locally thickened gate oxide
US6355546B1 (en) * 1999-08-11 2002-03-12 Advanced Micro Devices, Inc. Thermally grown protective oxide buffer layer for ARC removal
US20020043694A1 (en) * 1998-09-01 2002-04-18 Fernando Gonzalez Semiconductor raised source-drain structure
US20020086503A1 (en) * 1999-06-15 2002-07-04 Klaus Florian Schuegraf Methods for forming wordlines, transistor gates, and conductive interconnects, and wordline, transistor gate, and conductive interconnect structures
US20020163022A1 (en) * 1999-09-27 2002-11-07 Ryuichi Kosugi Semiconductor device and method of manufacturing the same
US6670277B2 (en) * 2001-04-26 2003-12-30 Renesas Technology Corp. Method of manufacturing semiconductor device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3363802B2 (en) 1998-09-25 2003-01-08 三洋電機株式会社 Method for manufacturing semiconductor device

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891784A (en) * 1993-11-05 1999-04-06 Lucent Technologies, Inc. Transistor fabrication method
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US20010033006A1 (en) * 1998-03-19 2001-10-25 Siemens Aktiengesellschaft MOS transistor in a single-transistor memory cell having a locally thickened gate oxide
US20020043694A1 (en) * 1998-09-01 2002-04-18 Fernando Gonzalez Semiconductor raised source-drain structure
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US20020086503A1 (en) * 1999-06-15 2002-07-04 Klaus Florian Schuegraf Methods for forming wordlines, transistor gates, and conductive interconnects, and wordline, transistor gate, and conductive interconnect structures
US6355546B1 (en) * 1999-08-11 2002-03-12 Advanced Micro Devices, Inc. Thermally grown protective oxide buffer layer for ARC removal
US20020163022A1 (en) * 1999-09-27 2002-11-07 Ryuichi Kosugi Semiconductor device and method of manufacturing the same
US6174818B1 (en) * 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6670277B2 (en) * 2001-04-26 2003-12-30 Renesas Technology Corp. Method of manufacturing semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090214838A1 (en) * 2008-02-25 2009-08-27 Sony Corporation Method of applying a pattern of metal, metal oxide and/or semiconductor material on a substrate
US8177991B2 (en) * 2008-02-25 2012-05-15 Sony Corporation Method of applying a pattern of metal, metal oxide and/or semiconductor material on a substrate
US9514942B1 (en) 2016-03-03 2016-12-06 Globalfoundries Inc. Method of forming a gate mask for fabricating a structure of gate lines

Also Published As

Publication number Publication date
JP4201646B2 (en) 2008-12-24
JP2004006902A (en) 2004-01-08
US7163879B2 (en) 2007-01-16
US20030222287A1 (en) 2003-12-04

Similar Documents

Publication Publication Date Title
US20070090446A1 (en) Hardmask etch for gate polyetch
US6165881A (en) Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US5942446A (en) Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
KR100607326B1 (en) Method for fabricating semiconductor device
KR100291154B1 (en) A method for dry-etching a polycide film
US7307009B2 (en) Phosphoric acid free process for polysilicon gate definition
US6117788A (en) Semiconductor etching methods
JPH1197414A (en) Plasma etching method for silicon-oxide based insulating film
US6027959A (en) Methods for in-situ removal of an anti-reflective coating during a nitride resistor protect etching process
US5767017A (en) Selective removal of vertical portions of a film
US6066567A (en) Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
US20070048987A1 (en) Manufacturing method of semiconductor device
JP3353532B2 (en) Trench etching method
JP3279016B2 (en) Dry etching method
US6828187B1 (en) Method for uniform reactive ion etching of dual pre-doped polysilicon regions
JPH11150180A (en) Manufacture of semiconductor device
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
US6828237B1 (en) Sidewall polymer deposition method for forming a patterned microelectronic layer
JP2002110702A (en) Manufacturing method of compound semiconductor device
JP2002184856A (en) Method for isolating semiconductor element
US7078160B2 (en) Selective surface exposure, cleans, and conditioning of the germanium film in a Ge photodetector
US20010051386A1 (en) Method of manufacturing a semiconductor device
KR20020056013A (en) Method for fabricating dual damascene
JP2005129946A (en) Post plasma clean process for a hardmask
US6133131A (en) Method of forming a gate spacer on a semiconductor wafer

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION