US20070108529A1 - Strained gate electrodes in semiconductor devices - Google Patents

Strained gate electrodes in semiconductor devices Download PDF

Info

Publication number
US20070108529A1
US20070108529A1 US11/272,661 US27266105A US2007108529A1 US 20070108529 A1 US20070108529 A1 US 20070108529A1 US 27266105 A US27266105 A US 27266105A US 2007108529 A1 US2007108529 A1 US 2007108529A1
Authority
US
United States
Prior art keywords
gate electrode
semiconductor device
grain size
region
polycrystalline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/272,661
Inventor
Chien-Chao Huang
Fu-Liang Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US11/272,661 priority Critical patent/US20070108529A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUANG, CHIEN-CHAO, YANG, FU-LIANG
Publication of US20070108529A1 publication Critical patent/US20070108529A1/en
Priority to US12/404,050 priority patent/US8835291B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Definitions

  • This invention relates generally to semiconductor devices, and more specifically to metal oxide semiconductor (MOS) devices having strained channel regions.
  • MOS metal oxide semiconductor
  • MOSFETs metal-oxide-semiconductor field-effect transistors
  • One way to improve transistor performance is through selective application of stress to the transistor channel region. Stress distorts or strains the semiconductor crystal lattice and interatomic bonding. The strain, in turn, affects the band alignment and charge transport properties of the semiconductor. By controlling the magnitude and distribution of stress in a finished device, manufacturers can increase carrier mobility and improve device performance. There are several existing approaches of introducing stress in the transistor channel region.
  • One approach includes forming an epitaxial, strained silicon layer on a relaxed silicon germanium (SiGe) layer. Because SiGe has a larger lattice constant than Si, the epitaxial Si grown on SiGe will have its lattice stretched in the lateral direction, so the Si will be under biaxial tensile stress.
  • the relaxed SiGe buffer layer is referred to as a stressor that introduces stress in the channel region. The stressor, in this case, is placed below the transistor channel region.
  • a high-stress film is formed over a completed transistor. The high-stress film distorts the silicon lattice thereby straining the channel region.
  • CMOS and PMOS devices require different types of stress in order to achieve increased carrier mobility.
  • a biaxial, tensile stress increases NMOS performance approximately twofold.
  • a tensile stress improves performance when its perpendicular to the channel, but it has nearly the opposite effect when it is parallel to the channel. Therefore, when a biaxial, tensile film is applied to a PMOS device, the two stress effects almost cancel each other out.
  • An NMOS fabrication method includes using tensile films to improve carrier mobility.
  • a PMOS fabrication method includes using substrate structures that apply a compression stress to the channel.
  • One PMOS method includes selective application of a SiGe layer into the source/drain regions.
  • Another method uses modified shallow trench isolation (STI) structures that compress the PMOS channel.
  • STI shallow trench isolation
  • Embodiments of the invention provide a semiconductor device and a method of manufacture.
  • a preferred embodiment of the invention includes forming a tensile strained channel region in an NMOS device and a compressive strained channel region in a PMOS device.
  • One embodiment comprises forming an intermediate structure, wherein the intermediate structure comprises a first active region, a second active region, a first gate electrode layer over the first active region, and a second gate electrode layer over the second active region.
  • Embodiments may further comprise converting the first gate electrode layer to an amorphous portion and a polycrystalline portion, and forming a capping layer over the intermediate structure.
  • the first and second gate electrode layers are recrystallized so that an average crystal grain size of the first recrystallized layer is less than an average crystal grain size of the second recrystallized layer.
  • the cap layer may be removed after the recrystallizing.
  • the first and second gate electrode layers are patterned to form a first gate electrode over the first active region and a second gate electrode over the second active region, wherein the first and second gate electrodes have different intrinsic stresses.
  • the structure comprises a first gate electrode over a first active region and a second gate electrode over a second active region.
  • the first gate electrode comprises one of an amorphous gate electrode and a polycrystalline gate electrode.
  • the first gate electrode is an amorphous gate electrode
  • the second gate electrode is a polycrystalline gate electrode.
  • the first active region includes a tensile strained region under the first gate electrode.
  • the second active region may include a compressive strained region under the second gate electrode.
  • the device comprises a first gate electrode over a first active region and a second gate electrode over a second active region.
  • the first gate electrode comprises a first plurality of crystal grains having a first average grain size
  • the second gate electrode comprises a second plurality of crystal grains having a second average grain size.
  • the first average grain size is less than the second average grain size.
  • the semiconductor device may comprise an NMOS device and a PMOS device, each device comprising a source/drain region in a substrate, a channel region in the substrate between the source/drain region, and a polycrystalline gate electrode over the channel region.
  • an average crystal grain size of the NMOS polycrystalline gate electrode is less than an average crystal grain size of the PMOS polycrystalline gate electrode.
  • the NMOS polycrystalline gate electrode may be a material having an intrinsic compressive stress that causes a tensile strain in the NMOS channel region.
  • the PMOS polycrystalline gate electrode may comprise a material having an intrinsic tensile stress that causes a compressive strain in the PMOS channel region.
  • the second recrystallized layer comprises a plurality of crystal grains having a columnar growth orientation
  • the first recrystallized layer comprises a plurality of crystal grains having an equiaxed growth orientation
  • the first recrystallized layer may comprise a first portion on a second portion, wherein an average crystal grain size of the first portion is less than an average crystal grain size of the second portion.
  • Embodiments may further include adding a stressor to the gate electrode stack.
  • the embodiments include forming a first stressor having an intrinsic compressive stress under the first gate electrode, and forming a second stressor having an intrinsic tensile stress under the second gate electrode.
  • the term layer is used throughout the specification and in the claims, the resulting features formed using the layer should not be interpreted as only a continuous or uninterrupted feature. As will be clear from reading the specification, the layer may be separated into distinct and isolated features (e.g., active regions or device fabrication regions), some or all of which comprise portions of the semiconductor layer.
  • FIGS. 1 a and 1 b are cross-sectional views of a gate electrode layer according to embodiments of the invention.
  • FIGS. 2 a and 2 b are cross-sectional views of an amorphization implant according to embodiments of the invention.
  • FIGS. 3 a - 3 d are cross-sectional views illustrating a capping layer and gate electrode layer recrystallization according to embodiments of the invention
  • FIGS. 4 a - 4 b are cross-sectional views illustrating crystal grains in gate electrodes according to embodiments of the invention.
  • FIG. 5 is a cross-sectional view of a CMOS device according to the embodiments of the invention.
  • FIGS. 6 a and 6 b are cross-sectional views illustrating additional stressors incorporated within gate electrodes according to embodiments of the invention
  • This invention relates generally to semiconductor device fabrication and more particularly to structures and methods for strained transistors.
  • the present invention will now be described with respect to preferred embodiments in a specific context, namely the creation of PMOS and NMOS transistors. It is believed that embodiments described herein will benefit other applications not specifically mentioned. Therefore, the specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • FIG. 1 a Shown in FIG. 1 a is a schematic cross-sectional diagram of the semiconductor integrated circuit microelectronic device at an early stage in its fabrication in accord with the preferred embodiments of the invention.
  • the intermediate device includes a substrate 101 , which may comprise Si, Ge, SiGe, GaAs, GaAlAs, InP, GaN, or combinations thereof. In addition to bulk wafers, the substrate 101 may also comprise silicon on insulator (SOI) technology.
  • SOI silicon on insulator
  • the substrate 101 preferably comprises a p-doped, ( 100 ) silicon wafer.
  • the substrate 101 includes first 103 a and second 103 b active regions suitable for forming different semiconductor devices. Further included within the second active region 103 b is a doped well region 105 , which is of opposite P or N polarity than the substrate 101 within the first active region 103 a.
  • An isolation structure such as a shallow trench isolation (STI) region 121 , may be formed within the substrate 101 to isolate active regions.
  • STI regions 121 are formed using conventional thermal growth methods and isolation region deposition and patterning methods.
  • the first active region 103 a is laterally adjacent the second active region 103 b for ease of illustration only and is not intended to limit embodiments of the invention.
  • the gate dielectric 130 may include a thermally grown silicon oxide having a thickness from about 6 to 100 ⁇ , and more preferably less than about 20 ⁇ .
  • the gate dielectric 130 may include a high-k dielectric having a k-value substantially greater than about 7.
  • Possible high-k dielectrics include Ta 2 O 5 , TiO 2 , Al 2 O 3 , ZrO 2 , HfO 2 , Y 2 O 3 , L 2 O 3 , and their aluminates and silicates.
  • Other suitable high-k gate dielectrics may include hafnium-based materials such as HfO 2 , HfSiO x , HfAlO x .
  • a layer of gate electrode material 133 is formed over the gate dielectric 130 layer.
  • the gate electrode material 133 may comprise metals, metal alloys, metal-containing materials, polysilicon (polycrystalline silicon), and polycide (doped polysilicon/metal silicide stack) gate electrode materials.
  • the gate electrode material 133 comprises chemical vapor deposition (CVD) polysilicon between about 100 and 10,000 angstroms thick and more preferably between about 500 and 2,000 angstroms.
  • the gate electrode material 133 may further include about 1E20 cm-3 dopant of polarity opposite the channel region of the corresponding MOS device to be formed therefrom.
  • Such doping advantageously provides for enhanced off current (Ioff) performance, enhanced drain saturation current (Idsat) performance and possibly enhanced short channel effect (SCE) performance of a field effect transistor (FET) device formed employing a gate electrode formed from the patterned first gate electrode material layer 133 .
  • Ioff off current
  • Idsat drain saturation current
  • SCE short channel effect
  • FIG. 1 b illustrates an alternative embodiment of the invention wherein an optional glue layer 135 is formed between the dielectric layer 130 and the gate electrode material 133 .
  • the glue layer 135 promotes adhesion between adjacent layers. It may be formed by CVD of poly silicon, amorphous silicon, TiN, Ti, Ta, TaN, or combinations thereof.
  • FIG. 2 a there is shown the intermediate structure of FIG. 1 a after further processing according to embodiments of the invention.
  • a patterned masking layer 225 formed over the second active region 103 b , thereby leaving the first active region 103 a exposed.
  • the masking layer 225 may comprise a photo resist, an anti-reflective coating (ARC), a hard mask, or combinations thereof.
  • ARC anti-reflective coating
  • the amorphization implant 230 may be a conventional beam-line ion implantation process, a plasma immersion ion implantation (PIII), or another ion implantation process known and used in the art.
  • the implant 230 depth in the gate electrode material 133 is preferably from about 100 to 5000 angstroms and more preferably from about 500 to 1000 angstroms at a concentration of preferably from about 1E19 to 1E22 atoms/cm2 and more preferably from about 1E20 to 1E21 atoms/cm2 using In, B, Sb, C, BF 2 , or O atoms.
  • Other preferred implant species may include As, P, N, Ge, Ar, Kr, or combinations thereof.
  • the amorphization implant 230 converts a portion of the gate electrode material over the first active region to an amorphous gate electrode material 133 a . Because the amorphization implant 230 does not fully penetrate the gate electrode material 133 , a portion 133 b of the gate electrode material underlying the amorphous gate electrode material 133 a is unaffected by the amorphization implant 230 .
  • the amorphization implant 230 implant fully penetrates the layer of gate electrode material 133 . Therefore, the gate electrode material over the first active region 103 a is essentially fully converted into a layer of amorphous gate electrode material 133 a.
  • the capping layer 235 is preferably comprised of a nitrogen-containing material such as silicon nitride, carbon doped silicon nitride, or more preferably silicon oxynitride (SiON).
  • Suitable deposition methods include low pressure CVD (LPCVD), atomic layer deposition (ALD), PECVD, or RTCVD.
  • LPCVD low pressure CVD
  • ALD atomic layer deposition
  • PECVD PECVD
  • RTCVD RTCVD
  • the capping layer is preferably formed as a low-stress film having an intrinsic stress ⁇ 10 10 dyne/cm 2 , and more preferably ⁇ 0 dyne/cm 2 .
  • the deposition temperature is preferably below about 600° C. to avoid recrystallization of the amorphous gate electrode layer 133 a and more preferably between about 350° C. and 550° C.
  • the thickness of the capping layer 235 is preferably between about 100 and 1000 angstroms and more preferably between about 200 and 500 angstroms.
  • recrystallizing refers to the process that converts a polycrystalline material having a first grain size to a polycrystalline material having a second grain size. Recrystallizing may also refer to the conversion of an amorphous material to a polycrystalline material.
  • an annealing process 245 recrystallizes the gate electrode material as shown in FIG. 3 b .
  • the anneal 245 is preferably performed at about 600° C. to 1000° C. and more preferably at about 700° C. to 950° C. using a rapid thermal anneal (RTA) or a spike anneal for at least about 3 seconds, or a furnace anneal for about less than about 1 hr.
  • RTA rapid thermal anneal
  • the annealing process 245 may further include an ambient atmosphere such as nitrogen.
  • the annealing process 245 forms a first, second, and third recrystallized region, 133 c , 133 d , 133 e , of gate electrode material.
  • the first recrystallized region 133 c is proportional in size to the pre-anneal amorphous region, which is symbolized by dashed line in FIG. 3 b .
  • the first recrystallized region 133 c may be larger or smaller than the pre-anneal amorphous region.
  • the second recrystallized region 133 d lies substantially under the first crystallized region 133 c . Together, the first and second recrystallized regions, 133 c and 133 d , lie substantially over the first active region 103 a of the substrate 101 .
  • the capping layer 235 stabilizes the solid phase structure of the pre-anneal gate electrode material. That is, if the pre-anneal gate electrode is amorphous, the capping layer 235 stabilizes the amorphous phase. If the pre-anneal material is polycrystalline, the capping layer 235 stabilizes the polycrystalline phase. Therefore, during recrystallization, grain growth within the recrystallized gate electrode material, 133 c , 133 d and 133 e , preferably proceeds from the gate oxide layer 130 towards the capping layer 235 .
  • the third recrystallized region 133 e lies over the second active region 103 b of the substrate, and it also lies substantially adjacent the first and second recrystallized regions, 133 c and 133 d.
  • a thickness, d 1 , of the first recrystallized region 133 c is preferably less than a thickness, d 2 , of the second recrystallized region 133 d . More preferably, d 1 is between about 0.5*d 2 and 0.2*d 2 . In other embodiments of the invention, d 1 is between about 200 and 450 A. In still other embodiments of the invention, the first and second recrystallized regions, 133 c and 133 d , comprise nano-grain polysilicon. The nano-grain polysilicon may have a crystal grain size of about 10 nm or less in an embodiment of the invention.
  • d 1 is approximately 0 nm. Such an embodiment corresponds to the situation where the amorphous region is initially very thin and/or grain growth from the underlying polycrystalline region dominates the recrystallization.
  • d 2 is approximately 0 nm. Such an arrangement may correspond to the further processing of the embodiment illustrated in FIG. 2 b , wherein the layer of gate electrode material over the first active region is fully converted to an amorphous layer ( 133 a , FIG. 2 b ).
  • the third recrystallized electrode material 133 e comprises a columnar polycrystalline structure.
  • the first and second recrystallized electrode materials, 133 c and 133 d may comprise a non-columnar polycrystalline grain structure. More preferably, the first recrystallized region 133 c comprises a first equiaxed grain structure 254 , and the second recrystallized region 133 d comprises a second equiaxed grain structure 256 .
  • columnar grain boundaries 252 are aligned substantially parallel with the growth axis of the substrate 101 .
  • the columnar growth axis may also be substantially aligned in a [1,0,0] direction, as shown in FIG. 3 c .
  • the columnar grain boundaries 252 may be inclined or even perpendicular to the growth axis of the substrate 101 .
  • the mean size of the first equiaxed grains 254 is less than the mean size of the second equiaxed grains 256 , and the mean size of both of these grains is smaller than the mean size of the columnar grains 252 .
  • the interfaces between the plurality of recrystallized regions are illustrated as sharp, straight lines, one skilled in the art recognizes that this is for ease of illustration only. One so skilled understands that said interfaces may be irregularly shaped and not clearly defined.
  • the grain structure of the recrystallized gate electrode material is schematically illustrated in FIG. 3 d .
  • the gate electrode material is comprised of two regions, which may be labeled as a fourth 133 f and a fifth 133 g electrode material region.
  • the grain structure of the fourth and fifth electrode material regions, 133 f and 133 g is schematically represented by hexagons; however, amorphous materials are within the scope of embodiments of the invention.
  • the fourth region 133 f is formed over the first active region 103 a
  • the fifth region 133 g is formed over the second active region 103 b .
  • the forth and fifth regions, 133 f and 133 g comprise recrystallized regions, which may have a columnar or an equiaxed grain orientation, or a combination thereof.
  • an average grain size 262 within the fourth region 133 f is smaller than an average grain size 264 within the fifth region 133 g.
  • the grain structure of the fourth region 133 f comprises an amorphous material.
  • This electrode material may be formed by processing the intermediate structure illustrated in FIG. 2 b according to embodiments of the invention.
  • the capping layer (see e.g., 235 , FIG. 3 a ) stabilizes the amorphous gate electrode material ( 133 a , FIG. 2 b ).
  • the capping layer serves as a nucleation barrier, thereby substantially limiting recrystallization upon further processing. Therefore, the fourth electrode material 133 f in FIG. 3 d is recrystallized as described above, while the fifth electrode material 133 g is amorphous.
  • embodiments of the invention may further include a glue layer (see e.g., 135 FIG. 1 b ) interposed between the recrystallized gate electrode materials, 133 f and 133 g , and the gate dielectric 130 .
  • the glue layer advantageously promotes adhesion between the recrystallized gate electrode materials, 133 f and 133 g , and the underlying gate dielectric 130 .
  • FIG. 4 a there is illustrated a pair of gate electrode stacks formed after the further processing of the intermediate structure shown in FIG. 3 d .
  • a first gate electrode stack 267 comprising a portion of the fourth recrystallized gate electrode material 133 f that is patterned to form a first polycrystalline gate electrode 269 .
  • a second gate electrode stack 268 comprising a portion of the fifth recrystallized gate electrode material 133 g that is patterned to form a second polycrystalline gate electrode 270 .
  • the average grain size of the first gate electrode 269 is preferably smaller than the average grain size of the second gate electrode 270 .
  • the difference in the average grain size is greater than about 2 nm, and more preferably greater than about 10 nm.
  • the grain distribution within the first and second gate electrodes, 269 and 270 may be widely distributed or mono-dispersed, randomly oriented, columnar or equiaxed, or combinations thereof.
  • the respective grain size of each gate electrode induces a corresponding strain effect within an underlying substrate portion.
  • first gate electrode stack 267 there is formed within the first active region 103 a first strain region 271 .
  • second gate electrode stack 268 there is formed within the second active region 103 b a second strain region 273 .
  • the first strain region 271 is advantageously more tensile (or less compressive) than the second strain region 273 .
  • the first strain region 271 is tensile
  • the second strain region 273 is compressive.
  • embodiments of the invention provide methods and structures that are optimized for the fabrication of NMOS and PMOS transistors.
  • the preferred means of optimization comprises a difference in average crystal grain size.
  • Other embodiments, as illustrated below, further enhance control of the strain within NMOS and PMOS regions.
  • the first gate electrode 269 preferably comprises a first and second sub-layer of patterned gate electrode material.
  • the two layers comprise the first and second layers of recrystallized gate electrode material, 133 c and 133 d .
  • the second gate electrode 270 preferably comprises a patterned layer of the third recrystallized gate electrode material 133 e .
  • the average grain size of the first gate electrode 269 is preferably less than the second gate electrode 270 .
  • the first gate electrode 269 may comprise equiaxed grains, and the second gate electrode may comprise columnar grains. Such an arrangement of grains is preferred, but not required of embodiments of the invention.
  • the first, second, and third recrystallized layers of gate electrode material, 133 c , 133 d , 133 e may independently comprise grains that are widely distributed or mono-dispersed, randomly oriented, columnar or equiaxed, or combinations thereof.
  • the average crystal grain size of the first gate electrode 269 is less than the average crystal grain size of the second gate electrode 270 .
  • the first strain region 271 is preferably more tensile (less compressive) than the second strain region 273 .
  • embodiments may further include using implant dopants, which may induce or inhibit nucleation, grain growth, and recrystallization.
  • a gate electrode having an intrinsic compressive/tensile stress induces the opposite stress (i.e. tensile/compressive) within the substrate underlying the gate electrode.
  • a gate electrode having an intrinsic tensile stress of about 4.50E9 dyne/cm 2 may induce a compressive stress in the channel region of approximately the same magnitude.
  • a gate electrode having an intrinsic tensile/compressive stress of about 2500 MPa may induce a corresponding channel strain in the range of about compressive/tensile 1.5%.
  • FIG. 5 the intermediate structures of FIGS. 4 a and 4 b may be completed according to conventional semiconductor fabrication methods, which may include several of the following steps.
  • completing the intermediate structure of FIG. 4 a may include forming a CMOS device comprising an NMOSFET 301 in the first active region 103 a and a PMOSFET 304 in the second active region 103 b .
  • the capping layer ( 235 , FIG. 4 a ) is removed by etching using an acid such as HF, H 3 PO 4 , or by using a dry etch.
  • lightly doped source/drain (LDS/LDD) regions are formed in the substrate 101 to a depth between about 100 and 1000 angstroms and preferably between about 200 and 400 angstroms.
  • An N-LDS/LDD region 307 is formed by ion implanting phosphorus or arsenic dopant ions from about 1*10 13 ions/cm2 to about 5*10 14 ions/cm 2 at an energy from about 30 keV to about 80 keV. After annealing the concentration of phosphorus or arsenic dopant in the LDS/LDD regions 307 is from about 5*10 16 atoms/cm 3 to about 1*10 19 atoms/cm 3 .
  • the NMOS channel region 330 lies within the first strain region 271 . More preferably, the first strain region 271 comprises a tensile stress that is aligned substantially between the N-LDS/LDD regions 307 .
  • a P-LDS/LDD region 308 is formed by ion implanting boron or boron difluoride, BF 2 , with a dose from about 1*10 13 ions/cm 2 to about 5*10 14 ions/cm 2 at an energy from about 15 keV to about 50 keV. After annealing the concentration of boron dopant in the P-LDS/LDD region 308 is about 5*10 16 atoms/cm 3 to about 1*10 19 atoms/cm 3 .
  • the PMOS channel region 331 lies within the second strain region 273 .
  • the sidewall spacers 315 are a dielectric, such as CVD silicon oxide. Using the gate electrodes 269 and 270 and also sidewall spacers 315 as a mask, heavily doped source/drain regions are formed.
  • Heavily doped P+ doped source/drain regions 319 in N-well 105 are self-aligned with gate electrode 270 and sidewall spacers 315 .
  • the P+ source/drain regions 319 extend below the P ⁇ lightly doped LDS/LDD regions 308 .
  • the P+ regions source/drain regions may be implanted with a dose of boron dopant in a range from about 1*10 14 ions/cm 2 to about 1*10 16 ions/cm 2 at an energy from about 10 keV to about 80 keV.
  • the concentration of boron dopant in the regions 319 is preferably between about 5*10 18 atoms/cm 3 and 5*10 20 atoms/cm 3 .
  • Heavily doped N+ doped source/drain regions 317 are formed in the first active area 103 a and self-aligned with gate electrode 269 and also with sidewall spacers 315 .
  • the heavily doped N+ source/drain regions 317 preferably extend below the P ⁇ lightly doped LDS/LDD regions 307 as shown in FIG. 5 .
  • the heavily doped N+ source/drain 317 regions may be ion implanted with a dose of phosphorus or arsenic dopant from about 1*10 14 ions/cm 2 to about 1*10 16 ions/cm 2 at an energy from about 10 keV to about 80 keV.
  • the concentration of phosphorus or arsenic dopant in the source/drain regions 317 is preferably between about 5*10 18 atoms/cm 3 to about 5*10 20 atoms/cm 3 .
  • yet another embodiment of the invention may comprise incorporating a stressor 410 as shown in FIG. 6 a .
  • the stressor 410 may comprise a well-defined layer between the gate dielectric layer 130 and the gate electrode 405 .
  • a thickness of the stressor 410 is preferably at least about 25% a thickness of the gate electrode 405 .
  • the stressor may not be a distinct layer, but it may comprise a material dispersed throughout of the gate electrode 405 .
  • still other embodiments may further include a glue layer 135 interposed between the stressor 410 and the gate dielectric 130 .
  • the stressor 410 may comprise a material having an intrinsic tensile or compressive stress. In other embodiments, it may comprise a conductive material or a dielectric. Suitable compressive, conductive stressors 410 may comprise amorphous silicon or SiGe. Suitable tensile, conductive stressors 410 may comprise NiSi, CoSi2, or poly Si. Suitable dielectric stressors 410 , which are tensile or compressive depending on deposition method or stoichiometry, may comprise SiN, SiC, and/or oxides.
  • Another strained semiconductor method that may be integrated with embodiments includes forming a stressor such as a stress layer formed over NMOS and PMOS devices to induce a strain in the channel region.
  • a stressor such as a stress layer formed over NMOS and PMOS devices to induce a strain in the channel region.
  • a highly tensile stress/strain film is known to induce a tensile channel stress/strain.
  • a highly compressive stress/strain film is known to induce a compressive channel stress/strain.
  • Embodiments of the invention may further include depositing a uniform stress film over a device, such as a CMOS device and thereafter modulating or adjusting an appropriate stress property of the film in order to achieve a desired channel stress.
  • One modulating treatment may comprise local stress relaxation by ion bombardment or implantation using, for example, germanium, silicon, xenon, argon, oxygen, nitrogen, carbon, or germanium, and combinations thereof.
  • Other treatments may include changing the composition (e.g., oxidation and/or nitridation) of the stress layer using, for example, a process such as thermal, plasma, ozone, UV, a steam oxidation, a steam environment, and/or combinations thereof.
  • Other treatment methods may include film densification using, for example, a zone treatment, e-beam curing, UV curing, laser treatment (either with or without an absorption or reflection capping layer).

Abstract

Embodiments of the invention provide a semiconductor device and a method of manufacture. MOS devices along with their polycrystalline or amorphous gate electrodes are fabricated such that the intrinsic stress within the gate electrode creates a stress in the channel region between the MOS source/drain regions. Embodiments include forming an NMOS device and a PMOS device after having converted a portion of the intermediate NMOS gate electrode layer to an amorphous layer and then recrystallizing it before patterning to form the electrode. The average grain size in the NMOS recrystallized gate electrode is smaller than that in the PMOS recrystallized gate electrode. In another embodiment, the NMOS device comprises an amorphous gate electrode.

Description

    TECHNICAL FIELD
  • This invention relates generally to semiconductor devices, and more specifically to metal oxide semiconductor (MOS) devices having strained channel regions.
  • BACKGROUND
  • Miniaturization of metal-oxide-semiconductor field-effect transistors (MOSFETs) has improved speed performance and reduced cost per unit function of integrated circuits. One way to improve transistor performance is through selective application of stress to the transistor channel region. Stress distorts or strains the semiconductor crystal lattice and interatomic bonding. The strain, in turn, affects the band alignment and charge transport properties of the semiconductor. By controlling the magnitude and distribution of stress in a finished device, manufacturers can increase carrier mobility and improve device performance. There are several existing approaches of introducing stress in the transistor channel region.
  • One approach includes forming an epitaxial, strained silicon layer on a relaxed silicon germanium (SiGe) layer. Because SiGe has a larger lattice constant than Si, the epitaxial Si grown on SiGe will have its lattice stretched in the lateral direction, so the Si will be under biaxial tensile stress. In this approach, the relaxed SiGe buffer layer is referred to as a stressor that introduces stress in the channel region. The stressor, in this case, is placed below the transistor channel region. In another approach, a high-stress film is formed over a completed transistor. The high-stress film distorts the silicon lattice thereby straining the channel region.
  • One problem facing CMOS manufacturing is that NMOS and PMOS devices require different types of stress in order to achieve increased carrier mobility. For example, a biaxial, tensile stress increases NMOS performance approximately twofold. However, for a PMOS device, such a stress yields almost no improvement. With a PMOS device, a tensile stress improves performance when its perpendicular to the channel, but it has nearly the opposite effect when it is parallel to the channel. Therefore, when a biaxial, tensile film is applied to a PMOS device, the two stress effects almost cancel each other out.
  • Workers are aware of these problems. Therefore, new CMOS manufacturing techniques selectively address PMOS and NMOS devices. An NMOS fabrication method includes using tensile films to improve carrier mobility. A PMOS fabrication method includes using substrate structures that apply a compression stress to the channel. One PMOS method includes selective application of a SiGe layer into the source/drain regions. Another method uses modified shallow trench isolation (STI) structures that compress the PMOS channel.
  • The use of additional materials, however, adds further processing steps and complexity to the manufacturing process. Therefore, there remains a need for improving the carrier mobility of both NMOS and PMOS devices without significantly adding to the cost or complexity of the manufacturing process.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieved by preferred embodiments of the present invention that provide methods and structures for introducing stress and strain into semiconductor devices in order to improve charge carrier mobility.
  • Embodiments of the invention provide a semiconductor device and a method of manufacture. A preferred embodiment of the invention includes forming a tensile strained channel region in an NMOS device and a compressive strained channel region in a PMOS device.
  • One embodiment comprises forming an intermediate structure, wherein the intermediate structure comprises a first active region, a second active region, a first gate electrode layer over the first active region, and a second gate electrode layer over the second active region. Embodiments may further comprise converting the first gate electrode layer to an amorphous portion and a polycrystalline portion, and forming a capping layer over the intermediate structure. The first and second gate electrode layers are recrystallized so that an average crystal grain size of the first recrystallized layer is less than an average crystal grain size of the second recrystallized layer. The cap layer may be removed after the recrystallizing. The first and second gate electrode layers are patterned to form a first gate electrode over the first active region and a second gate electrode over the second active region, wherein the first and second gate electrodes have different intrinsic stresses.
  • Another embodiment of the invention provides a semiconductor structure. The structure comprises a first gate electrode over a first active region and a second gate electrode over a second active region. Preferably, the first gate electrode comprises one of an amorphous gate electrode and a polycrystalline gate electrode. In another embodiment, the first gate electrode is an amorphous gate electrode, and the second gate electrode is a polycrystalline gate electrode. In an embodiment, the first active region includes a tensile strained region under the first gate electrode. The second active region may include a compressive strained region under the second gate electrode.
  • Another embodiment of the invention provides a semiconductor device. The device comprises a first gate electrode over a first active region and a second gate electrode over a second active region. The first gate electrode comprises a first plurality of crystal grains having a first average grain size, and the second gate electrode comprises a second plurality of crystal grains having a second average grain size. Preferably, the first average grain size is less than the second average grain size.
  • In still another embodiment, the semiconductor device may comprise an NMOS device and a PMOS device, each device comprising a source/drain region in a substrate, a channel region in the substrate between the source/drain region, and a polycrystalline gate electrode over the channel region. Preferably, an average crystal grain size of the NMOS polycrystalline gate electrode is less than an average crystal grain size of the PMOS polycrystalline gate electrode. The NMOS polycrystalline gate electrode may be a material having an intrinsic compressive stress that causes a tensile strain in the NMOS channel region. The PMOS polycrystalline gate electrode may comprise a material having an intrinsic tensile stress that causes a compressive strain in the PMOS channel region.
  • In embodiments of the invention, the second recrystallized layer comprises a plurality of crystal grains having a columnar growth orientation, and the first recrystallized layer comprises a plurality of crystal grains having an equiaxed growth orientation. The first recrystallized layer may comprise a first portion on a second portion, wherein an average crystal grain size of the first portion is less than an average crystal grain size of the second portion.
  • Embodiments may further include adding a stressor to the gate electrode stack. The embodiments include forming a first stressor having an intrinsic compressive stress under the first gate electrode, and forming a second stressor having an intrinsic tensile stress under the second gate electrode.
  • Note that although the term layer is used throughout the specification and in the claims, the resulting features formed using the layer should not be interpreted as only a continuous or uninterrupted feature. As will be clear from reading the specification, the layer may be separated into distinct and isolated features (e.g., active regions or device fabrication regions), some or all of which comprise portions of the semiconductor layer.
  • Additional features and advantages of embodiments of the invention will be described hereinafter, which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the specific embodiments disclosed might be readily utilized as a basis for modifying or designing other structures or processes for carrying out the purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions and variations on the example embodiments described do not depart from the spirit and scope of the invention as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIGS. 1 a and 1 b are cross-sectional views of a gate electrode layer according to embodiments of the invention;
  • FIGS. 2 a and 2 b are cross-sectional views of an amorphization implant according to embodiments of the invention;
  • FIGS. 3 a-3 d are cross-sectional views illustrating a capping layer and gate electrode layer recrystallization according to embodiments of the invention;
  • FIGS. 4 a-4 b are cross-sectional views illustrating crystal grains in gate electrodes according to embodiments of the invention;
  • FIG. 5 is a cross-sectional view of a CMOS device according to the embodiments of the invention; and
  • FIGS. 6 a and 6 b are cross-sectional views illustrating additional stressors incorporated within gate electrodes according to embodiments of the invention
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the preferred embodiments and are not necessarily drawn to scale. To more clearly illustrate certain embodiments, a letter indicating variations of the same structure, material, or process step may follow a figure number.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention. The intermediated stages of manufacturing a preferred embodiment of the present invention are illustrated throughout the various views and illustrative embodiments of the present invention.
  • This invention relates generally to semiconductor device fabrication and more particularly to structures and methods for strained transistors. The present invention will now be described with respect to preferred embodiments in a specific context, namely the creation of PMOS and NMOS transistors. It is believed that embodiments described herein will benefit other applications not specifically mentioned. Therefore, the specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • Shown in FIG. 1 a is a schematic cross-sectional diagram of the semiconductor integrated circuit microelectronic device at an early stage in its fabrication in accord with the preferred embodiments of the invention. The intermediate device includes a substrate 101, which may comprise Si, Ge, SiGe, GaAs, GaAlAs, InP, GaN, or combinations thereof. In addition to bulk wafers, the substrate 101 may also comprise silicon on insulator (SOI) technology. The substrate 101 preferably comprises a p-doped, (100) silicon wafer. The substrate 101 includes first 103 a and second 103 b active regions suitable for forming different semiconductor devices. Further included within the second active region 103 b is a doped well region 105, which is of opposite P or N polarity than the substrate 101 within the first active region 103 a.
  • An isolation structure, such as a shallow trench isolation (STI) region 121, may be formed within the substrate 101 to isolate active regions. STI regions 121 are formed using conventional thermal growth methods and isolation region deposition and patterning methods. In FIG. 1, the first active region 103 a is laterally adjacent the second active region 103 b for ease of illustration only and is not intended to limit embodiments of the invention.
  • Formed over the active regions 103 is a gate dielectric layer 130. The gate dielectric 130 may include a thermally grown silicon oxide having a thickness from about 6 to 100 Å, and more preferably less than about 20 Å. In other embodiments, the gate dielectric 130 may include a high-k dielectric having a k-value substantially greater than about 7. Possible high-k dielectrics include Ta2O5, TiO2, Al2O3, ZrO2, HfO2, Y2O3, L2O3, and their aluminates and silicates. Other suitable high-k gate dielectrics may include hafnium-based materials such as HfO2, HfSiOx, HfAlOx.
  • A layer of gate electrode material 133 is formed over the gate dielectric 130 layer. The gate electrode material 133 may comprise metals, metal alloys, metal-containing materials, polysilicon (polycrystalline silicon), and polycide (doped polysilicon/metal silicide stack) gate electrode materials. Preferably, the gate electrode material 133 comprises chemical vapor deposition (CVD) polysilicon between about 100 and 10,000 angstroms thick and more preferably between about 500 and 2,000 angstroms. The gate electrode material 133 may further include about 1E20 cm-3 dopant of polarity opposite the channel region of the corresponding MOS device to be formed therefrom. Such doping advantageously provides for enhanced off current (Ioff) performance, enhanced drain saturation current (Idsat) performance and possibly enhanced short channel effect (SCE) performance of a field effect transistor (FET) device formed employing a gate electrode formed from the patterned first gate electrode material layer 133.
  • FIG. 1 b illustrates an alternative embodiment of the invention wherein an optional glue layer 135 is formed between the dielectric layer 130 and the gate electrode material 133. The glue layer 135 promotes adhesion between adjacent layers. It may be formed by CVD of poly silicon, amorphous silicon, TiN, Ti, Ta, TaN, or combinations thereof.
  • Turning now to FIG. 2 a, there is shown the intermediate structure of FIG. 1 a after further processing according to embodiments of the invention. A patterned masking layer 225 formed over the second active region 103 b, thereby leaving the first active region 103 a exposed. The masking layer 225 may comprise a photo resist, an anti-reflective coating (ARC), a hard mask, or combinations thereof.
  • An amorphization implant, which is symbolized by arrows 230, is performed next. The amorphization implant 230 may be a conventional beam-line ion implantation process, a plasma immersion ion implantation (PIII), or another ion implantation process known and used in the art. The implant 230 depth in the gate electrode material 133 is preferably from about 100 to 5000 angstroms and more preferably from about 500 to 1000 angstroms at a concentration of preferably from about 1E19 to 1E22 atoms/cm2 and more preferably from about 1E20 to 1E21 atoms/cm2 using In, B, Sb, C, BF2, or O atoms. Other preferred implant species may include As, P, N, Ge, Ar, Kr, or combinations thereof.
  • As shown in FIG. 2 a, the amorphization implant 230 converts a portion of the gate electrode material over the first active region to an amorphous gate electrode material 133 a. Because the amorphization implant 230 does not fully penetrate the gate electrode material 133, a portion 133 b of the gate electrode material underlying the amorphous gate electrode material 133 a is unaffected by the amorphization implant 230.
  • In an alternative embodiment of the invention shown in FIG. 2 b, the amorphization implant 230 implant fully penetrates the layer of gate electrode material 133. Therefore, the gate electrode material over the first active region 103 a is essentially fully converted into a layer of amorphous gate electrode material 133 a.
  • Turning now to FIG. 3 a, a capping layer 235 is formed over the structure illustrated in FIG. 2 a. The capping layer 235 is preferably comprised of a nitrogen-containing material such as silicon nitride, carbon doped silicon nitride, or more preferably silicon oxynitride (SiON). Suitable deposition methods include low pressure CVD (LPCVD), atomic layer deposition (ALD), PECVD, or RTCVD. By adjusting deposition parameters and precursor composition, the capping layer is preferably formed as a low-stress film having an intrinsic stress <<1010 dyne/cm2, and more preferably ≈0 dyne/cm2. The deposition temperature is preferably below about 600° C. to avoid recrystallization of the amorphous gate electrode layer 133 a and more preferably between about 350° C. and 550° C. The thickness of the capping layer 235 is preferably between about 100 and 1000 angstroms and more preferably between about 200 and 500 angstroms.
  • As used herein, recrystallizing refers to the process that converts a polycrystalline material having a first grain size to a polycrystalline material having a second grain size. Recrystallizing may also refer to the conversion of an amorphous material to a polycrystalline material.
  • Next, without removing the capping layer 235, an annealing process 245 recrystallizes the gate electrode material as shown in FIG. 3 b. The anneal 245 is preferably performed at about 600° C. to 1000° C. and more preferably at about 700° C. to 950° C. using a rapid thermal anneal (RTA) or a spike anneal for at least about 3 seconds, or a furnace anneal for about less than about 1 hr. The annealing process 245 may further include an ambient atmosphere such as nitrogen.
  • As shown in FIG. 3 b, the annealing process 245 forms a first, second, and third recrystallized region, 133 c, 133 d, 133 e, of gate electrode material. The first recrystallized region 133 c is proportional in size to the pre-anneal amorphous region, which is symbolized by dashed line in FIG. 3 b. However, because crystal grain growth may have a directional component, the first recrystallized region 133 c may be larger or smaller than the pre-anneal amorphous region. The second recrystallized region 133 d lies substantially under the first crystallized region 133 c. Together, the first and second recrystallized regions, 133 c and 133 d, lie substantially over the first active region 103 a of the substrate 101.
  • In preferred embodiments of the invention, the capping layer 235 stabilizes the solid phase structure of the pre-anneal gate electrode material. That is, if the pre-anneal gate electrode is amorphous, the capping layer 235 stabilizes the amorphous phase. If the pre-anneal material is polycrystalline, the capping layer 235 stabilizes the polycrystalline phase. Therefore, during recrystallization, grain growth within the recrystallized gate electrode material, 133 c, 133 d and 133 e, preferably proceeds from the gate oxide layer 130 towards the capping layer 235. Preferably, the third recrystallized region 133 e lies over the second active region 103 b of the substrate, and it also lies substantially adjacent the first and second recrystallized regions, 133 c and 133 d.
  • In embodiments of the invention, a thickness, d1, of the first recrystallized region 133 c is preferably less than a thickness, d2, of the second recrystallized region 133 d. More preferably, d1 is between about 0.5*d2 and 0.2*d2. In other embodiments of the invention, d1 is between about 200 and 450 A. In still other embodiments of the invention, the first and second recrystallized regions, 133 c and 133 d, comprise nano-grain polysilicon. The nano-grain polysilicon may have a crystal grain size of about 10 nm or less in an embodiment of the invention.
  • In other embodiments of the invention, d1 is approximately 0 nm. Such an embodiment corresponds to the situation where the amorphous region is initially very thin and/or grain growth from the underlying polycrystalline region dominates the recrystallization. In yet still other embodiments, d2 is approximately 0 nm. Such an arrangement may correspond to the further processing of the embodiment illustrated in FIG. 2 b, wherein the layer of gate electrode material over the first active region is fully converted to an amorphous layer (133 a, FIG. 2 b).
  • Further details of the grain structure within the first, second, and third recrystallized regions 133 c, 133 d, 133 e are illustrated in FIG. 3 c. In a preferred embodiment of the invention, the third recrystallized electrode material 133 e comprises a columnar polycrystalline structure. The first and second recrystallized electrode materials, 133 c and 133 d, may comprise a non-columnar polycrystalline grain structure. More preferably, the first recrystallized region 133 c comprises a first equiaxed grain structure 254, and the second recrystallized region 133 d comprises a second equiaxed grain structure 256.
  • In the embodiment illustrated in FIG. 3 c, columnar grain boundaries 252 are aligned substantially parallel with the growth axis of the substrate 101. For example, if the substrate 101 has a (1,0,0) growth axis, the columnar growth axis may also be substantially aligned in a [1,0,0] direction, as shown in FIG. 3 c. In other embodiments (not shown), the columnar grain boundaries 252 may be inclined or even perpendicular to the growth axis of the substrate 101.
  • In the embodiment illustrated in FIG. 3 c, the mean size of the first equiaxed grains 254 is less than the mean size of the second equiaxed grains 256, and the mean size of both of these grains is smaller than the mean size of the columnar grains 252. While the interfaces between the plurality of recrystallized regions are illustrated as sharp, straight lines, one skilled in the art recognizes that this is for ease of illustration only. One so skilled understands that said interfaces may be irregularly shaped and not clearly defined.
  • Yet another alternative preferred embodiment of the invention, the grain structure of the recrystallized gate electrode material is schematically illustrated in FIG. 3 d. In this embodiment, the gate electrode material is comprised of two regions, which may be labeled as a fourth 133 f and a fifth 133 g electrode material region. The grain structure of the fourth and fifth electrode material regions, 133 f and 133 g, is schematically represented by hexagons; however, amorphous materials are within the scope of embodiments of the invention. The fourth region 133 f is formed over the first active region 103 a, and the fifth region 133 g is formed over the second active region 103 b. In an embodiment, the forth and fifth regions, 133 f and 133 g, comprise recrystallized regions, which may have a columnar or an equiaxed grain orientation, or a combination thereof. Preferably, an average grain size 262 within the fourth region 133 f is smaller than an average grain size 264 within the fifth region 133 g.
  • In an embodiment, the grain structure of the fourth region 133 f comprises an amorphous material. This electrode material may be formed by processing the intermediate structure illustrated in FIG. 2 b according to embodiments of the invention. In this embodiment, the capping layer (see e.g., 235, FIG. 3 a) stabilizes the amorphous gate electrode material (133 a, FIG. 2 b). The capping layer serves as a nucleation barrier, thereby substantially limiting recrystallization upon further processing. Therefore, the fourth electrode material 133 f in FIG. 3 d is recrystallized as described above, while the fifth electrode material 133 g is amorphous.
  • As described above, other embodiments of the invention (not illustrated) may further include a glue layer (see e.g., 135 FIG. 1 b) interposed between the recrystallized gate electrode materials, 133 f and 133 g, and the gate dielectric 130. The glue layer advantageously promotes adhesion between the recrystallized gate electrode materials, 133 f and 133 g, and the underlying gate dielectric 130.
  • Turning now to FIG. 4 a, there is illustrated a pair of gate electrode stacks formed after the further processing of the intermediate structure shown in FIG. 3 d. Formed over the first active region 103 a is a first gate electrode stack 267 comprising a portion of the fourth recrystallized gate electrode material 133 f that is patterned to form a first polycrystalline gate electrode 269. Formed over the second active region 103 b is a second gate electrode stack 268 comprising a portion of the fifth recrystallized gate electrode material 133 g that is patterned to form a second polycrystalline gate electrode 270.
  • The average grain size of the first gate electrode 269 is preferably smaller than the average grain size of the second gate electrode 270. Preferably, the difference in the average grain size is greater than about 2 nm, and more preferably greater than about 10 nm. The grain distribution within the first and second gate electrodes, 269 and 270, may be widely distributed or mono-dispersed, randomly oriented, columnar or equiaxed, or combinations thereof.
  • In further keeping with embodiments of the invention, the respective grain size of each gate electrode induces a corresponding strain effect within an underlying substrate portion. Continuing with FIG. 4 a, underlying the first gate electrode stack 267 there is formed within the first active region 103 a first strain region 271. Underlying the second gate electrode stack 268 there is formed within the second active region 103 b a second strain region 273. Because the average grain size of the first gate electrode 269 is smaller than the average grain size of the second gate electrode 270, the first strain region 271 is advantageously more tensile (or less compressive) than the second strain region 273. Preferably, the first strain region 271 is tensile, and the second strain region 273 is compressive.
  • By allowing for the selective control of the gate electrode crystal grains, embodiments of the invention provide methods and structures that are optimized for the fabrication of NMOS and PMOS transistors. In the embodiment illustrated in FIG. 4 a, the preferred means of optimization comprises a difference in average crystal grain size. Other embodiments, as illustrated below, further enhance control of the strain within NMOS and PMOS regions.
  • Turning now to FIG. 4 b, there is illustrated a pair of gate electrode stacks formed after the further processing of the intermediate structure shown in FIG. 3 c. In the embodiment of FIG. 4 b, the first gate electrode 269 preferably comprises a first and second sub-layer of patterned gate electrode material. In keeping with embodiments provided above, the two layers comprise the first and second layers of recrystallized gate electrode material, 133 c and 133 d. The second gate electrode 270 preferably comprises a patterned layer of the third recrystallized gate electrode material 133 e. As described above, the average grain size of the first gate electrode 269 is preferably less than the second gate electrode 270.
  • As shown in FIG. 4 b, the first gate electrode 269 may comprise equiaxed grains, and the second gate electrode may comprise columnar grains. Such an arrangement of grains is preferred, but not required of embodiments of the invention. For example, the first, second, and third recrystallized layers of gate electrode material, 133 c, 133 d, 133 e, may independently comprise grains that are widely distributed or mono-dispersed, randomly oriented, columnar or equiaxed, or combinations thereof. Most preferably, however, the average crystal grain size of the first gate electrode 269 is less than the average crystal grain size of the second gate electrode 270. In further keeping with embodiments of the invention provided above, the first strain region 271 is preferably more tensile (less compressive) than the second strain region 273.
  • In order to further control the stress/strain distribution, embodiments may further include using implant dopants, which may induce or inhibit nucleation, grain growth, and recrystallization. Typically, a gate electrode having an intrinsic compressive/tensile stress induces the opposite stress (i.e. tensile/compressive) within the substrate underlying the gate electrode. For example, a gate electrode having an intrinsic tensile stress of about 4.50E9 dyne/cm2 may induce a compressive stress in the channel region of approximately the same magnitude. In other embodiments, a gate electrode having an intrinsic tensile/compressive stress of about 2500 MPa may induce a corresponding channel strain in the range of about compressive/tensile 1.5%.
  • Turning now to FIG. 5, the intermediate structures of FIGS. 4 a and 4 b may be completed according to conventional semiconductor fabrication methods, which may include several of the following steps. For example, completing the intermediate structure of FIG. 4 a may include forming a CMOS device comprising an NMOSFET 301 in the first active region 103 a and a PMOSFET 304 in the second active region 103 b. The capping layer (235, FIG. 4 a) is removed by etching using an acid such as HF, H3 PO4, or by using a dry etch.
  • Using the gate electrodes, 269 and 270, as a mask, lightly doped source/drain (LDS/LDD) regions are formed in the substrate 101 to a depth between about 100 and 1000 angstroms and preferably between about 200 and 400 angstroms. An N-LDS/LDD region 307 is formed by ion implanting phosphorus or arsenic dopant ions from about 1*1013 ions/cm2 to about 5*1014 ions/cm2 at an energy from about 30 keV to about 80 keV. After annealing the concentration of phosphorus or arsenic dopant in the LDS/LDD regions 307 is from about 5*1016 atoms/cm3 to about 1*1019 atoms/cm3.
  • Between the N-LDS/LDD regions 307 there is an NMOS channel region 330. Preferably, the NMOS channel region 330 lies within the first strain region 271. More preferably, the first strain region 271 comprises a tensile stress that is aligned substantially between the N-LDS/LDD regions 307.
  • A P-LDS/LDD region 308 is formed by ion implanting boron or boron difluoride, BF2, with a dose from about 1*1013 ions/cm2 to about 5*1014 ions/cm2 at an energy from about 15 keV to about 50 keV. After annealing the concentration of boron dopant in the P-LDS/LDD region 308 is about 5*1016 atoms/cm3 to about 1*1019 atoms/cm3. Preferably, the PMOS channel region 331 lies within the second strain region 273.
  • Formed on sidewalls of the gate electrodes 269 and 270 are sidewall spacers 315. The sidewall spacers 315 are a dielectric, such as CVD silicon oxide. Using the gate electrodes 269 and 270 and also sidewall spacers 315 as a mask, heavily doped source/drain regions are formed.
  • Heavily doped P+ doped source/drain regions 319 in N-well 105 are self-aligned with gate electrode 270 and sidewall spacers 315. The P+ source/drain regions 319 extend below the P− lightly doped LDS/LDD regions 308. The P+ regions source/drain regions may be implanted with a dose of boron dopant in a range from about 1*1014 ions/cm 2 to about 1*1016 ions/cm2 at an energy from about 10 keV to about 80 keV. After annealing, the concentration of boron dopant in the regions 319 is preferably between about 5*1018 atoms/cm3 and 5*1020 atoms/cm3.
  • Heavily doped N+ doped source/drain regions 317 are formed in the first active area 103 a and self-aligned with gate electrode 269 and also with sidewall spacers 315. The heavily doped N+ source/drain regions 317 preferably extend below the P− lightly doped LDS/LDD regions 307 as shown in FIG. 5. The heavily doped N+ source/drain 317 regions may be ion implanted with a dose of phosphorus or arsenic dopant from about 1*1014 ions/cm 2 to about 1*1016 ions/cm 2 at an energy from about 10 keV to about 80 keV. After annealing, the concentration of phosphorus or arsenic dopant in the source/drain regions 317 is preferably between about 5*1018 atoms/cm3 to about 5*1020 atoms/cm3.
  • One skilled in the art will recognize that embodiments of the invention may be integrated with other methods and structures suitable for strained channel transistors. For example, shallow trench isolation (STI) structures may induce stress in n-channel and p-channel transistors separately. A first isolation trench may include a first liner, and a second isolation trench may include a second liner. The liners may comprise a suitable stress layer material, and it may be used to modulate channel stress. For example, in modulating the channel stress may include implanting the liner with ions removed. A liner may be modified in some but not all of a plurality of trenches.
  • For example, yet another embodiment of the invention may comprise incorporating a stressor 410 as shown in FIG. 6 a. The stressor 410 may comprise a well-defined layer between the gate dielectric layer 130 and the gate electrode 405. In such an embodiment, a thickness of the stressor 410 is preferably at least about 25% a thickness of the gate electrode 405. In other embodiments, not illustrated, the stressor may not be a distinct layer, but it may comprise a material dispersed throughout of the gate electrode 405. As shown in FIG. 6 b, still other embodiments may further include a glue layer 135 interposed between the stressor 410 and the gate dielectric 130.
  • Continuing with FIGS. 6 a and 6 b the stressor 410 may comprise a material having an intrinsic tensile or compressive stress. In other embodiments, it may comprise a conductive material or a dielectric. Suitable compressive, conductive stressors 410 may comprise amorphous silicon or SiGe. Suitable tensile, conductive stressors 410 may comprise NiSi, CoSi2, or poly Si. Suitable dielectric stressors 410, which are tensile or compressive depending on deposition method or stoichiometry, may comprise SiN, SiC, and/or oxides.
  • Another strained semiconductor method that may be integrated with embodiments includes forming a stressor such as a stress layer formed over NMOS and PMOS devices to induce a strain in the channel region. For example, a highly tensile stress/strain film is known to induce a tensile channel stress/strain. Likewise, a highly compressive stress/strain film is known to induce a compressive channel stress/strain. Embodiments of the invention may further include depositing a uniform stress film over a device, such as a CMOS device and thereafter modulating or adjusting an appropriate stress property of the film in order to achieve a desired channel stress.
  • One modulating treatment may comprise local stress relaxation by ion bombardment or implantation using, for example, germanium, silicon, xenon, argon, oxygen, nitrogen, carbon, or germanium, and combinations thereof. Other treatments may include changing the composition (e.g., oxidation and/or nitridation) of the stress layer using, for example, a process such as thermal, plasma, ozone, UV, a steam oxidation, a steam environment, and/or combinations thereof. Other treatment methods may include film densification using, for example, a zone treatment, e-beam curing, UV curing, laser treatment (either with or without an absorption or reflection capping layer).
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (27)

1. A semiconductor structure comprising:
a first gate electrode over a first active region, wherein the first gate electrode comprises one of an amorphous gate electrode and a polycrystalline gate electrode; and
a polycrystalline gate electrode over a second active region.
2. The semiconductor structure of claim 1, wherein the first gate electrode comprises an amorphous gate electrode, and the first active region comprises a tensile strained region under the amorphous gate electrode.
3. The semiconductor structure of claim 2, wherein the second active region comprises a compressive strained region under the polycrystalline gate electrode.
4. The semiconductor structure of claim 1, wherein the first gate electrode comprises an amorphous gate electrode, and the second gate electrode comprises a plurality of crystal grains having an equiaxed orientation.
5. The semiconductor structure of claim 1, wherein the first gate electrode comprises an amorphous gate electrode, and the second gate electrode comprises a plurality of crystal grains having a columnar orientation.
6. A semiconductor device comprising:
a first gate electrode over a first active region, the first gate electrode comprising a first plurality of crystal grains having a first average grain size; and
a second gate electrode over a second active region, the second gate electrode comprising a second plurality of crystal grains having a second average grain size, wherein the first average grain size is less than the second average grain size.
7. The semiconductor device of claim 6, further comprising a tensile strain in the first active region and a compressive strain in the second active region.
8. The semiconductor device of claim 7, further comprising a first stressor having an intrinsic compressive stress under the first gate electrode, and a second stressor having an intrinsic tensile stress under the second gate electrode.
9. The semiconductor device of claim 8, wherein a stressor thickness is at least about 25% of a gate electrode thickness.
10. The semiconductor device of claim 6, wherein the first plurality of crystal grains comprises grains having one of columnar and equiaxed orientation and the second plurality of crystal grains comprises grains having the other of a columnar and an equiaxed orientation.
11. The semiconductor device of claim 6, wherein the first and second plurality of crystal grains independently comprise grains having a columnar or a equiaxed orientation.
12. The semiconductor device of claim 6, wherein the first plurality of crystal grains comprises grains having an equiaxed growth orientation.
13. The semiconductor device of claim 12, wherein the first plurality of crystal grains comprises a first portion on a second portion, wherein an average crystal grain size of the first portion is less than an average crystal grain size of the second portion.
14. The semiconductor device of claim 13, wherein the first portion comprises crystal grains having one of a columnar and an equiaxed orientation and the second portion comprises the other of columnar and equiaxed.
15. The semiconductor device of claim 6, further comprising a gate dielectric layer under the first and second gate electrodes, and a glue layer under the gate dielectric layer.
16. The semiconductor device of claim 15, wherein the glue layer comprises a material selected from the group consisting essentially of poly silicon, amorphous silicon, TiN, Ti, Ta, TaN, and combinations thereof.
17. The semiconductor device of claim 6, further comprising a gate dielectric layer under the first and second gate electrodes, and a stressor over the gate dielectric layer.
18. The semiconductor device of claim 17, wherein the stressor comprises a material selected from the group consisting essentially of amorphous silicon, polysilicon, SiGe, NiSi, CoSi2, SiN, SiC, an oxide, and combinations thereof.
19. The semiconductor device of claim 6, wherein the first and second gate electrodes comprise a material selected from the group consisting essentially of polysilicon, and a metal-containing material.
20. The semiconductor device of claim 6, wherein the first gate electrode comprises a material selected from the group consisting essentially of As, P, N, Ge, Ar, Kr, and combinations thereof.
21. The semiconductor device of claim 6, the wherein the first average grain size is at least 2 nm less than the second average grain size.
22. A semiconductor device comprising:
an NMOS device and a PMOS device, each device comprising a source/drain region in a substrate, a channel region in the substrate between the source/drain region, and a polycrystalline gate electrode over the channel region;
wherein an average crystal grain size of the NMOS polycrystalline gate electrode is smaller than an average crystal grain size of the PMOS polycrystalline gate electrode.
23. The semiconductor device of claim 22, wherein the NMOS polycrystalline gate electrode comprises a material having an intrinsic compressive stress.
24. The semiconductor device of claim 23, wherein the NMOS polycrystalline gate electrode causes a tensile strain in the NMOS channel region.
25. The semiconductor device of claim 22, wherein the PMOS polycrystalline gate electrode comprises a material having an intrinsic tensile stress.
26. The semiconductor device of claim 25, wherein the PMOS polycrystalline gate electrode causes a compressive strain in the PMOS channel region.
27. The semiconductor device of claim 22, wherein the NMOS and the PMOS polycrystalline gate electrode independently comprise a material selected from the group consisting essentially of silicon, germanium, and combinations thereof.
US11/272,661 2005-11-14 2005-11-14 Strained gate electrodes in semiconductor devices Abandoned US20070108529A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/272,661 US20070108529A1 (en) 2005-11-14 2005-11-14 Strained gate electrodes in semiconductor devices
US12/404,050 US8835291B2 (en) 2005-11-14 2009-03-13 Strained gate electrodes in semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/272,661 US20070108529A1 (en) 2005-11-14 2005-11-14 Strained gate electrodes in semiconductor devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/404,050 Continuation US8835291B2 (en) 2005-11-14 2009-03-13 Strained gate electrodes in semiconductor devices

Publications (1)

Publication Number Publication Date
US20070108529A1 true US20070108529A1 (en) 2007-05-17

Family

ID=38039862

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/272,661 Abandoned US20070108529A1 (en) 2005-11-14 2005-11-14 Strained gate electrodes in semiconductor devices
US12/404,050 Active 2028-05-02 US8835291B2 (en) 2005-11-14 2009-03-13 Strained gate electrodes in semiconductor devices

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/404,050 Active 2028-05-02 US8835291B2 (en) 2005-11-14 2009-03-13 Strained gate electrodes in semiconductor devices

Country Status (1)

Country Link
US (2) US20070108529A1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080003734A1 (en) * 2006-06-29 2008-01-03 Harry Chuang Selective formation of stress memorization layer
US20080042211A1 (en) * 2006-08-18 2008-02-21 Micron Technology, Inc. Strained semiconductor channels and methods of formation
US20080050869A1 (en) * 2006-07-05 2008-02-28 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US20080057665A1 (en) * 2006-08-31 2008-03-06 Richard Lindsay Strained semiconductor device and method of making same
US20090108363A1 (en) * 2006-08-02 2009-04-30 Leonard Forbes Strained semiconductor, devices and systems and methods of formation
US20100230673A1 (en) * 2006-06-09 2010-09-16 Nxp B.V. Semiconductor Fuse Structure and a Method of Manufacturing a Semiconductor Fuse Structure
US20110065280A1 (en) * 2009-09-16 2011-03-17 Tokyo Electron Limited Mask pattern forming method and semiconductor device manufacturing method
US20110175140A1 (en) * 2009-12-17 2011-07-21 Applied Materials, Inc. Methods for forming nmos epi layers
CN102593001A (en) * 2011-01-14 2012-07-18 中国科学院微电子研究所 Method for introducing strain to channel and device manufactured by the same
US20120181634A1 (en) * 2011-01-14 2012-07-19 Institute of Microelectronics, Chinese Academy of Sciences Method of Introducing Strain Into Channel and Device Manufactured by Using the Method
US8227309B2 (en) 2006-02-16 2012-07-24 Micron Technology, Inc. Localized compressive strained semiconductor
EP2889907A3 (en) * 2013-12-26 2015-10-07 MediaTek, Inc Integrated circuits and fabrication methods thereof
CN107799516A (en) * 2016-09-06 2018-03-13 三星电子株式会社 Semiconductor devices with nano wire and the method for manufacturing it
US20210399002A1 (en) * 2020-06-23 2021-12-23 Intel Corporation Self-aligned front-end charge trap flash memory cell and capacitor design for integrated high-density scaled devices

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100864928B1 (en) * 2006-12-29 2008-10-22 동부일렉트로닉스 주식회사 Method of Forming ?????? Device
KR20140003154A (en) * 2012-06-29 2014-01-09 에스케이하이닉스 주식회사 Method for manufacturing semiconductor device
US9202792B2 (en) * 2014-04-25 2015-12-01 Taiwan Semiconductor Manufacturing Company Limited Structure and method of providing a re-distribution layer (RDL) and a through-silicon via (TSV)
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
US10553476B2 (en) 2017-05-26 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including polysilicon structures having differing grain sizes and including a barrier layer therebetween

Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6043142A (en) * 1992-12-25 2000-03-28 Hitachi, Ltd. Semiconductor apparatus having conductive thin films and manufacturing apparatus therefor
US6221744B1 (en) * 1999-01-20 2001-04-24 United Microelectronics Corp. Method for forming a gate
US6255204B1 (en) * 1999-05-21 2001-07-03 Motorola, Inc. Method for forming a semiconductor device
US6268068B1 (en) * 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
US6355962B1 (en) * 1998-05-04 2002-03-12 Taiwan Semiconductor Manufacturing Company CMOS FET with P-well with P- type halo under drain and counterdoped N- halo under source region
US20020093046A1 (en) * 2001-01-16 2002-07-18 Hiroshi Moriya Semiconductor device and its production process
US20020192932A1 (en) * 2001-06-13 2002-12-19 Taiwan Semiconductor Manufacturing Company Salicide integration process
US20030049919A1 (en) * 2001-09-13 2003-03-13 Nec Corporation Semiconductor device having smooth refractory metal silicide layers and process for fabrication thereof
US20030047734A1 (en) * 2001-09-07 2003-03-13 Applied Materials, Inc. Bi-layer silicon film and method of fabrication
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6670263B2 (en) * 2001-03-10 2003-12-30 International Business Machines Corporation Method of reducing polysilicon depletion in a polysilicon gate electrode by depositing polysilicon of varying grain size
US6670226B2 (en) * 2002-03-08 2003-12-30 Taiwan Semiconductor Manufacturing Co., Ltd Planarizing method for fabricating gate electrodes
US20040029323A1 (en) * 2000-11-22 2004-02-12 Akihiro Shimizu Semiconductor device and method for fabricating the same
US20040104405A1 (en) * 2002-12-02 2004-06-03 Taiwan Semiconductor Manufacturing Company Novel CMOS device
US20040173812A1 (en) * 2003-03-07 2004-09-09 Amberwave Systems Corporation Shallow trench isolation process
US20050040477A1 (en) * 2003-08-18 2005-02-24 Advanced Micro Devices, Inc. Field effect transistor having increased carrier mobility
US6872613B1 (en) * 2003-09-04 2005-03-29 Advanced Micro Devices, Inc. Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure
US6906393B2 (en) * 2002-11-20 2005-06-14 Renesas Technology Corp. Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
US6927454B2 (en) * 2003-10-07 2005-08-09 International Business Machines Corporation Split poly-SiGe/poly-Si alloy gate stack
US20050189597A1 (en) * 2004-03-01 2005-09-01 Yuri Masuoka Semiconductor device featuring multi-layered electrode structure
US20050236668A1 (en) * 2004-04-23 2005-10-27 International Business Machines Corporation STRUCTURES AND METHODS FOR MANUFACTURING OF DISLOCATION FREE STRESSED CHANNELS IN BULK SILICON AND SOI CMOS DEVICES BY GATE STRESS ENGINEERING WITH SiGe AND/OR Si:C
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7005365B2 (en) * 2003-08-27 2006-02-28 Texas Instruments Incorporated Structure and method to fabricate self-aligned transistors with dual work function metal gate electrodes
US20060081942A1 (en) * 2004-10-19 2006-04-20 Tomohiro Saito Semiconductor device and manufacturing method therefor
US20060099765A1 (en) * 2004-11-11 2006-05-11 International Business Machines Corporation Method to enhance cmos transistor performance by inducing strain in the gate and channel
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7355256B2 (en) * 2005-04-11 2008-04-08 Nec Electronics Corporation MOS Devices with different gate lengths and different gate polysilicon grain sizes

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2826982B2 (en) * 1994-07-07 1998-11-18 エルジイ・セミコン・カンパニイ・リミテッド Crystallization method and method of manufacturing thin film transistor using the same
US5879975A (en) * 1997-09-05 1999-03-09 Advanced Micro Devices, Inc. Heat treating nitrogen implanted gate electrode layer for improved gate electrode etch profile
US6064149A (en) * 1998-02-23 2000-05-16 Micron Technology Inc. Field emission device with silicon-containing adhesion layer
US6720241B2 (en) * 2001-06-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Method for manufacturing semiconductor device
US6893909B2 (en) * 2003-10-07 2005-05-17 United Microelectronics Corp. Method of manufacturing metal-oxide-semiconductor transistor
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
DE102007030056B3 (en) * 2007-06-29 2009-01-22 Advanced Micro Devices, Inc., Sunnyvale A method for blocking a pre-amorphization of a gate electrode of a transistor
US7678637B2 (en) * 2007-09-21 2010-03-16 Texas Instruments Incorporated CMOS fabrication process

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6043142A (en) * 1992-12-25 2000-03-28 Hitachi, Ltd. Semiconductor apparatus having conductive thin films and manufacturing apparatus therefor
US6355962B1 (en) * 1998-05-04 2002-03-12 Taiwan Semiconductor Manufacturing Company CMOS FET with P-well with P- type halo under drain and counterdoped N- halo under source region
US6268068B1 (en) * 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
US6221744B1 (en) * 1999-01-20 2001-04-24 United Microelectronics Corp. Method for forming a gate
US6255204B1 (en) * 1999-05-21 2001-07-03 Motorola, Inc. Method for forming a semiconductor device
US20040029323A1 (en) * 2000-11-22 2004-02-12 Akihiro Shimizu Semiconductor device and method for fabricating the same
US20020093046A1 (en) * 2001-01-16 2002-07-18 Hiroshi Moriya Semiconductor device and its production process
US6670263B2 (en) * 2001-03-10 2003-12-30 International Business Machines Corporation Method of reducing polysilicon depletion in a polysilicon gate electrode by depositing polysilicon of varying grain size
US20020192932A1 (en) * 2001-06-13 2002-12-19 Taiwan Semiconductor Manufacturing Company Salicide integration process
US20030047734A1 (en) * 2001-09-07 2003-03-13 Applied Materials, Inc. Bi-layer silicon film and method of fabrication
US20030049919A1 (en) * 2001-09-13 2003-03-13 Nec Corporation Semiconductor device having smooth refractory metal silicide layers and process for fabrication thereof
US6670226B2 (en) * 2002-03-08 2003-12-30 Taiwan Semiconductor Manufacturing Co., Ltd Planarizing method for fabricating gate electrodes
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6906393B2 (en) * 2002-11-20 2005-06-14 Renesas Technology Corp. Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US20040104405A1 (en) * 2002-12-02 2004-06-03 Taiwan Semiconductor Manufacturing Company Novel CMOS device
US20040173812A1 (en) * 2003-03-07 2004-09-09 Amberwave Systems Corporation Shallow trench isolation process
US20050040477A1 (en) * 2003-08-18 2005-02-24 Advanced Micro Devices, Inc. Field effect transistor having increased carrier mobility
US7005365B2 (en) * 2003-08-27 2006-02-28 Texas Instruments Incorporated Structure and method to fabricate self-aligned transistors with dual work function metal gate electrodes
US6872613B1 (en) * 2003-09-04 2005-03-29 Advanced Micro Devices, Inc. Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure
US6927454B2 (en) * 2003-10-07 2005-08-09 International Business Machines Corporation Split poly-SiGe/poly-Si alloy gate stack
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
US20050189597A1 (en) * 2004-03-01 2005-09-01 Yuri Masuoka Semiconductor device featuring multi-layered electrode structure
US20050236668A1 (en) * 2004-04-23 2005-10-27 International Business Machines Corporation STRUCTURES AND METHODS FOR MANUFACTURING OF DISLOCATION FREE STRESSED CHANNELS IN BULK SILICON AND SOI CMOS DEVICES BY GATE STRESS ENGINEERING WITH SiGe AND/OR Si:C
US20060081942A1 (en) * 2004-10-19 2006-04-20 Tomohiro Saito Semiconductor device and manufacturing method therefor
US20060099765A1 (en) * 2004-11-11 2006-05-11 International Business Machines Corporation Method to enhance cmos transistor performance by inducing strain in the gate and channel
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7355256B2 (en) * 2005-04-11 2008-04-08 Nec Electronics Corporation MOS Devices with different gate lengths and different gate polysilicon grain sizes

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8227309B2 (en) 2006-02-16 2012-07-24 Micron Technology, Inc. Localized compressive strained semiconductor
US8435850B2 (en) 2006-02-16 2013-05-07 Micron Technology, Inc. Localized compressive strained semiconductor
US20100230673A1 (en) * 2006-06-09 2010-09-16 Nxp B.V. Semiconductor Fuse Structure and a Method of Manufacturing a Semiconductor Fuse Structure
US7678636B2 (en) 2006-06-29 2010-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of stress memorization layer
US20080003734A1 (en) * 2006-06-29 2008-01-03 Harry Chuang Selective formation of stress memorization layer
US20090289375A1 (en) * 2006-07-05 2009-11-26 Toshiba America Electronic Components, Inc. Dual Stress Liner Device and Method
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US20080050869A1 (en) * 2006-07-05 2008-02-28 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US8004035B2 (en) 2006-07-05 2011-08-23 Kabushiki Kaisha Toshiba Dual stress liner device and method
US20090108363A1 (en) * 2006-08-02 2009-04-30 Leonard Forbes Strained semiconductor, devices and systems and methods of formation
US7888744B2 (en) 2006-08-02 2011-02-15 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US7968960B2 (en) * 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
US9379241B2 (en) 2006-08-18 2016-06-28 Micron Technology, Inc. Semiconductor device with strained channels
US20080042211A1 (en) * 2006-08-18 2008-02-21 Micron Technology, Inc. Strained semiconductor channels and methods of formation
US20110183487A1 (en) * 2006-08-31 2011-07-28 Richard Lindsay Strained Semiconductor Device and Method of Making Same
US8450171B2 (en) * 2006-08-31 2013-05-28 Infineon Technologies Ag Strained semiconductor device and method of making same
US7952122B2 (en) 2006-08-31 2011-05-31 Infineon Technologies Ag Strained semiconductor device and method of making same
US20080057665A1 (en) * 2006-08-31 2008-03-06 Richard Lindsay Strained semiconductor device and method of making same
US20100140722A1 (en) * 2006-08-31 2010-06-10 Richard Lindsay Strained Semiconductor Device and Method of Making Same
US7704823B2 (en) * 2006-08-31 2010-04-27 Infineon Technologies Ag Strained semiconductor device and method of making same
US20110065280A1 (en) * 2009-09-16 2011-03-17 Tokyo Electron Limited Mask pattern forming method and semiconductor device manufacturing method
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US20110175140A1 (en) * 2009-12-17 2011-07-21 Applied Materials, Inc. Methods for forming nmos epi layers
WO2012094783A1 (en) * 2011-01-14 2012-07-19 中国科学院微电子研究所 Method for introducing strain into channel and device manufactured by the method
US8748272B2 (en) * 2011-01-14 2014-06-10 Institute of Microelectronics, Chinese Academy of Sciences Method of introducing strain into channel and device manufactured by using the method
US20120181634A1 (en) * 2011-01-14 2012-07-19 Institute of Microelectronics, Chinese Academy of Sciences Method of Introducing Strain Into Channel and Device Manufactured by Using the Method
CN102593001A (en) * 2011-01-14 2012-07-18 中国科学院微电子研究所 Method for introducing strain to channel and device manufactured by the same
EP2889907A3 (en) * 2013-12-26 2015-10-07 MediaTek, Inc Integrated circuits and fabrication methods thereof
US9379175B2 (en) 2013-12-26 2016-06-28 Mediatek Inc. Integrated circuits and fabrication methods thereof
US9508786B2 (en) 2013-12-26 2016-11-29 Mediatek Inc. Integrated circuits and fabrication methods thereof
US9793337B2 (en) 2013-12-26 2017-10-17 Mediatek Inc. Integrated circuits and fabrication methods thereof
CN107799516A (en) * 2016-09-06 2018-03-13 三星电子株式会社 Semiconductor devices with nano wire and the method for manufacturing it
US10177149B2 (en) * 2016-09-06 2019-01-08 Samsung Electronics Co., Ltd. Semiconductor devices with nanowires and with metal layers having different grain sizes
US10847515B2 (en) 2016-09-06 2020-11-24 Samsung Electronics Co., Ltd. Semiconductor devices with nanowires and methods for fabricating the same
US20210399002A1 (en) * 2020-06-23 2021-12-23 Intel Corporation Self-aligned front-end charge trap flash memory cell and capacitor design for integrated high-density scaled devices

Also Published As

Publication number Publication date
US8835291B2 (en) 2014-09-16
US20090203202A1 (en) 2009-08-13

Similar Documents

Publication Publication Date Title
US8835291B2 (en) Strained gate electrodes in semiconductor devices
US9647111B2 (en) Advanced forming method and structure of local mechanical strained transistor
US6921913B2 (en) Strained-channel transistor structure with lattice-mismatched zone
US7470943B2 (en) High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US7033895B2 (en) Method of fabricating a MOS transistor with elevated source/drain structure using a selective epitaxial growth process
US7232730B2 (en) Method of forming a locally strained transistor
US7504336B2 (en) Methods for forming CMOS devices with intrinsically stressed metal silicide layers
US20060234455A1 (en) Structures and methods for forming a locally strained transistor
US7645687B2 (en) Method to fabricate variable work function gates for FUSI devices
US20150054030A1 (en) Defect-Free SiGe Source/Drain Formation by Epitaxy-Free Process
US20060244074A1 (en) Hybrid-strained sidewall spacer for CMOS process
US20100148270A1 (en) Methods of channel stress engineering and structures formed thereby
US7595247B2 (en) Halo-first ultra-thin SOI FET for superior short channel control
JP2007027747A (en) Direct channel stress
JP2013545289A (en) Method and structure for pFET junction profile with SiGe channel
US20130105907A1 (en) Mos device and method of manufacturing the same
US7374998B2 (en) Selective incorporation of charge for transistor channels
US7675118B2 (en) Semiconductor structure with enhanced performance using a simplified dual stress liner configuration
US9331174B2 (en) Method for improving device performance using epitaxially grown silicon carbon (SiC) or silicon-germanium (SiGe)
KR101028982B1 (en) Semiconductor device and method for manufacturing the same
US7687861B2 (en) Silicided regions for NMOS and PMOS devices
US20070222035A1 (en) Stress intermedium engineering
US7892909B2 (en) Polysilicon gate formation by in-situ doping
CN112151367B (en) Semiconductor device and method of forming the same
JP2010267713A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUANG, CHIEN-CHAO;YANG, FU-LIANG;REEL/FRAME:017237/0427

Effective date: 20051114

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION