US20070126085A1 - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
US20070126085A1
US20070126085A1 US11/602,346 US60234606A US2007126085A1 US 20070126085 A1 US20070126085 A1 US 20070126085A1 US 60234606 A US60234606 A US 60234606A US 2007126085 A1 US2007126085 A1 US 2007126085A1
Authority
US
United States
Prior art keywords
semiconductor chip
semiconductor
electrode
forming
inorganic insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/602,346
Inventor
Masaya Kawano
Koji Soejima
Nobuaki Takahashi
Yoichiro Kurita
Masahiro Komuro
Satoshi Matsui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Assigned to NEC ELECTRONICS CORPORATION reassignment NEC ELECTRONICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KAWANO, MASAYA, KOMURO, MASAHIRO, KURITA, YOICHIRO, MATSUI, SATOSHI, SOEJIMA, KOJI, TAKAHASHI, NOBUAKI
Publication of US20070126085A1 publication Critical patent/US20070126085A1/en
Priority to US12/656,616 priority Critical patent/US8395269B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • H01L2223/6622Coaxial feed-throughs in active or passive substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Definitions

  • the present invention relates to a semiconductor device and a method of manufacturing the same.
  • patent document 1 discloses a method of manufacturing a multiple-chip type semiconductor device in which a plurality of semiconductor chips are stacked.
  • a printed substrate is prepared in which an electrode post is formed on one surface, and a connection electrode is formed on the other surface.
  • a semiconductor chip is mounted in a face-down manner on the surface of the printed substrate on which the electrode post is formed. Subsequently, after the electrode post and the semiconductor chip are subjected to resin molding, the resin-molded surface side is ground until the electrode post is exposed.
  • a plurality of the printed substrates obtained in this manner and having semiconductor chips mounted thereon are prepared and stacked onto one another to produce a multiple-chip type semiconductor device. At this time, connection between adjacent printed substrates is establishedby connection of an electrode post of one substrate to the connection electrode of the other.
  • connection terminal of the semiconductor chip and the interconnect pattern above the semiconductor chip are electrically connected with each other.
  • a semiconductor substrate in which a through hole has been formed is prepared.
  • an insulating layer and an adhesive metal layer are sequentially stacked on the wall surface of the through hole.
  • a metal plug is formed so as to fill the through hole.
  • the metal plug is formed so as to protrude from the through hole.
  • a plurality of semiconductor chips obtained in this manner are prepared and stacked on one another to produce a multiple-chip type semiconductor device. At this time, connection between adjacent semiconductor chips is established via the above-described protruding metal plug.
  • the manufacturing methods disclosed in the patent documents 1-4 are all unsuitable for manufacturing a semiconductor device on which a thin type semiconductor chip is mounted.
  • the above reason lies in that a handling step must be carried out before mounting a thinned chip on a substrate.
  • the chip is extremely thin (for example, less than 50 ⁇ m)
  • the chip will be damaged even with a little force, so that it is difficult to handle a single chip.
  • the stress between the silicon and the insulating layer the chip will be greatly warped when a free surface is given to the chip, thereby making the handling all the more difficult.
  • a method of manufacturing a semiconductor device including: forming an interconnect member; mounting a first semiconductor chip having a semiconductor substrate in a face-down manner on the interconnect member; forming a resin layer on the interconnect member so as to cover a side surface of the first semiconductor chip; thinning the first semiconductor chip and the resin layer; forming an inorganic insulating layer on a back surface of the first semiconductor chip so as to be in contact with the back surface and to extend over the resin layer; and forming a through electrode so as to penetrate the inorganic insulating layer and the semiconductor substrate.
  • an inorganic insulating layer is formed that directly covers the back surface of the first semiconductor chip.
  • This inorganic insulating layer prevents the device region (the region where semiconductor elements such as transistors are to be formed) of the semiconductor chip from being contaminated with metals. For this reason, the problem of metal contamination can be prevented from occurring even if the semiconductor chip is thinned, unlike the case in which the back surface of the semiconductor chip is stripped bare.
  • the first semiconductor chip is thinned after being mounted on the interconnect member. For this reason, there is no need to handle the thinned semiconductor chip as a single piece. Therefore, the chip can be thinned to such a thickness that the handling as a single piece would be difficult. In view of these points, this manufacturing method is suitable for manufacturing a semiconductor device on which a thin type semiconductor chip is mounted.
  • a semiconductor device including: an interconnect member; a first semiconductor chip mounted in a face-down manner on the interconnect member and having a semiconductor substrate; a resin layer provided on the interconnect member so as to cover a side surface of the first semiconductor chip; an inorganic insulating layer provided on a back surface of the first semiconductor chip so as to be in contact with the back surface and to extend over the resin layer; and a through electrode penetrating the first semiconductor chip and the semiconductor substrate.
  • an inorganic insulating layer is provided that directly covers the back surface of the first semiconductor chip.
  • This inorganic insulating layer prevents the device region of the semiconductor chip from being contaminated with metals. For this reason, the problem of metal contamination can be prevented from occurring even if the semiconductor chip is thinned, unlike the case in which the back surface of the semiconductor chip is stripped bare.
  • the side surface of the first semiconductor chip is covered with the resin layer, and the back surface of the first semiconductor chip is covered with the inorganic insulating layer.
  • a semiconductor device suitable for high-speed operation and thickness reduction and a method of manufacturing the same are provided.
  • FIG. 1 is a cross-sectional view illustrating an embodiment of a semiconductor device according to the present invention
  • FIGS. 2A to 2 C are views of steps showing an embodiment of a method of manufacturing a semiconductor device according to the present invention.
  • FIGS. 3A to 3 C are views of steps showing an embodiment of a method of manufacturing a semiconductor device according to the present invention.
  • FIGS. 4A to 4 C are views of steps showing an embodiment of a method of manufacturing a semiconductor device according to the present invention.
  • FIGS. 5A to 5 C are views of steps showing an example of a step of forming the first semiconductor chip
  • FIGS. 6A and 6B are views for explaining an example of a construction of an insulating ring
  • FIGS. 7A and 7B are views for explaining an example of a construction of an insulating ring
  • FIG. 8 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment.
  • FIGS. 9A and 9B are views for explaining a modified example of a semiconductor device according to the embodiment.
  • FIGS. 10A and 10B are views for explaining a modified example of a semiconductor device according to the embodiment.
  • FIG. 11 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment.
  • FIG. 12 is a plan view illustrating a modified example of a construction of an insulating ring
  • FIGS. 13A to 13 C are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention.
  • FIGS. 14A to 14 C are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention.
  • FIGS. 15A and 15B are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention.
  • FIG. 16 is across-sectional view illustrating a modified example of a semiconductor device according to the embodiment.
  • FIG. 17 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment.
  • FIG. 18 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment.
  • FIGS. 19A to 19 C are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention.
  • FIGS. 20A and 20B are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention.
  • FIG. 21 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment.
  • FIG. 22 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment.
  • FIG. 1 is a cross-sectional view illustrating an embodiment of a semiconductor device according to the present invention.
  • the semiconductor device 1 includes an interconnect member 10 , a semiconductor chip 20 (first semiconductor chip), a semiconductor chip 30 (second semiconductor chip), a resin layer 40 , an inorganic insulating layer 50 , and a through electrode 60 .
  • the interconnect member 10 includes an insulating resin 12 and an interconnect 14 formed thereon. An electro conductive material is formed in an opening formed in the insulating resin 12 . Via this electro conductive material, electrical connection between the interconnect 14 and a solder bump 80 described later is established.
  • the semiconductor chip 20 is mounted in a face-down manner on the interconnect member 10 .
  • the semiconductor chip 20 has a connection terminal 22 , and this connection terminal 22 is connected in a flip-chip manner to the interconnect 14 .
  • the resin layer 40 is formed on the interconnect member 10 .
  • This resin layer 40 covers the side surface of the semiconductor chip 20 .
  • the resin constituting the resin layer 40 is, for example, an epoxy resin or a BT (Bismaleimide-Triazine) resin. Approximately the whole of the side surface of the semiconductor chip 20 is covered with the resin layer 40 , and the back surface of the semiconductor chip 20 (the surface opposite to the connection terminal 22 ) is exposed to the surface of the resin layer 40 .
  • the gap between the interconnect member 10 and the semiconductor chip 20 is filled with an underfill resin 42 .
  • This underfill resin 42 covers the upper surface of the semiconductor chip 20 (the surface on which the connection terminal 22 is formed).
  • the underfill resin 42 may be disposed so as to cover the side surface of the semiconductor chip 20 in addition to the upper surface of the semiconductor chip 20 .
  • the above-described resin layer 40 covers the side surface of the semiconductor chip 20 via the underfill resin 42 .
  • the inorganic insulating layer 50 is formed on the back surface of the semiconductor chip 20 .
  • This inorganic insulating layer 50 is in contact with the back surface of the semiconductor chip 20 , and directly covers the back surface Also, the inorganic insulating layer 50 extends over the resin layer 40 .
  • the inorganic insulating layer 50 is disposed to extend over the whole surface of the resin layer 40 .
  • the inorganic insulating layer 50 is made of a plurality of inorganic insulating films that are stacked on one another.
  • the inorganic insulating layer 50 is made of a SiN film 52 and a SiO 2 film 54 formed thereon.
  • the through electrode 60 is formed in the semiconductor chip 20 .
  • This through electrode 60 penetrates the inorganic insulating layer 50 and the semiconductor substrate of the semiconductor chip 20 .
  • the semiconductor substrate that the semiconductor chip 20 has is, for example, a silicon substrate.
  • an insulating ring 70 that surrounds the through electrode 60 is formed. The detailed construction of the insulating ring 70 will be described later.
  • the semiconductor chip 30 is provided on the semiconductor chip 20 located in the uppermost layer among these semiconductor chips 20 .
  • the semiconductor chip 30 is mounted in a face-down manner on the inorganic insulating layer 50 that covers the back surface of the semiconductor chip 20 located in the uppermost layer.
  • the semiconductor chip 30 has a connection terminal 32 , and this connection terminal 32 is connected in a flip-chip manner to the through electrode 60 that penetrates the inorganic insulating layer 50 . This establishes electrical connection between the semiconductor chip 30 and the through electrode 60 .
  • This semiconductor chip 30 is the chip located in the uppermost layer in the whole semiconductor device 1 .
  • solder bump 80 (external electrode terminal) is formed on the bottom surface of the interconnect member 10 (the surface opposite to the semiconductor chip 20 ).
  • this manufacturing method includes the following steps (a) to (g).:
  • a seed metal layer 92 is formed on a supporting substrate 90 such as a silicon substrate.
  • an interconnect 14 is formed with use of a plating method or the like, so as to obtain an interconnect member 10 ( FIG. 2A ).
  • the interconnect member 10 Next, on the interconnect member 10 , device chips (semiconductor chips 20 ) that have been separated into individual pieces are mounted in a flip-chip manner. Further, the connection part of these, namely the gap between the interconnect member 10 and the semiconductor chips 20 , is filled with an underfill resin 42 ( FIG. 2B ). At this time, a resin may be formed in advance by application, potting, or the like, and the resin may be cured simultaneously with the flip-chip connection. Subsequently, a resin layer 40 is formed on the interconnect member 10 so as to cover a side surface of the semiconductor chip 20 ( FIG. 2C ). At this time, the resin layer 40 may be formed so as to cover the back surface of the semiconductor chip 20 in addition to the side surface of the semiconductor chip 20 .
  • the semiconductor chip 20 and the resin layer 40 are simultaneously ground.
  • the semiconductor chip 20 and the resin layer 40 are thinned ( FIG. 3A ).
  • the grinding is carried out until the insulating ring 70 is exposed to the back surface of the semiconductor chip 20 .
  • the thickness of the semiconductor chip 20 after grinding may be, for example, about 20 ⁇ m.
  • a stress-relief process such as polishing, CMP (chemical mechanical polishing), or dry etching maybe carried out after grinding.
  • the SiN film 52 , or the SiN film 52 and the SiO 2 film 54 is formed on the back surface of the semiconductor chip 20 ( FIG. 3B ).
  • a region where the through electrode 60 will be formed is opened by a photolithography method or the like. Further, a through hole 62 that penetrates the inorganic insulating layer 50 and the semiconductor substrate of the semiconductor chip 20 is formed by dry etching or the like ( FIG. 3C ). At this time, part of the semiconductor substrate may be left between the insulating ring 70 and the through hole 62 .
  • an insulating film (hereafter referred to as a side wall insulating film) maybe formed on the side surface of the through hole 62 .
  • a side wall insulating film for example, a monolayer film of SiO 2 or a laminate film of SiO 2 /SiN may be used.
  • the insulating film is formed so that the film thickness on the bottom surface of the through hole 62 will be small, and the whole surface is etched back after forming the film, so as to remove the insulating film on the bottom surface completely. At this time, the etching-back is carried out so that the insulating film other than on the bottom surface will be left.
  • the through hole 62 is filled with a metal.
  • This step may be carried out, for example, in the following manner. Namely, a seed metal is formed in the inside of the through hole 62 and on the inorganic insulating layer 50 by the sputtering method or the CVD method, followed by carrying out electrolytic plating. The metal formed on the inorganic insulating layer 50 by the electrolytic plating is removed by CMP. This forms the through electrode 60 ( FIG. 4A ).
  • a laminate film of Cu/Ti may be raised as an example of the seed metal.
  • an Au film or a laminate film of Au/Ni may be formed by electroless plating method or the like on the through electrode 60 that is exposed to the surface of the inorganic insulating layer 50 . This improves the wettability of the through electrode 60 to a solder.
  • the step of filling the through hole 62 with a metal may be carried out in the following manner. Namely, a seed metal is formed in the inside of the through hole 62 and on the inorganic insulating layer 50 by the sputtering method, and the seed metal formed other than on the bottom surface of the through hole 62 is removed, followed by carrying out electroless plating.
  • FIG. 4B shows a state of the semiconductor chip 20 of the second layer immediately after filling with the underfill resin 42 .
  • a semiconductor chip 30 is mounted in a flip-chip manner on the inorganic insulating layer 50 that covers the back surface of the semiconductor chip 20 of the uppermost layer ( FIG. 4C ).
  • the supporting substrate 90 is removed. This removal is carried out by grinding, CMP, etching, or the like. These may be carried out in combination, so that, after the supporting substrate 90 is ground, the residual parts may be removed by CMP or etching, or by using both. Regarding the etching, either of dry etching or wet etching may be used. However, when the step of the final removal is carried out by dry etching, the seed metal layer 92 can be left in a stable manner because the etching selection ratio can be made large.
  • the removal of the supporting substrate 90 is facilitated.
  • the seed metal layer 92 can be separated from the supporting substrate 90 by heating to above the thermal decomposition temperature in the step of removing the supporting substrate 90 .
  • a method of locally heating with use of laser or the like is preferable.
  • a material may be selected in advance so that the adhesion strength of the interface between the supporting substrate 90 and the release layer or the interface between the release layer and the seed metal layer 92 is weak, and the supporting substrate 90 may be released by applying a mechanical force in the step of removing the supporting substrate 90 .
  • a material that is dissolved into a specific solution or a material by which the close adhesion to the seed metal layer 92 or the supporting substrate 90 is extremely lowered by permeation of a solution may be selected as the release layer, whereby the supporting substrate 90 can be released by allowing the solution to permeate through the side surface of the release layer.
  • solder bump 80 is formed on the bottom surface of the interconnect member, namely on the surface where the supporting substrate 90 has been disposed. This completes the multiple-chip type semiconductor device 1 (See FIG. 1 ).
  • an insulating ring 70 is formed in a semiconductor substrate 100 of a device wafer ( FIG. 5A ).
  • This semiconductor substrate 100 will be a semiconductor substrate of semiconductor chips 20 after dicing.
  • the insulating ring 70 is formed to surround a region where the through electrode 60 will be formed.
  • an element isolation region such as STI and a semiconductor element such as a transistor (none are illustrated) are formed in the semiconductor substrate 100 .
  • an interconnect layer 110 is formed on the semiconductor substrate 100 .
  • the interconnect layer 110 includes a contact plug 112 and an interconnect 114 .
  • the contact plug 112 is in contact with a region of the surface of the semiconductor substrate 100 that is surrounded by the insulating ring 70 . By this, this contact plug 112 will be connected to the through electrode 60 in a later step.
  • the interconnect 114 is disposed in a plurality of layers. The interconnects disposed in the same layer and the interconnects disposed in different layers are separated by an insulating layer.
  • a solder bump (connection terminal 22 ) is formed on the interconnect layer 110 ( FIG. 5B ). This solder bump is connected to the interconnect 114 via a UBM (Under Bump Metal) 116 .
  • UBM Under Bump Metal
  • the semiconductor substrate 100 is thinned by grinding or the like in accordance with the needs. Thereafter, this device wafer is separated into individual pieces to obtain semiconductor chips 20 ( FIG. 5C ).
  • the interconnect layer of the semiconductor chips 20 is not illustrated.
  • FIG. 6A is a cross-sectional view illustrating a part of the semiconductor chip 20 .
  • FIG. 6B is a plan view illustrating the insulating ring 70 formed in the semiconductor chip 20 .
  • the insulating ring 70 in this example is constructed with an electro conductive film 72 and an insulating film 74 disposed in the surroundings thereof.
  • the insulating film 74 may be, for example, a laminate film of SiO 2 /SiN/SiO 2 .
  • the insulating ring 70 may be constructed with the insulating film 74 alone. By addition of the electro conductive film 72 , the filling of the insulating ring is facilitated.
  • a material of the electro conductive film 72 may be, for example, polysilicon, tungsten, or copper. In the case of forming a semiconductor element such as a transistor after forming the insulating ring 70 , it is preferable to select polysilicon among these. By doing so, the thermal treatment in the step of forming the semiconductor element can be suitably carried out. Also, the electro conductive film 72 can be prevented from becoming a cause of metal contamination.
  • the insulating ring 70 having such a construction can be formed in the following manner. First, a trench is formed in the semiconductor substrate 100 by dry etching or the like. Subsequently, the trench is filled with the insulating film 74 and the electro conductive film 72 . At this time, SiO 2 (thermally oxidized film), SiN, SiO 2 , and the electro conductive film 72 are formed in this order. Thereafter, the electro conductive film 72 and the insulating film 74 remaining on the surface of the semiconductor substrate 100 are removed by CMP.
  • FIG. 7A is a cross-sectional view illustrating a part of the semiconductor chip 20 after the through electrode 60 is formed.
  • FIG. 7B is a plan view illustrating the insulating ring 70 after the through electrode 60 is formed.
  • the insulating ring 70 in the present example surrounds the through electrode 60 at a predetermined distance from the through electrode 60 . Therefore, the semiconductor substrate 100 intervenes between the through electrode 60 and the insulating ring 70 .
  • the inorganic insulating layer 50 is formed that directly covers the back surface of the semiconductor chip 20 .
  • This inorganic insulating layer 50 prevents the device region of the semiconductor chip 20 from being contaminated with metals. For this reason, the problem of metal contamination can be prevented from occurring even if the semiconductor chip is thinned, unlike the case in which the back surface of the semiconductor chip is stripped bare.
  • the side surface of the semiconductor chip 20 is covered with the resin layer 40 , and the back surface of the semiconductor chip 20 is covered with the inorganic insulating layer 50 .
  • a manufacturing technique of thinning the semiconductor chip 20 after mounting the semiconductor chip 20 on the interconnect member 10 can be suitably applied.
  • the semiconductor chip 20 is thinned after being mounted on the interconnect member 10 . For this reason, there is no need to handle the thinned semiconductor chip 20 as a single piece. Therefore, the chip can be thinned to such a thickness that the handling as a single piece would be difficult. In other words, the chip can be thinned to a limit within a range that permits variation caused by grinding.
  • the manufacturing method according to the present embodiment is suitable for manufacturing a semiconductor device on which a thin type semiconductor chip is mounted. Also, the semiconductor device 1 is suitable for mounting a thin type semiconductor chip. Thus, in the present embodiment, the semiconductor device 1 suitable for high-speed operation and thickness reduction and a method of manufacturing the same are realized.
  • the present embodiment can avoid such a problem.
  • the through electrode 60 after thinning the semiconductor chip 20 , the occurrence of contamination with the metal constituting the through electrode 60 is also prevented.
  • the through electrode is formed in the device wafer.
  • processing of through electrodes is not general, so that a new production line is usually prepared for such processing. This raises a problem in that production equipment must be prepared for each size in order to meet a plurality of wafer sizes.
  • the through electrode 60 is formed for the semiconductor chips 20 that have been separated into individual pieces, so that the formation of the through electrode 60 can be achieved with use of the same production equipment irrespective of the size of the device wafer.
  • the process is completed for every semiconductor chip that is stacked. For this reason, a plurality of semiconductor chips having different sizes can be stacked.
  • the inorganic insulating layer 50 is disposed so as to extend over the resin layer 40 . This prevents the resin from swelling in a lithography process, in a plating process, or the like.
  • epoxy resin has a low resistance to chemical liquids such as acetone, isopropyl alcohol, ethyl acetate, butyl acetate, and methyl ethyl ketone, so that the epoxy resin may swell by absorbing these chemical liquids. When the resin swells in a neighborhood of the semiconductor chip 20 , release is liable to occur therefrom.
  • the insulating ring 70 that surrounds the through electrode 60 is disposed in the semiconductor chip 20 . This prevents the metal constituting the through electrode 60 from diffusing into the device region. Thus, the semiconductor device 1 is realized in which the problem of metal contamination is further less likely to occur.
  • this insulating ring 70 functions also as an alignment mark in forming the through electrode 60 . This facilitates position matching of the through electrode 60 .
  • an alignment mark may be formed separately from the insulating ring 70 .
  • the insulating ring 70 improves the reliability of insulation between the semiconductor substrate 100 and the through electrode 60 , and reduces the capacitance of the through electrode 60 .
  • the insulating ring 70 surrounds the through electrode 60 at a predetermined interval therefrom.
  • the inner diameter of the insulating ring 70 may be larger than the diameter of the through electrode 60 in this manner, there will be an allowance in the position matching precision at the time of forming the through electrode 60 .
  • the insulating ring 70 may be disposed so as to be in contact with the through electrode 60 .
  • the insulating ring 70 is made of the electro conductive film 72 and the insulating film 74 disposed in the surroundings thereof. By forming also the electro conductive film 72 in addition to the insulating film 74 , filling of the trench will be facilitated at the time of forming the insulating ring 70 . Also, formation of the insulating ring 70 itself is not essential. In particular, when the device is not sensitive to metal contamination or when the acceptable capacitance is large, there is no need to dispose the insulating ring 70 . When the insulating ring 70 is not disposed, a step of forming a side wall insulating film will be essential after forming the through hole 62 .
  • the interconnect member 10 is formed on the supporting substrate 90 , and the supporting substrate 90 is removed after the step of mounting the semiconductor chip 30 .
  • the solder bump 80 is disposed on the bottom surface of the interconnect member 10 . This allows that the semiconductor device 1 can be obtained as a BGA package. Also, this solder bump 80 is formed after removal of the supporting substrate 90 . This allows that the solder bump 80 can be formed directly on the bottom surface of the interconnect member 10 . For this reason, the generation of parasitic capacitance can be restrained, unlike the case in which the solder bump 80 is formed through the intermediary of a silicon substrate or the like.
  • the same process as in forming a Cu interconnect can be applied when a seed metal is formed by the sputtering method or the CV Dmethod in the inside of the through hole 62 and on the inorganic insulating layer 50 , and thereafter electrolytic plating is carried out, whereby the metal formed on the inorganic insulating layer 50 by the electrolytic plating is removed by CMP.
  • the through hole 62 can be filled without generating voids or the like when a seed metal is formed by the sputtering method in the inside of the through hole 62 and on the inorganic insulating layer 50 , and thereafter the seed metal formed other than on the bottom surface of the through hole 62 is removed, followed by electroless plating. This is because the growth of plating occurs from the bottom surface of the through hole 62 . Also, the process such as CMP for removing the metal can not be performed.
  • the manufacturing method according to this embodiment includes the above-described steps (a) to (f).
  • the interconnect 14 is formed on the supporting substrate 90 to obtain the interconnect member 10 ( FIG. 13A ).
  • a silicon substrate, a glass substrate, a copper (Cu) substrate or the like may be employed for the supporting substrate 90 .
  • a silicon wafer provided with semiconductor devices formed therein i.e.device wafer
  • the supporting substrate 90 is to be remained as a part of a structure of the finished product of the semiconductor package in the present embodiment, a use of the device wafer as the supporting substrate 90 would reduce a number of assembly process operations per one piece of the semiconductor chip.
  • a device chip (semiconductor chip 20 ) that has been separated into individual piece is mounted in a flip-chip manner. Further, the connection part of these, namely the gap between the interconnect member 10 and the semiconductor chips 20 , is filled with the underfill resin 42 ( FIG. 13B ). At this time, a resin may be formed in advance by application, potting, or the like, and the resin may be cured simultaneously with the flip-chip connection. Subsequently, the resin layer 40 is formed on the interconnect member 10 so as to cover the side surface of the semiconductor chip 20 ( FIG. 13C ). At this time, the resin layer 40 may be formed so as to cover the back surface of the semiconductor chip 20 , in addition to the side surface. Processes available for forming the resin layer 40 include coating, laminating, printing, molding or the like.
  • the semiconductor chip 20 and the resin layer 40 are simultaneously ground.
  • the semiconductor chip 20 and the resin layer 40 are thinned ( FIG. 14A ).
  • the grinding is continued until the insulating ring 70 is exposed to the back surface of the semiconductor chip 20 .
  • the thickness of the semiconductor chip 20 after grinding may be, for example, about 20 ⁇ m to 30 ⁇ m.
  • a stress relief process such as a polishing process, a chemical mechanical polishing (CMP) process or a dry etch process may additionally be carried out after conducting the grinding process.
  • CMP chemical mechanical polishing
  • a dry etch process may additionally be carried out after conducting the grinding process.
  • the thickness of the semiconductor chip 20 is sufficiently reduced in the prior process, the same structure can be obtained by simply conducting the CMP process without conducting the grinding process.
  • a silicon nitride (SiN) film or a combination of a SiN film and a silicon oxide (SiO 2 ) film, serving as the inorganic insulating film 50 is formed on the back surface of the semiconductor chip 20 ( FIG. 14B ). Thereafter, an opening for forming the through electrode 60 is formed via a photolithography method or the like. Further, the through hole 62 extending through the inorganic insulating film 50 and the semiconductor substrate of the semiconductor chip 20 is formed via a dry etch process or the like ( FIG. 14C ). The above-described side wall insulating film may be formed on the side wall of the through hole 62 .
  • the through hole 62 is filled with a metal.
  • This step may be carried out, for example, in the following manner.
  • a seed metal is formed over the interior of the through hole 62 and the surface of the inorganic insulating layer 50 via a sputter process, and then, portions of the seed metal except the portion located on the bottom surface of the through hole 62 are removed, followed by carrying out electroless plating.
  • the etch process may be continued until an interconnect interlayer film is etched during the process for forming the through hole 62 , in addition to etching silicon of the silicon semiconductor chip, to expose the interconnect layer of the semiconductor chip, and thereafter, electroless plating may be conducted to fill the through hole.
  • Typical interconnect material for the semiconductor chip may include aluminum (Al), copper (Cu), tungsten (W) or the like, an electroless plating may be carried out by employing any of the above-described interconnect materials.
  • Typical materials utilized for the electroless plating process may include, Ni, Cu, gold (Au) or the like.
  • the above-described steps from mounting the chips to forming the through electrode may be repeated to obtain a multiple-layered structure, as required.
  • a predetermined number (one in the present embodiment) of the semiconductor chip 20 is mounted, and thereafter, a Cu/Ti seed sputter is conducted for the back surface of the semiconductor chip 20 located in the uppermost layer, and then, a patterning process employed a resist, a Cu plating process, a resist stripping process and a seed etch process are sequentially conducted to form a copper (Cu) post 64 .
  • a resin 66 is formed, and then a grinding process or a CMP process is conducted to expose a top surface of the Cu post 64 ( FIG. 15A ).
  • a Cu interconnect 68 connected to thus formed Cu post 64 is formed, and then a solder resist 82 is formed, the solder ball 84 is mounted and a dividing operation is conducted to eventually obtain a finished package ( FIG. 15B ).
  • a terminal solder ball 84
  • the combination of the solder ball 84 and the through electrode 60 provides a reduced length of the interconnect, and thus a speedup of operation of the device can be expected. Further, when a device wafer is employed for the supporting substrate 90 , required number of the operations in an assembly process can be reduced.
  • the Cu post 64 is formed on the back surface of.
  • a lowest interval of vias is about 100 ⁇ m.
  • a coupling with an interval of vias of about 20 ⁇ m can be achieved.
  • an assembly of the device may be conducted by: after the Cu post 64 and the Cu interconnect 68 are formed, mounting semiconductor chip 30 ; forming a resin 76 ; forming a via 77 employing laser beam; forming a Cu interconnect 78 ; forming the solder resist 82 ; mounting the solder ball 84 ; and dividing thereof into respective chips ( FIG. 16 ). Since the semiconductor chip 30 without through electrode can be included in the multiple-layered structure in such case, a reduced manufacturing cost can be achieved. In addition, a flexibility of conducting a rerouting in the uppermost surface, a position of the solder ball 84 can be freely determined.
  • an assembly of the device may be conducted by: after the Cu post 64 and the Cu interconnect 68 are formed, forming a Cu post 75 ; mounting semiconductor chip 30 ; forming the resin 76 ; grinding the surface of the resin; mounting the solder ball 84 ; and dividing thereof into respective chips ( FIG. 17 ).
  • forming the Cu post 75 can provide more simple process for forming the external terminal that requires smaller number of process operations.
  • a wafer-level chip scale packaging (CSP) can be utilized for forming the external terminal without any modification, existing facilities can be employed.
  • an assembly of the device may be conducted by: after the Cu post 64 and the Cu interconnect 68 are formed, mounting semiconductor chip 30 ; forming the resin 76 ; dividing thereof into respective chips; mounting thereof to an adhesive layer 94 ; packaging thereof with a resin 96 ; forming a buildup layer (via 97 and Cu interconnect 98 ); forming the solder resist 82 ; mounting the solder ball 84 ; and dividing thereof into respective chips ( FIG. 18 ).
  • the external terminal may be fanned out to provide an availability of the device applied for larger package.
  • the manufacturing method according to the present embodiment includes the following steps (g) to (i), in addition to the above-described steps (a) to (f):
  • the interconnect 14 is formed on the supporting substrate 90 and the interconnect member 10 is obtained.
  • a device chip semiconductor chip 20
  • the connection part of these namely the gap between the interconnect member 10 and the semiconductor chips 20
  • the resin layer 40 is formed on the interconnect member 10 so as to cover the side surface of the semiconductor chip 20 ( FIG. 19A ).
  • the semiconductor chip 20 and the resin layer 40 are simultaneously ground. By conducting such process operation, the semiconductor chip 20 and the resin layer 40 are thinned. At this time, the grinding is continued until the insulating ring 70 is exposed to the back surface of the semiconductor chip 20 . Then, a SiN film or a combination of a SiN film and a SiO 2 film, serving as the inorganic insulating film 50 , is formed on the back surface of the semiconductor chip 20 . Thereafter, an opening for forming the through electrode 60 is formed via a photolithography method or the like. Further, the through hole 62 extending through the inorganic insulating film 50 and the semiconductor substrate of the semiconductor chip 20 is formed via a dry etch process or the like.
  • the through hole 62 is filled with a metal.
  • electroless plating process is utilized to fill thereof with a metal.
  • an electrode pad 61 is formed simultaneously with forming the through electrode 60 by protruding a metal from the back surface of the semiconductor chip 20 ( FIG. 19B ).
  • electroless Au plating finishing may be provided thereto, so that an oxidization of the electrode pad 61 can be prevented, thereby providing an improved electrical coupling in later processes for forming the contact or for coupling the chips.
  • the above-described steps from mounting the chips to forming the through electrode may be repeated to obtain a multiple-layered structure, as required.
  • a predetermined number (one in the present embodiment) of the semiconductor chip 20 is mounted, and thereafter, a silicon interposer 130 , which is free of active element mounted thereto, is mounted on the semiconductor chip 20 located in the uppermost layer.
  • the silicon interposer is a member obtained by forming only interconnects on a silicon substrate, or such member additionally including a passive element such as a capacitor element, a resistive element or the like incorporated therein. Then the gap between the inorganic insulating film 50 and the silicon interposer 130 is filled with the underfill resin 42 .
  • a resin layer 132 is formed on the inorganic insulating film 50 so as to cover the side surface of the silicon interposer 130 .
  • the silicon interposer 130 and the resin layer 132 are simultaneously ground.
  • Such grinding process may be conducted in a way similar to that employed for simultaneously grinding the semiconductor chip 20 and the resin layer 40 .
  • a SiN film or a combination of a SiN film and a SiO 2 film, serving as the inorganic insulating film 134 is formed on the silicon interposer 130 .
  • the inorganic insulating film 134 is formed so as to be in contact with the silicon interposer 130 and to extend over the resin layer 134 .
  • a through electrode 136 and an electrode pad 138 are formed so as to extend through the inorganic insulating film 134 and the silicon substrate of the silicon interposer 130 ( FIG. 19C ).
  • the through electrode 136 is electrically connected to the electrode pad 61 which is not located just under the through electrode 136 via an interconnect (not shown) formed on the bottom surface of the silicon interposer 130 .
  • the through electrode 136 and the electrode pad 138 may be formed in a way similar to that employed for forming the through electrode 60 and the electrode pad 61 .
  • an assembly of the device may be conducted by: forming a via 77 employing laser beam; forming a Cu interconnect 78 ; forming the solder resist 82 ; mounting the solder ball 84 ; and dividing thereof into respective chips ( FIG. 20B ). Since a fanning out is achieved by employing the silicon interposer 130 in the present embodiment, electrical couplings with much finer intervals of the interconnects can be applied to applications of larger dimensions. In addition, since microinterconnects formed by a silicon (Si)process can be employed in the case of requiring a rerouting between chips, and therefore performance of interconnect per one interconnect layer is considerably improved.
  • an assembly of the device may be conducted by, after the through electrode 136 and the electrode pad 138 are formed, and without mounting the semiconductor chip 30 ; forming the resin 76 ; forming the via 77 ; forming the Cu interconnect 78 ; forming the solder resist 82 ; mounting the solder ball 84 ; and dividing thereof into respective chips ( FIG. 21 ).
  • the silicon interposer 130 is employed only for increasing the intervals. Having such configuration, the couplings in the through electrode 60 , which has been otherwise narrower intervals and dense pin-arrangement, can vary easily be arranged with a level that is larger intervals between the solder balls 84 . This is because the interconnect by the Si process can be employed.
  • the silicon interposer 130 may be mounted so as to collectively cover an upper portion of a plurality of the semiconductor chips 20 ( FIG. 22 ). In such case, a considerable number of couplings of the multiple-layered chips can be collectively disposed in a chip of the uppermost layer.
  • the silicon interposer 130 also functions as being helpful for increasing the intervals of the chips to the intervals of the solder balls 84 . Having such configuration, for example, a system-in-package (SiP), which is capable of providing faster accessibility with very large scale memory, can be achieved.
  • SiP system-in-package
  • a plurality of semiconductor chips 20 may be mounted in the same layer.
  • a plurality (two in this example) of the semiconductor chips 20 are disposed in the second layer from the bottom.
  • a plurality of the semiconductor chips 30 may be disposed in the same layer. In that case, a semiconductor device is obtained in which a plurality of the semiconductor chips 30 are disposed in the same layer.
  • the step of mounting the semiconductor chip 20 in a face-down manner may include a step of placing a dummy chip in the same layer as the semiconductor chip 20 .
  • the step of mounting the semiconductor chip 30 in a face-down manner may include a step of placing the dummy chip in the same layer as the semiconductor chip 30 .
  • the dummy chip is a chip on which no semiconductor elements are formed.
  • dummy chips 120 are disposed in both of the layer where the semiconductor chip 20 is disposed and the layer where the semiconductor chip 30 is disposed.
  • FIG. 9B is a plan view illustrating a positional relationship between the semiconductor chip 20 (or semiconductor chip 30 ) and the dummy chip 120 in the semiconductor device 3 .
  • the dummy chip 120 may be disposed only in either one of the layer where the semiconductor chip 20 is disposed and the layer where the semiconductor chip 30 is disposed. Also, the dummy chip 120 may be disposed in a part of the layers among the plurality of layers where the semiconductor chip 20 is disposed. Also, a capacitance element may be disposed in the dummy chip 120 , and the dummy chip 120 may be electrically connected to the semiconductor chip 20 or the semiconductor chip 30 .
  • the warping of the semiconductor device can be restrained to be small. This allows that a semiconductor device being excellent in mechanical strength is obtained.
  • the capacitance element may be formed in the dummy chip and may be connected by the interconnect layer, so as to be used as a decoupling capacitance. This allows that the fluctuation in the power source voltage can be restrained, whereby a device operation being capable of high-speed operation and being strong against the noise can be obtained.
  • the dummy chip may be placed so as to be spaced apart from the side surface of the semiconductor device.
  • the dummy chip 120 is spaced apart from the side surface of the semiconductor device 4 .
  • FIG. 10B is a plan view illustrating a positional relationship between the semiconductor chip 20 (or semiconductor chip 30 ) and the dummy chip 120 in the semiconductor device 4 .
  • the inorganic insulating layer 50 is disposed so as to extend over the entire surface of the resin layer 40 .
  • the inorganic insulating layer 50 may be disposed only on a part of the resin layer 40 so as to extend for a predetermined distance from the semiconductor chip 20 . In this case, only the organic insulating layer is cut in the package dicing step, so that there will be no need to cut the inorganic insulating layer. This allows that occurrence of the problem of cracks, stripping, and the like can be restrained.
  • FIG. 12 is a plan view similar to FIG. 7B .

Abstract

A semiconductor device includes an interconnect member, a first semiconductor chip, a second semiconductor chip, a resin layer, an inorganic insulating layer, and a through electrode. The first semiconductor chip is mounted in a face-down manner on the interconnect member. The resin layer covers the side surface of the first semiconductor chip. This inorganic insulating layer is in contact with the back surface of the first semiconductor chip, and directly covers the back surface. Also, the inorganic insulating layer extends over the resin layer. The through electrode penetrates the inorganic insulating layer and the semiconductor substrate of the first semiconductor chip. The second semiconductor chip is mounted in a face-down manner on the inorganic insulating layer that covers the back surface of the first semiconductor chip in the uppermost layer.

Description

  • This application is based on Japanese patent application No. 2005-349794, the content of which is incorporated hereinto by reference.
  • BACKGROUND
  • 1. Technical Field
  • The present invention relates to a semiconductor device and a method of manufacturing the same.
  • 2. Related Art
  • As a conventional method of manufacturing a semiconductor device, there is a method disclosed in Japanese Laid-Open patent publication No. 2002-343904 (patent document 1), for example. This document discloses a method of manufacturing a multiple-chip type semiconductor device in which a plurality of semiconductor chips are stacked.
  • According to the manufacturing method disclosed in the patent document 1, first, a printed substrate is prepared in which an electrode post is formed on one surface, and a connection electrode is formed on the other surface. Next, a semiconductor chip is mounted in a face-down manner on the surface of the printed substrate on which the electrode post is formed. Subsequently, after the electrode post and the semiconductor chip are subjected to resin molding, the resin-molded surface side is ground until the electrode post is exposed.
  • A plurality of the printed substrates obtained in this manner and having semiconductor chips mounted thereon are prepared and stacked onto one another to produce a multiple-chip type semiconductor device. At this time, connection between adjacent printed substrates is establishedby connection of an electrode post of one substrate to the connection electrode of the other.
  • According to Japanese Laid-Open patent publication No. 2005-109486 (patent document 2), first, after a contact elevation is formed ona substrate, a re-interconnect is formed on the substrate and on the contact elevation. Next, a semiconductor chip is mounted in a flip-chip manner on the substrate. Subsequently, the contact elevation and the semiconductor chip are sealed with resin. At this time, the sealing with resin is carried out so that the tip end of the contact elevation on which the re-interconnect has been formed will be exposed to the surface of the sealing resin. By repeating the steps from formation of the contact elevation to sealing with resin, a multiple-chip type semiconductor device is produced.
  • According to the manufacturing method disclosed in Japanese Laid-Open patent publication No. 2004-186422 (patent document 3), first, after an interconnect pattern is formed on an interconnect substrate, a connection terminal of a semiconductor chip is connected in a flip-chip manner to the interconnect pattern. Next, an insulating interlayer is formed so as to cover the entirety of the side surface and the back surface of the semiconductor chip. Subsequently, a via hole is formed that penetrates the insulating interlayer to reach the element-forming surface of the semiconductor chip. Thereafter, a metal plug is formed so as to fill the via hole.
  • By repeating the steps from formation of the interconnect pattern to formation of the metal plug, a multiple-chip type semiconductor device is produced. Here, via the above-described metal plug, the connection terminal of the semiconductor chip and the interconnect pattern above the semiconductor chip are electrically connected with each other.
  • According to the manufacturing method disclosed in Japanese Laid-Open patent publication No. H4-356956 (patent document 4), first, a semiconductor substrate in which a through hole has been formed is prepared. Next, an insulating layer and an adhesive metal layer are sequentially stacked on the wall surface of the through hole. Subsequently, a metal plug is formed so as to fill the through hole. At this time, the metal plug is formed so as to protrude from the through hole.
  • A plurality of semiconductor chips obtained in this manner are prepared and stacked on one another to produce a multiple-chip type semiconductor device. At this time, connection between adjacent semiconductor chips is established via the above-described protruding metal plug.
  • SUMMARY OF THE INVENTION
  • However, according to the manufacturing methods disclosed in the patent documents 1, 2, it is difficult to obtain a semiconductor device suitable for high-speed operation. The reason lies in that the interconnect that constitutes a path of signals transmitted between the semiconductor chips is once drawn out to the outside of the chips andthendrawn into the inside of the chips again, thereby necessitating a larger interconnect length.
  • When the interconnect length is large, there will be a delay in the signals, so that it is difficult to apply this manufacturing method to a semiconductor device that requires a high-speed operation. For example, when considering the connection to a DDR2 (Double Data Rate 2) memory, even an interconnect length of about 2 to 3 mm could be a problem.
  • Moreover, the manufacturing methods disclosed in the patent documents 1-4 are all unsuitable for manufacturing a semiconductor device on which a thin type semiconductor chip is mounted. The reason lies in that, with regard to the patent document 1, since the back surface of the semiconductor chip is stripped bare, a problem of metal contamination will occur when the thickness of the chip is reduced. Such metal contamination will give adverse effects on the semiconductor device.
  • On the other hand, with regard to the patent documents 2-4, the above reason lies in that a handling step must be carried out before mounting a thinned chip on a substrate. When the chip is extremely thin (for example, less than 50 μm), the chip will be damaged even with a little force, so that it is difficult to handle a single chip. Also, due to the stress between the silicon and the insulating layer, the chip will be greatly warped when a free surface is given to the chip, thereby making the handling all the more difficult.
  • According to the present invention, there is provided a method of manufacturing a semiconductor device, including: forming an interconnect member; mounting a first semiconductor chip having a semiconductor substrate in a face-down manner on the interconnect member; forming a resin layer on the interconnect member so as to cover a side surface of the first semiconductor chip; thinning the first semiconductor chip and the resin layer; forming an inorganic insulating layer on a back surface of the first semiconductor chip so as to be in contact with the back surface and to extend over the resin layer; and forming a through electrode so as to penetrate the inorganic insulating layer and the semiconductor substrate.
  • In this manufacturing method, electrical connection between the first semiconductor chip and another semiconductor chip is established by the through electrode. This reduces the path length of the signals transmitted between the two chips as compared with the case in which the electrical connection between these two chips is established by an interconnect that detours through the outside of the chips. For this reason, a semiconductor device suitable for high-speed operation can be obtained.
  • Further, an inorganic insulating layer is formed that directly covers the back surface of the first semiconductor chip. This inorganic insulating layer prevents the device region (the region where semiconductor elements such as transistors are to be formed) of the semiconductor chip from being contaminated with metals. For this reason, the problem of metal contamination can be prevented from occurring even if the semiconductor chip is thinned, unlike the case in which the back surface of the semiconductor chip is stripped bare.
  • Also, the first semiconductor chip is thinned after being mounted on the interconnect member. For this reason, there is no need to handle the thinned semiconductor chip as a single piece. Therefore, the chip can be thinned to such a thickness that the handling as a single piece would be difficult. In view of these points, this manufacturing method is suitable for manufacturing a semiconductor device on which a thin type semiconductor chip is mounted.
  • According to the present invention, there is provided a semiconductor device including: an interconnect member; a first semiconductor chip mounted in a face-down manner on the interconnect member and having a semiconductor substrate; a resin layer provided on the interconnect member so as to cover a side surface of the first semiconductor chip; an inorganic insulating layer provided on a back surface of the first semiconductor chip so as to be in contact with the back surface and to extend over the resin layer; and a through electrode penetrating the first semiconductor chip and the semiconductor substrate.
  • In this semiconductor device, electrical connection between the first semiconductor chip and another semiconductor chip is established by the through electrode. This reduces the path length of the signals transmitted between the two chips as compared with the case in which the electrical connection between these two chips is established by an interconnect that detours through the outside of the chips. For this reason, a semiconductor device suitable for high-speed operation can be realized.
  • Further, an inorganic insulating layer is provided that directly covers the back surface of the first semiconductor chip. This inorganic insulating layer prevents the device region of the semiconductor chip from being contaminated with metals. For this reason, the problem of metal contamination can be prevented from occurring even if the semiconductor chip is thinned, unlike the case in which the back surface of the semiconductor chip is stripped bare.
  • Also, the side surface of the first semiconductor chip is covered with the resin layer, and the back surface of the first semiconductor chip is covered with the inorganic insulating layer. With such a structure, a manufacturing technique of thinning the first semiconductor chip after mounting the first semiconductor chip on the interconnect member can be suitably applied. For this reason, in manufacturing this semiconductor device, there is no need to handle the thinned semiconductor chip as a single piece. Therefore, the chip can be thinned to such a thickness that the handling as a single piece would be difficult. In view of these points, this semiconductor device is suitable for mounting a thin type semiconductor chip.
  • Thus, according to the present invention, a semiconductor device suitable for high-speed operation and thickness reduction and a method of manufacturing the same are provided.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other objects, advantages and features of the present invention will be more apparent from the following description taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a cross-sectional view illustrating an embodiment of a semiconductor device according to the present invention;
  • FIGS. 2A to 2C are views of steps showing an embodiment of a method of manufacturing a semiconductor device according to the present invention;
  • FIGS. 3A to 3C are views of steps showing an embodiment of a method of manufacturing a semiconductor device according to the present invention;
  • FIGS. 4A to 4C are views of steps showing an embodiment of a method of manufacturing a semiconductor device according to the present invention;
  • FIGS. 5A to 5C are views of steps showing an example of a step of forming the first semiconductor chip;
  • FIGS. 6A and 6B are views for explaining an example of a construction of an insulating ring;
  • FIGS. 7A and 7B are views for explaining an example of a construction of an insulating ring;
  • FIG. 8 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment;
  • FIGS. 9A and 9B are views for explaining a modified example of a semiconductor device according to the embodiment;
  • FIGS. 10A and 10B are views for explaining a modified example of a semiconductor device according to the embodiment;
  • FIG. 11 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment;
  • FIG. 12 is a plan view illustrating a modified example of a construction of an insulating ring;
  • FIGS. 13A to 13C are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention;
  • FIGS. 14A to 14C are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention;
  • FIGS. 15A and 15B are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention;
  • FIG. 16 is across-sectional view illustrating a modified example of a semiconductor device according to the embodiment;
  • FIG. 17 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment;
  • FIG. 18 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment;
  • FIGS. 19A to 19C are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention;
  • FIGS. 20A and 20B are views of steps showing another embodiment of a method of manufacturing a semiconductor device according to the present invention;
  • FIG. 21 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment; and
  • FIG. 22 is a cross-sectional view illustrating a modified example of a semiconductor device according to the embodiment.
  • DETAILED DESCRIPTION
  • The invention will be now described herein with reference to illustrative embodiments. Those skilled in the art will recognize that many alternative embodiments can be accomplished using the teachings of the present invention and that the invention is not limited to the embodiments illustrated for explanatory purposes.
  • Hereafter, preferable embodiments of a semiconductor device and a method of manufacturing the same according to the present invention will be described in detail with reference to the attached drawings. Here, in the description of the drawings, the same elements will be denoted with the same reference numerals, and the description thereof will not be repeated.
  • FIG. 1 is a cross-sectional view illustrating an embodiment of a semiconductor device according to the present invention. The semiconductor device 1 includes an interconnect member 10, a semiconductor chip 20 (first semiconductor chip), a semiconductor chip 30 (second semiconductor chip), a resin layer 40, an inorganic insulating layer 50, and a through electrode 60. The interconnect member 10 includes an insulating resin 12 and an interconnect 14 formed thereon. An electro conductive material is formed in an opening formed in the insulating resin 12. Via this electro conductive material, electrical connection between the interconnect 14 and a solder bump 80 described later is established.
  • The semiconductor chip 20 is mounted in a face-down manner on the interconnect member 10. Specifically, the semiconductor chip 20 has a connection terminal 22, and this connection terminal 22 is connected in a flip-chip manner to the interconnect 14.
  • Also, the resin layer 40 is formed on the interconnect member 10. This resin layer 40 covers the side surface of the semiconductor chip 20. The resin constituting the resin layer 40 is, for example, an epoxy resin or a BT (Bismaleimide-Triazine) resin. Approximately the whole of the side surface of the semiconductor chip 20 is covered with the resin layer 40, and the back surface of the semiconductor chip 20 (the surface opposite to the connection terminal 22) is exposed to the surface of the resin layer 40.
  • The gap between the interconnect member 10 and the semiconductor chip 20 is filled with an underfill resin 42. This underfill resin 42 covers the upper surface of the semiconductor chip 20 (the surface on which the connection terminal 22 is formed). Here, the underfill resin 42 may be disposed so as to cover the side surface of the semiconductor chip 20 in addition to the upper surface of the semiconductor chip 20. In this case, the above-described resin layer 40 covers the side surface of the semiconductor chip 20 via the underfill resin 42.
  • The inorganic insulating layer 50 is formed on the back surface of the semiconductor chip 20. This inorganic insulating layer 50 is in contact with the back surface of the semiconductor chip 20, and directly covers the back surface Also, the inorganic insulating layer 50 extends over the resin layer 40. In the present embodiment in particular, the inorganic insulating layer 50 is disposed to extend over the whole surface of the resin layer 40. Further, the inorganic insulating layer 50 is made of a plurality of inorganic insulating films that are stacked on one another. In the present embodiment, the inorganic insulating layer 50 is made of a SiN film 52 and a SiO2 film 54 formed thereon.
  • Also, the through electrode 60 is formed in the semiconductor chip 20. This through electrode 60 penetrates the inorganic insulating layer 50 and the semiconductor substrate of the semiconductor chip 20. The semiconductor substrate that the semiconductor chip 20 has is, for example, a silicon substrate. In this semiconductor substrate, an insulating ring 70 that surrounds the through electrode 60 is formed. The detailed construction of the insulating ring 70 will be described later.
  • In the semi conduct or device, a plurality (specifically, three in FIG. 1) of the semiconductor chips 20 having such a construction are provided, and these are stacked one on another. The semiconductor chip 30 is provided on the semiconductor chip 20 located in the uppermost layer among these semiconductor chips 20. Namely, the semiconductor chip 30 is mounted in a face-down manner on the inorganic insulating layer 50 that covers the back surface of the semiconductor chip 20 located in the uppermost layer. Specifically, the semiconductor chip 30 has a connection terminal 32, and this connection terminal 32 is connected in a flip-chip manner to the through electrode 60 that penetrates the inorganic insulating layer 50. This establishes electrical connection between the semiconductor chip 30 and the through electrode 60. This semiconductor chip 30 is the chip located in the uppermost layer in the whole semiconductor device 1.
  • Further, a solder bump 80 (external electrode terminal) is formed on the bottom surface of the interconnect member 10 (the surface opposite to the semiconductor chip 20).
  • With reference to FIGS. 2A to 4C, one example of a method of manufacturing the semiconductor device 1 will be described as an embodiment of the method of manufacturing a semiconductor device according to the present invention. In summary, this manufacturing method includes the following steps (a) to (g).:
  • (a) forming an interconnect member 10;
  • (b) mounting a semiconductor chip 20 having a semiconductor substrate in a face-down manner on the interconnect member 10;
  • (c) forming a resin layer 40 on the interconnect member 10 so as to cover a side surface of the semiconductor chip 20;
  • (d) thinning the semiconductor chip 20 and the resin layer 40;
  • (e) forming an inorganic insulating layer 50 on a back surface of the semiconductor chip 20 so as to be in contact with the back surface and to extend over the resin layer 40;
  • (f) forming a through electrode 60 so as to penetrate the inorganic insulating layer 50 and the semiconductor substrate; and
  • (g) mounting a semiconductor chip 30 in a face-down manner on the inorganic insulating layer 50 so as to be electrically connected to the through electrode 60.
  • Hereafter, this manufacturing method will be described in more detail. First, a seed metal layer 92 is formed on a supporting substrate 90 such as a silicon substrate. Thereafter, an interconnect 14 is formed with use of a plating method or the like, so as to obtain an interconnect member 10 (FIG. 2A).
  • Next, on the interconnect member 10, device chips (semiconductor chips 20) that have been separated into individual pieces are mounted in a flip-chip manner. Further, the connection part of these, namely the gap between the interconnect member 10 and the semiconductor chips 20, is filled with an underfill resin 42 (FIG. 2B). At this time, a resin may be formed in advance by application, potting, or the like, and the resin may be cured simultaneously with the flip-chip connection. Subsequently, a resin layer 40 is formed on the interconnect member 10 so as to cover a side surface of the semiconductor chip 20 (FIG. 2C). At this time, the resin layer 40 may be formed so as to cover the back surface of the semiconductor chip 20 in addition to the side surface of the semiconductor chip 20.
  • Thereafter, the semiconductor chip 20 and the resin layer 40 are simultaneously ground. By this step, the semiconductor chip 20 and the resin layer 40 are thinned (FIG. 3A). At this time, the grinding is carried out until the insulating ring 70 is exposed to the back surface of the semiconductor chip 20. The thickness of the semiconductor chip 20 after grinding may be, for example, about 20 μm. Here, in order to erase the scratch that has been generated on the back surface of the semiconductor chip 20 by grinding, a stress-relief process such as polishing, CMP (chemical mechanical polishing), or dry etching maybe carried out after grinding. Next, the SiN film 52, or the SiN film 52 and the SiO2 film 54 is formed on the back surface of the semiconductor chip 20 (FIG. 3B).
  • Thereafter, a region where the through electrode 60 will be formed is opened by a photolithography method or the like. Further, a through hole 62 that penetrates the inorganic insulating layer 50 and the semiconductor substrate of the semiconductor chip 20 is formed by dry etching or the like (FIG. 3C). At this time, part of the semiconductor substrate may be left between the insulating ring 70 and the through hole 62.
  • Further, an insulating film (hereafter referred to as a side wall insulating film) maybe formed on the side surface of the through hole 62. As the side wall insulating film, for example, a monolayer film of SiO2 or a laminate film of SiO2/SiN may be used. In the case of forming the side wall insulating film, the insulating film is formed so that the film thickness on the bottom surface of the through hole 62 will be small, and the whole surface is etched back after forming the film, so as to remove the insulating film on the bottom surface completely. At this time, the etching-back is carried out so that the insulating film other than on the bottom surface will be left.
  • Next, the through hole 62 is filled with a metal. This step may be carried out, for example, in the following manner. Namely, a seed metal is formed in the inside of the through hole 62 and on the inorganic insulating layer 50 by the sputtering method or the CVD method, followed by carrying out electrolytic plating. The metal formed on the inorganic insulating layer 50 by the electrolytic plating is removed by CMP. This forms the through electrode 60 (FIG. 4A). Here, a laminate film of Cu/Ti may be raised as an example of the seed metal. Thereafter, an Au film or a laminate film of Au/Ni may be formed by electroless plating method or the like on the through electrode 60 that is exposed to the surface of the inorganic insulating layer 50. This improves the wettability of the through electrode 60 to a solder.
  • Here, the step of filling the through hole 62 with a metal may be carried out in the following manner. Namely, a seed metal is formed in the inside of the through hole 62 and on the inorganic insulating layer 50 by the sputtering method, and the seed metal formed other than on the bottom surface of the through hole 62 is removed, followed by carrying out electroless plating.
  • In the case of carrying out the filling of the through hole 62 with a metal itself by electroless plating, application of a resist, tilted exposure to light, and development are sequentially carried out after forming a seed metal, whereby the resist is left only on the bottom surface of the through hole 62. Subsequently, the seed metal on the inorganic insulating layer 50 is subjected to wet etching. By doing so, it is possible to leave the Cu film only on the bottom surface of the through hole 62 in the case of using Cu/Ti as the seed metal. Thereafter, a metal film made, for example, of Cu, Ni, Pd, or a combination thereof may be formed by electroless plating.
  • With respect to the semiconductor chips 20 of the second and subsequent layers, the above-described steps from mounting the chips to formation of the through electrode is repeated (FIG. 4B). FIG. 4B shows a state of the semiconductor chip 20 of the second layer immediately after filling with the underfill resin 42.
  • After mounting a predetermined number (three in the present example) of semiconductor chips 20 in this manner, a semiconductor chip 30 is mounted in a flip-chip manner on the inorganic insulating layer 50 that covers the back surface of the semiconductor chip 20 of the uppermost layer (FIG. 4C).
  • Next, the supporting substrate 90 is removed. This removal is carried out by grinding, CMP, etching, or the like. These may be carried out in combination, so that, after the supporting substrate 90 is ground, the residual parts may be removed by CMP or etching, or by using both. Regarding the etching, either of dry etching or wet etching may be used. However, when the step of the final removal is carried out by dry etching, the seed metal layer 92 can be left in a stable manner because the etching selection ratio can be made large.
  • Further, when a release layer is made to intervene between the supporting substrate 90 and the seed metal layer 92, the removal of the supporting substrate 90 is facilitated. For example, when a material that undergoes thermal decomposition is used as the release layer, the seed metal layer 92 can be separated from the supporting substrate 90 by heating to above the thermal decomposition temperature in the step of removing the supporting substrate 90. For this heating, a method of locally heating with use of laser or the like is preferable. By setting the wavelength of the laser so as to be a wavelength that transmits through the supporting substrate 90 and that does not transmit through the release layer, only the release layer can be locally heated.
  • Instead of this, a material may be selected in advance so that the adhesion strength of the interface between the supporting substrate 90 and the release layer or the interface between the release layer and the seed metal layer 92 is weak, and the supporting substrate 90 may be released by applying a mechanical force in the step of removing the supporting substrate 90. Also, a material that is dissolved into a specific solution or a material by which the close adhesion to the seed metal layer 92 or the supporting substrate 90 is extremely lowered by permeation of a solution may be selected as the release layer, whereby the supporting substrate 90 can be released by allowing the solution to permeate through the side surface of the release layer.
  • Thereafter, a solder bump 80 is formed on the bottom surface of the interconnect member, namely on the surface where the supporting substrate 90 has been disposed. This completes the multiple-chip type semiconductor device 1 (See FIG. 1).
  • Here, with reference to FIGS. 5A to 5C, one example of a step of processing a device wafer (a wafer that includes the semiconductor chips 20 before being separated into individual pieces), namely a step of forming the semiconductor chips 20, will be described. First, an insulating ring 70 is formed in a semiconductor substrate 100 of a device wafer (FIG. 5A). This semiconductor substrate 100 will be a semiconductor substrate of semiconductor chips 20 after dicing. The insulating ring 70 is formed to surround a region where the through electrode 60 will be formed.
  • Next, an element isolation region such as STI and a semiconductor element such as a transistor (none are illustrated) are formed in the semiconductor substrate 100. Further, an interconnect layer 110 is formed on the semiconductor substrate 100. The interconnect layer 110 includes a contact plug 112 and an interconnect 114. The contact plug 112 is in contact with a region of the surface of the semiconductor substrate 100 that is surrounded by the insulating ring 70. By this, this contact plug 112 will be connected to the through electrode 60 in a later step. The interconnect 114 is disposed in a plurality of layers. The interconnects disposed in the same layer and the interconnects disposed in different layers are separated by an insulating layer. Thereafter, a solder bump (connection terminal 22) is formed on the interconnect layer 110 (FIG. 5B). This solder bump is connected to the interconnect 114 via a UBM (Under Bump Metal) 116.
  • Subsequently, the semiconductor substrate 100 is thinned by grinding or the like in accordance with the needs. Thereafter, this device wafer is separated into individual pieces to obtain semiconductor chips 20 (FIG. 5C). Here, in FIG. 1 and others described above, the interconnect layer of the semiconductor chips 20 is not illustrated.
  • With reference to FIGS. 6A, 6B, 7A, and 7B, one example of a construction of the insulating ring 70 formed in the above-mentioned manner will be described. FIG. 6A is a cross-sectional view illustrating a part of the semiconductor chip 20. Also, FIG. 6B is a plan view illustrating the insulating ring 70 formed in the semiconductor chip 20. As shown in these drawings, the insulating ring 70 in this example is constructed with an electro conductive film 72 and an insulating film 74 disposed in the surroundings thereof. The insulating film 74 may be, for example, a laminate film of SiO2/SiN/SiO2. The insulating ring 70 may be constructed with the insulating film 74 alone. By addition of the electro conductive film 72, the filling of the insulating ring is facilitated.
  • A material of the electro conductive film 72 may be, for example, polysilicon, tungsten, or copper. In the case of forming a semiconductor element such as a transistor after forming the insulating ring 70, it is preferable to select polysilicon among these. By doing so, the thermal treatment in the step of forming the semiconductor element can be suitably carried out. Also, the electro conductive film 72 can be prevented from becoming a cause of metal contamination.
  • The insulating ring 70 having such a construction can be formed in the following manner. First, a trench is formed in the semiconductor substrate 100 by dry etching or the like. Subsequently, the trench is filled with the insulating film 74 and the electro conductive film 72. At this time, SiO2 (thermally oxidized film), SiN, SiO2, and the electro conductive film 72 are formed in this order. Thereafter, the electro conductive film 72 and the insulating film 74 remaining on the surface of the semiconductor substrate 100 are removed by CMP.
  • FIG. 7A is a cross-sectional view illustrating a part of the semiconductor chip 20 after the through electrode 60 is formed. Also, FIG. 7B is a plan view illustrating the insulating ring 70 after the through electrode 60 is formed. As shown in these drawings, the insulating ring 70 in the present example surrounds the through electrode 60 at a predetermined distance from the through electrode 60. Therefore, the semiconductor substrate 100 intervenes between the through electrode 60 and the insulating ring 70.
  • The effect of the present embodiment will be described. In the present embodiment, electrical connection between the semiconductor chip 20 and the semiconductor chip 30 is established by the through electrode 60. This reduces the path length of the signals transmitted between the two chips as compared with the case in which the electrical connection between these two chips is established by an interconnect that detours through the outside of the chips. For this reason, a semiconductor device 1 suitable for high-speed operation can be obtained.
  • Further, the inorganic insulating layer 50 is formed that directly covers the back surface of the semiconductor chip 20. This inorganic insulating layer 50 prevents the device region of the semiconductor chip 20 from being contaminated with metals. For this reason, the problem of metal contamination can be prevented from occurring even if the semiconductor chip is thinned, unlike the case in which the back surface of the semiconductor chip is stripped bare.
  • Also, the side surface of the semiconductor chip 20 is covered with the resin layer 40, and the back surface of the semiconductor chip 20 is covered with the inorganic insulating layer 50. With such a structure, a manufacturing technique of thinning the semiconductor chip 20 after mounting the semiconductor chip 20 on the interconnect member 10 can be suitably applied. Actually, in the manufacturing method according to the present embodiment, the semiconductor chip 20 is thinned after being mounted on the interconnect member 10. For this reason, there is no need to handle the thinned semiconductor chip 20 as a single piece. Therefore, the chip can be thinned to such a thickness that the handling as a single piece would be difficult. In other words, the chip can be thinned to a limit within a range that permits variation caused by grinding.
  • In view of these points, the manufacturing method according to the present embodiment is suitable for manufacturing a semiconductor device on which a thin type semiconductor chip is mounted. Also, the semiconductor device 1 is suitable for mounting a thin type semiconductor chip. Thus, in the present embodiment, the semiconductor device 1 suitable for high-speed operation and thickness reduction and a method of manufacturing the same are realized.
  • In the meantime, in the patent document 1, electrical connection between the semiconductor chips is established with use of an electrode post formed on the printed substrate without using a through electrode. In this case, the electrode post is also ground when the semiconductor chip is ground for thinning, thereby raising a problem of occurrence of contamination with the metal constituting the electrode post.
  • In view of this point, the present embodiment can avoid such a problem. In particular, by forming the through electrode 60 after thinning the semiconductor chip 20, the occurrence of contamination with the metal constituting the through electrode 60 is also prevented.
  • Also, in the patent document 4, the through electrode is formed in the device wafer. In the current semiconductor industry, processing of through electrodes is not general, so that a new production line is usually prepared for such processing. This raises a problem in that production equipment must be prepared for each size in order to meet a plurality of wafer sizes.
  • In view of this point, according to the present embodiment, the through electrode 60 is formed for the semiconductor chips 20 that have been separated into individual pieces, so that the formation of the through electrode 60 can be achieved with use of the same production equipment irrespective of the size of the device wafer.
  • Further, in the present embodiment, the process is completed for every semiconductor chip that is stacked. For this reason, a plurality of semiconductor chips having different sizes can be stacked.
  • Also, the inorganic insulating layer 50 is disposed so as to extend over the resin layer 40. This prevents the resin from swelling in a lithography process, in a plating process, or the like. For example, epoxy resin has a low resistance to chemical liquids such as acetone, isopropyl alcohol, ethyl acetate, butyl acetate, and methyl ethyl ketone, so that the epoxy resin may swell by absorbing these chemical liquids. When the resin swells in a neighborhood of the semiconductor chip 20, release is liable to occur therefrom.
  • The insulating ring 70 that surrounds the through electrode 60 is disposed in the semiconductor chip 20. This prevents the metal constituting the through electrode 60 from diffusing into the device region. Thus, the semiconductor device 1 is realized in which the problem of metal contamination is further less likely to occur. In addition, this insulating ring 70 functions also as an alignment mark in forming the through electrode 60. This facilitates position matching of the through electrode 60. Here, an alignment mark may be formed separately from the insulating ring 70.
  • Further, the insulating ring 70 improves the reliability of insulation between the semiconductor substrate 100 and the through electrode 60, and reduces the capacitance of the through electrode 60.
  • Particularly in the present embodiment, the insulating ring 70 surrounds the through electrode 60 at a predetermined interval therefrom. By designing the inner diameter of the insulating ring 70 to be larger than the diameter of the through electrode 60 in this manner, there will be an allowance in the position matching precision at the time of forming the through electrode 60. However, the insulating ring 70 may be disposed so as to be in contact with the through electrode 60.
  • The insulating ring 70 is made of the electro conductive film 72 and the insulating film 74 disposed in the surroundings thereof. By forming also the electro conductive film 72 in addition to the insulating film 74, filling of the trench will be facilitated at the time of forming the insulating ring 70. Also, formation of the insulating ring 70 itself is not essential. In particular, when the device is not sensitive to metal contamination or when the acceptable capacitance is large, there is no need to dispose the insulating ring 70. When the insulating ring 70 is not disposed, a step of forming a side wall insulating film will be essential after forming the through hole 62.
  • In the step of forming the interconnect member 10, the interconnect member 10 is formed on the supporting substrate 90, and the supporting substrate 90 is removed after the step of mounting the semiconductor chip 30. This allows that the step up to mounting the semiconductor chip 30 can be carried out on a wafer (that is supporting substrate 90). For this reason, an already existing production equipment can be effectively utilized.
  • The solder bump 80 is disposed on the bottom surface of the interconnect member 10. This allows that the semiconductor device 1 can be obtained as a BGA package. Also, this solder bump 80 is formed after removal of the supporting substrate 90. This allows that the solder bump 80 can be formed directly on the bottom surface of the interconnect member 10. For this reason, the generation of parasitic capacitance can be restrained, unlike the case in which the solder bump 80 is formed through the intermediary of a silicon substrate or the like.
  • In the step of filling the through hole 62 with a metal, the same process as in forming a Cu interconnect (damascene process) can be applied when a seed metal is formed by the sputtering method or the CV Dmethod in the inside of the through hole 62 and on the inorganic insulating layer 50, and thereafter electrolytic plating is carried out, whereby the metal formed on the inorganic insulating layer 50 by the electrolytic plating is removed by CMP.
  • On the other hand, in the step of filling the through hole 62 with a metal, the through hole 62 can be filled without generating voids or the like when a seed metal is formed by the sputtering method in the inside of the through hole 62 and on the inorganic insulating layer 50, and thereafter the seed metal formed other than on the bottom surface of the through hole 62 is removed, followed by electroless plating. This is because the growth of plating occurs from the bottom surface of the through hole 62. Also, the process such as CMP for removing the metal can not be performed.
  • With reference to FIGS. 13A to 13C, FIGS. 14A to 14C and FIGS. 15A and 15B, another embodiment related to a semiconductor device and a method for manufacturing thereof according to the present invention will be described. In summary, the manufacturing method according to this embodiment includes the above-described steps (a) to (f).
  • The manufacturing method will be fully described as follows. First of all, the interconnect 14 is formed on the supporting substrate 90 to obtain the interconnect member 10 (FIG. 13A). In this case, a silicon substrate, a glass substrate, a copper (Cu) substrate or the like may be employed for the supporting substrate 90. Further, in the present embodiment, a silicon wafer provided with semiconductor devices formed therein (i.e.device wafer) may also be employed. Since the supporting substrate 90 is to be remained as a part of a structure of the finished product of the semiconductor package in the present embodiment, a use of the device wafer as the supporting substrate 90 would reduce a number of assembly process operations per one piece of the semiconductor chip. Alternatively, it may be sufficient to form a pad electrode disposed in a location corresponding to a position of an electrode of the semiconductor chip 20 as the interconnect member 10.
  • Next, on the interconnect member 10, a device chip (semiconductor chip 20) that has been separated into individual piece is mounted in a flip-chip manner. Further, the connection part of these, namely the gap between the interconnect member 10 and the semiconductor chips 20, is filled with the underfill resin 42 (FIG. 13B). At this time, a resin may be formed in advance by application, potting, or the like, and the resin may be cured simultaneously with the flip-chip connection. Subsequently, the resin layer 40 is formed on the interconnect member 10 so as to cover the side surface of the semiconductor chip 20 (FIG. 13C). At this time, the resin layer 40 may be formed so as to cover the back surface of the semiconductor chip 20, in addition to the side surface. Processes available for forming the resin layer 40 include coating, laminating, printing, molding or the like.
  • Thereafter, the semiconductor chip 20 and the resin layer 40 are simultaneously ground. By conducting such process operation, the semiconductor chip 20 and the resin layer 40 are thinned (FIG. 14A). At this time, the grinding is continued until the insulating ring 70 is exposed to the back surface of the semiconductor chip 20. The thickness of the semiconductor chip 20 after grinding may be, for example, about 20 μm to 30 μm. Here, in order to remove the scratch that has been created on the back surface of the semiconductor chip 20 in the grinding process, a stress relief process such as a polishing process, a chemical mechanical polishing (CMP) process or a dry etch process may additionally be carried out after conducting the grinding process. In addition, if the thickness of the semiconductor chip 20 is sufficiently reduced in the prior process, the same structure can be obtained by simply conducting the CMP process without conducting the grinding process.
  • Then, a silicon nitride (SiN) film or a combination of a SiN film and a silicon oxide (SiO2) film, serving as the inorganic insulating film 50, is formed on the back surface of the semiconductor chip 20 (FIG. 14B). Thereafter, an opening for forming the through electrode 60 is formed via a photolithography method or the like. Further, the through hole 62 extending through the inorganic insulating film 50 and the semiconductor substrate of the semiconductor chip 20 is formed via a dry etch process or the like (FIG. 14C). The above-described side wall insulating film may be formed on the side wall of the through hole 62.
  • Next, the through hole 62 is filled with a metal. This step may be carried out, for example, in the following manner. A seed metal is formed over the interior of the through hole 62 and the surface of the inorganic insulating layer 50 via a sputter process, and then, portions of the seed metal except the portion located on the bottom surface of the through hole 62 are removed, followed by carrying out electroless plating.
  • In the case of carrying out the filling of the through hole 62 with a metal itself by electroless plating, application of a resist, tilted exposure to light, and development are sequentially carried out after forming a seed metal, whereby the resist is left only on the bottom surface of the through hole 62. Subsequently, the seed metal on the inorganic insulating layer 50 is subjected to wet etching. By doing so, it is possible to leave the Cu film only on the bottom surface of the through hole 62 in the case of using Cu/Ti as the seed metal. Thereafter, a metal film made, for example, of Cu, Ni, Pd, or a combination thereof may be formed by electroless plating. Alternatively, the etch process may be continued until an interconnect interlayer film is etched during the process for forming the through hole 62, in addition to etching silicon of the silicon semiconductor chip, to expose the interconnect layer of the semiconductor chip, and thereafter, electroless plating may be conducted to fill the through hole. Typical interconnect material for the semiconductor chip may include aluminum (Al), copper (Cu), tungsten (W) or the like, an electroless plating may be carried out by employing any of the above-described interconnect materials. Typical materials utilized for the electroless plating process may include, Ni, Cu, gold (Au) or the like.
  • The above-described steps from mounting the chips to forming the through electrode may be repeated to obtain a multiple-layered structure, as required. As described above, a predetermined number (one in the present embodiment) of the semiconductor chip 20 is mounted, and thereafter, a Cu/Ti seed sputter is conducted for the back surface of the semiconductor chip 20 located in the uppermost layer, and then, a patterning process employed a resist, a Cu plating process, a resist stripping process and a seed etch process are sequentially conducted to form a copper (Cu) post 64. Further, a resin 66 is formed, and then a grinding process or a CMP process is conducted to expose a top surface of the Cu post 64 (FIG. 15A).
  • A Cu interconnect 68 connected to thus formed Cu post 64 is formed, and then a solder resist 82 is formed, the solder ball 84 is mounted and a dividing operation is conducted to eventually obtain a finished package (FIG. 15B). According to the present embodiment, a terminal (solder ball 84) may be exposed on the top surface of the package, thereby providing more simple process that requires smaller number of process operations. In addition, the combination of the solder ball 84 and the through electrode 60 provides a reduced length of the interconnect, and thus a speedup of operation of the device can be expected. Further, when a device wafer is employed for the supporting substrate 90, required number of the operations in an assembly process can be reduced. In addition, the Cu post 64 is formed on the back surface of. the semiconductor chip 20 located in the uppermost layer to achieve the interconnect coupling with finer intervals. In an ordinary building-up process that involves forming a via hole by employing laser beam and filling the via hole with a metal, a lowest interval of vias is about 100 μm. On the contrary, in a process employing the Cu post, a coupling with an interval of vias of about 20 μm can be achieved.
  • In addition to above, in the present embodiment, an assembly of the device may be conducted by: after the Cu post 64 and the Cu interconnect 68 are formed, mounting semiconductor chip 30; forming a resin 76; forming a via 77 employing laser beam; forming a Cu interconnect 78; forming the solder resist 82; mounting the solder ball 84; and dividing thereof into respective chips (FIG. 16). Since the semiconductor chip 30 without through electrode can be included in the multiple-layered structure in such case, a reduced manufacturing cost can be achieved. In addition, a flexibility of conducting a rerouting in the uppermost surface, a position of the solder ball 84 can be freely determined.
  • In addition, an assembly of the device may be conducted by: after the Cu post 64 and the Cu interconnect 68 are formed, forming a Cu post 75; mounting semiconductor chip 30; forming the resin 76; grinding the surface of the resin; mounting the solder ball 84; and dividing thereof into respective chips (FIG. 17). In this case, forming the Cu post 75 can provide more simple process for forming the external terminal that requires smaller number of process operations. In addition, since an operation of a wafer-level chip scale packaging (CSP) can be utilized for forming the external terminal without any modification, existing facilities can be employed.
  • In addition, an assembly of the device may be conducted by: after the Cu post 64 and the Cu interconnect 68 are formed, mounting semiconductor chip 30; forming the resin 76; dividing thereof into respective chips; mounting thereof to an adhesive layer 94; packaging thereof with a resin 96; forming a buildup layer (via 97 and Cu interconnect 98); forming the solder resist 82; mounting the solder ball 84; and dividing thereof into respective chips (FIG. 18). In such case, the external terminal may be fanned out to provide an availability of the device applied for larger package. A combination of a smaller package, which requires a process for forming a through electrode that is costly as the process requires forming a finer pattern, and a larger package, which is composed of only buildup interconnects that is less costly as the process requires forming more loose pattern, can achieve lower production cost for manufacturing the whole device.
  • With reference to FIGS. 19A to 19C and FIGS. 20A and 20B, another embodiment related to a semiconductor device and a method for manufacturing thereof according to the present invention will be described. In summary, the manufacturing method according to the present embodiment includes the following steps (g) to (i), in addition to the above-described steps (a) to (f):
  • (g) mounting a silicon interposer 130 on the inorganic insulating layer 50 so as to be electrically connected to the through electrode 60;
  • (h) forming an inorganic insulating film 134 on the silicon interposer 130; and
  • (i) forming a through electrode 136 so as to penetrate the inorganic insulating film 134 and the silicon substrate of the silicon interposer 130.
  • Hereafter, this manufacturing method will be described in more detail. First of all, the interconnect 14 is formed on the supporting substrate 90 and the interconnect member 10 is obtained. Next, on the interconnect member 10, a device chip (semiconductor chip 20) that has been separated into individual piece is mounted in a flip-chip manner. Further, the connection part of these, namely the gap between the interconnect member 10 and the semiconductor chips 20, is filled with the underfill resin 42. Subsequently, the resin layer 40 is formed on the interconnect member 10 so as to cover the side surface of the semiconductor chip 20 (FIG. 19A).
  • Thereafter, the semiconductor chip 20 and the resin layer 40 are simultaneously ground. By conducting such process operation, the semiconductor chip 20 and the resin layer 40 are thinned. At this time, the grinding is continued until the insulating ring 70 is exposed to the back surface of the semiconductor chip 20. Then, a SiN film or a combination of a SiN film and a SiO2 film, serving as the inorganic insulating film 50, is formed on the back surface of the semiconductor chip 20. Thereafter, an opening for forming the through electrode 60 is formed via a photolithography method or the like. Further, the through hole 62 extending through the inorganic insulating film 50 and the semiconductor substrate of the semiconductor chip 20 is formed via a dry etch process or the like.
  • Next, the through hole 62 is filled with a metal. In the present embodiment, electroless plating process is utilized to fill thereof with a metal. At this occasion, an electrode pad 61 is formed simultaneously with forming the through electrode 60 by protruding a metal from the back surface of the semiconductor chip 20 (FIG. 19B). In this case, electroless Au plating finishing may be provided thereto, so that an oxidization of the electrode pad 61 can be prevented, thereby providing an improved electrical coupling in later processes for forming the contact or for coupling the chips.
  • The above-described steps from mounting the chips to forming the through electrode may be repeated to obtain a multiple-layered structure, as required. As described above, a predetermined number (one in the present embodiment) of the semiconductor chip 20 is mounted, and thereafter, a silicon interposer 130, which is free of active element mounted thereto, is mounted on the semiconductor chip 20 located in the uppermost layer. Here, the silicon interposer is a member obtained by forming only interconnects on a silicon substrate, or such member additionally including a passive element such as a capacitor element, a resistive element or the like incorporated therein. Then the gap between the inorganic insulating film 50 and the silicon interposer 130 is filled with the underfill resin 42. Subsequently, a resin layer 132 is formed on the inorganic insulating film 50 so as to cover the side surface of the silicon interposer 130. Thereafter, the silicon interposer 130 and the resin layer 132 are simultaneously ground. Such grinding process may be conducted in a way similar to that employed for simultaneously grinding the semiconductor chip 20 and the resin layer 40.
  • Then, a SiN film or a combination of a SiN film and a SiO2 film, serving as the inorganic insulating film 134, is formed on the silicon interposer 130. The inorganic insulating film 134 is formed so as to be in contact with the silicon interposer 130 and to extend over the resin layer 134. Subsequently, a through electrode 136 and an electrode pad 138 are formed so as to extend through the inorganic insulating film 134 and the silicon substrate of the silicon interposer 130 (FIG. 19C). The through electrode 136 is electrically connected to the electrode pad 61 which is not located just under the through electrode 136 via an interconnect (not shown) formed on the bottom surface of the silicon interposer 130. The through electrode 136 and the electrode pad 138 may be formed in a way similar to that employed for forming the through electrode 60 and the electrode pad 61.
  • Then, the semiconductor chip 30 is mounted thereon, and a resin 76 is formed (FIG. 20A). Thereafter, an assembly of the device may be conducted by: forming a via 77 employing laser beam; forming a Cu interconnect 78; forming the solder resist 82; mounting the solder ball 84; and dividing thereof into respective chips (FIG. 20B). Since a fanning out is achieved by employing the silicon interposer 130 in the present embodiment, electrical couplings with much finer intervals of the interconnects can be applied to applications of larger dimensions. In addition, since microinterconnects formed by a silicon (Si)process can be employed in the case of requiring a rerouting between chips, and therefore performance of interconnect per one interconnect layer is considerably improved.
  • In addition to above, in the present embodiment, an assembly of the device may be conducted by, after the through electrode 136 and the electrode pad 138 are formed, and without mounting the semiconductor chip 30; forming the resin 76; forming the via 77; forming the Cu interconnect 78; forming the solder resist 82; mounting the solder ball 84; and dividing thereof into respective chips (FIG. 21). In this case, the silicon interposer 130 is employed only for increasing the intervals. Having such configuration, the couplings in the through electrode 60, which has been otherwise narrower intervals and dense pin-arrangement, can vary easily be arranged with a level that is larger intervals between the solder balls 84. This is because the interconnect by the Si process can be employed.
  • In addition, the silicon interposer 130 may be mounted so as to collectively cover an upper portion of a plurality of the semiconductor chips 20 (FIG. 22). In such case, a considerable number of couplings of the multiple-layered chips can be collectively disposed in a chip of the uppermost layer. At the same time, the silicon interposer 130 also functions as being helpful for increasing the intervals of the chips to the intervals of the solder balls 84. Having such configuration, for example, a system-in-package (SiP), which is capable of providing faster accessibility with very large scale memory, can be achieved.
  • The semiconductor device and the manufacturing method thereof according to the present invention are not limited to the above-described embodiments, so that various modifications can be made. For example, in the step of mounting the semiconductor chip 20 in a face-down manner, a plurality of semiconductor chips 20 may be mounted in the same layer. In the semiconductor device 2 shown in FIG. 8, a plurality (two in this example) of the semiconductor chips 20 are disposed in the second layer from the bottom. Similarly, in the step of mounting the semiconductor chip 30, a plurality of the semiconductor chips 30 may be disposed in the same layer. In that case, a semiconductor device is obtained in which a plurality of the semiconductor chips 30 are disposed in the same layer.
  • Also, the step of mounting the semiconductor chip 20 in a face-down manner may include a step of placing a dummy chip in the same layer as the semiconductor chip 20. The step of mounting the semiconductor chip 30 in a face-down manner may include a step of placing the dummy chip in the same layer as the semiconductor chip 30. Here, the dummy chip is a chip on which no semiconductor elements are formed. In the semiconductor device 3 shown in FIG. 9A, dummy chips 120 are disposed in both of the layer where the semiconductor chip 20 is disposed and the layer where the semiconductor chip 30 is disposed. FIG. 9B is a plan view illustrating a positional relationship between the semiconductor chip 20 (or semiconductor chip 30) and the dummy chip 120 in the semiconductor device 3. However, the dummy chip 120 may be disposed only in either one of the layer where the semiconductor chip 20 is disposed and the layer where the semiconductor chip 30 is disposed. Also, the dummy chip 120 may be disposed in a part of the layers among the plurality of layers where the semiconductor chip 20 is disposed. Also, a capacitance element may be disposed in the dummy chip 120, and the dummy chip 120 may be electrically connected to the semiconductor chip 20 or the semiconductor chip 30.
  • By placing the dummy chip in such a region where the chips are not disposed, the warping of the semiconductor device can be restrained to be small. This allows that a semiconductor device being excellent in mechanical strength is obtained. Further, the capacitance element may be formed in the dummy chip and may be connected by the interconnect layer, so as to be used as a decoupling capacitance. This allows that the fluctuation in the power source voltage can be restrained, whereby a device operation being capable of high-speed operation and being strong against the noise can be obtained.
  • Further, in the step of placing the dummy chip, the dummy chip may be placed so as to be spaced apart from the side surface of the semiconductor device. In the semiconductor device 4 shown in FIG. 10A, the dummy chip 120 is spaced apart from the side surface of the semiconductor device 4. FIG. 10B is a plan view illustrating a positional relationship between the semiconductor chip 20 (or semiconductor chip 30) and the dummy chip 120 in the semiconductor device 4.
  • By adopting a structure in which the dummy chip does not overlap with the cut surface of the package in this manner, there will be no need to cut the dummy chip in the package dicing step. This allows that occurrence of the problem of cracks, stripping, and the like can be restrained.
  • In the above-described embodiments, an example has been shown in which the inorganic insulating layer 50 is disposed so as to extend over the entire surface of the resin layer 40. However, referring to FIG. 11, the inorganic insulating layer 50 may be disposed only on a part of the resin layer 40 so as to extend for a predetermined distance from the semiconductor chip 20. In this case, only the organic insulating layer is cut in the package dicing step, so that there will be no need to cut the inorganic insulating layer. This allows that occurrence of the problem of cracks, stripping, and the like can be restrained.
  • In the above-described embodiments, an example has been shown in which the sizes of the stacked chips are all equal; however, these chip sizes may be different from each other.
  • In the above-described embodiments, an example has been shown in which one insulating ring 70 is disposed for one through electrode 60. However, referring to FIG. 12, a plurality of the insulating rings 70 may be disposed for one through electrode 60. In FIG. 12, a plurality (two in the present example) of concentric insulating rings 70 surround one through electrode 60. FIG. 12 is a plan view similar to FIG. 7B.
  • It is apparent that the present invention is not limited to the above embodiment, and may be modified and changed without departing from the scope and spirit of the invention.

Claims (40)

1. A method of manufacturing a semiconductor device, comprising:
forming an interconnect member;
mounting a first semiconductor chip having a semiconductor substrate in a face-down manner on said interconnect member;
forming a resin layer on said interconnect member so as to cover a side surface of said first semiconductor chip;
thinning said first semiconductor chip and said resin layer;
forming an inorganic insulating layer on a back surface of said first semiconductor chip so as to be in contact with said back surface and to extend over said resin layer; and
forming a through electrode so as to penetrate said inorganic insulating layer and said semiconductor substrate.
2. The method according to claim 1, further comprising mounting a second semiconductor chip in a face-down manner on said inorganic insulating layer so as to be electrically connected to said through electrode.
3. The method according to claim 2,
wherein said mounting of said second semiconductor chip includes mounting a plurality of said second semiconductor chips in a same layer.
4. The method according to claim 2,
wherein said mounting of said second semiconductor chip includes disposing a dummy chip, which is a chip where no semiconductor element is formed, in a same layer as said second semiconductor chip.
5. The method according to claim 1, further comprising forming an insulating ring in said semiconductor substrate so as to surround a region where said through electrode is to be formed, before said mounting of said first semiconductor chip.
6. The method according to claim 1,
wherein said mounting of said first semiconductor chip includes mounting a plurality of said first semiconductor chips in a same layer.
7. The method according to claim 1,
wherein said mounting of said first semiconductor chip includes disposing a dummy chip, which is a chip where no semiconductor element is formed, in a same layer as said first semiconductor chip.
8. The method according to claim 4,
wherein said dummy chip is disposed so as to be spaced apart from a side surface of said semiconductor device.
9. The method according to claim 1,
wherein said interconnect member is formed on a supporting substrate, and
said method further comprises removing said supporting substrate after said forming of said through electrode.
10. The method according to claim 9, further comprising forming an external electrode terminal on a surface of said interconnect member where said supporting substrate has been disposed, after said removing of said supporting substrate.
11. The method according to claim 1,
wherein said forming of said interconnect member includes forming said interconnect member on a supporting substrate, and
said method further comprises forming an external electrode terminal on a surface of said first semiconductor chip opposite to said supporting substrate, after said forming of said through electrode.
12. The method according to claim 11,
wherein said supporting substrate is a device wafer.
13. The method according to claim 11, further comprising:
mounting a second semiconductor chip in a face-down manner on said inorganic insulating layer so as to be electrically connected to said through electrode;
forming a resin on said inorganic insulating film so as to cover said second semiconductor chip; and
forming a via in said resin,
wherein said forming of said external electrode terminal is conducted after said forming of said via, and
said external electrode terminal is electrically connected to said through electrode through said via.
14. The method according to claim 11, further comprising:
forming a conductor post on said inorganic insulating film;
mounting a second semiconductor chip in a face-down manner on said inorganic insulating layer so as to be electrically connected to said through electrode;
forming a resin on said inorganic insulating film so as to cover said conductor post and said second semiconductor chip; and
grinding said resin until said conductor post is exposed,
wherein said forming of said external electrode terminal is conducted after said grinding of said resin, and
said external electrode terminal is electrically connected to said through electrode through said conductor post.
15. The method according to claim 11, further comprising:
mounting a second semiconductor chip in a face-down manner on said inorganic insulating layer so as to be electrically connected to said through electrode;
forming a resin on said inorganic insulating film so as to cover said second semiconductor chip;
adhering an adhesive layer on said resin, said adhesive layer having larger area than said resin; and
forming a via in said adhesive layer and said resin,
wherein said forming of said external electrode terminal is conducted after said forming of said via, and
said external electrode terminal is electrically connected to said through electrode through said via.
16. The method according to claim 11, further comprising:
mounting a silicon interposer having a silicon substrate on an inorganic insulating film so as to be electrically connected to said through electrode;
forming a second inorganic insulating film on said silicon interposer; and
forming a second through electrode so as to penetrate said second inorganic insulating layer and said silicon substrate,
wherein said forming of said external electrode terminal is conducted after said forming of said second through electrode, and
said external electrode terminal is electrically connected to said through electrode of said first semiconductor chip through said second through electrode.
17. The method according to claim 16, further comprising:
mounting a second semiconductor chip in a face-down manner on said second inorganic insulating layer so as to be electrically connected to said second through electrode;
forming a resin on said second inorganic insulating film so as to cover said second semiconductor chip; and
forming a via in said resin,
wherein said forming of said external electrode terminal is conducted after said forming of said via, and
said external electrode terminal is electrically connected to said through electrode of said first semiconductor chip through said second through electrode and said via.
18. The method according to claim 16, further comprising:
forming a resin on said second inorganic insulating film without mounting a semiconductor chip; and
forming a via in said resin,
wherein said forming of said external electrode terminal is conducted after said forming of said via, and
wherein said external electrode terminal is electrically connected to said through electrode of said first semiconductor chip through said second through electrode and said via.
19. The method according to claim 16,
wherein said silicon interposer is mounted so as to collectively cover an upper portion of a plurality of said first semiconductor chips.
20. The method according to claim 1,
wherein said forming of said through electrode includes forming a through hole that penetrates said inorganic insulating layer and said semiconductor substrate, and filling said through hole with a metal, and
in said filling of said through hole, a seed metal is formed in an inside of said through hole and on said inorganic insulating layer by a sputtering method or a CVD method, and thereafter, electrolytic plating is carried out, and a metal formed on said inorganic insulating layer by said electrolytic plating is removed by CMP.
21. The method according to claim 1,
wherein said forming of said through electrode includes forming a through hole that penetrates said inorganic insulating layer and said semiconductor substrate, and filling said through hole with a metal, and
in said filling of said through hole, a seed metal is formed in an inside of said through hole and on said inorganic insulating layer by a sputtering method, and said seed metal formed on places other than a bottom surface of said through hole is removed, and thereafter, electroless plating is carried out.
22. A semiconductor device comprising:
an interconnect member;
a first semiconductor chip mounted in a face-down manner on said interconnect member and having a semiconductor substrate;
a resin layer provided on said interconnect member so as to cover a side surface of said first semiconductor chip;
an inorganic insulating layer provided on a back surface of said first semiconductor chip so as to be in contact with said back surface and to extend over said resin layer; and
a through electrode penetrating said first semiconductor chip and said semiconductor substrate.
23. The semiconductor device according to claim 22, further comprising a second semiconductor chip mounted in a face-down manner on said inorganic insulating layer so as to be electrically connected to said through electrode.
24. The semiconductor device according to claim 23,
wherein a plurality of said second semiconductor chips are provided in a same layer.
25. The semiconductor device according to claim 23,
wherein a dummy chip, which is a chip where no semiconductor element is formed, is provided in a same layer as said second semiconductor chip.
26. The semiconductor device according to claim 22, further comprising an insulating ring provided in said semiconductor substrate so as to surround said through electrode.
27. The semiconductor device according to claim 22,
wherein a plurality of said first semiconductor chips are provided in a same layer.
28. The semiconductor device according to claim 22,
wherein a dummy chip, which is a chip where no semiconductor element is formed, is provided in a same layer as said first semiconductor chip.
29. The semiconductor device according to claim 25,
wherein said dummy chip is spaced apart from a side surface of said semiconductor device.
30. The semiconductor device according to claim 25,
wherein a capacitance element is provided in said dummy chip, and
said dummy chip is electrically connected to said first or second semiconductor chip.
31. The semiconductor device according to claim 22, further comprising an external electrode terminal provided on a surface of said interconnect member opposite to said first semiconductor chip.
32. The semiconductor device according to claim 22,
wherein said interconnect member is provided on a supporting substrate, and
an external electrode terminal is provided on a side of said first semiconductor chip opposite to said supporting substrate.
33. The semiconductor device according to claim 32,
wherein said supporting substrate is a device wafer.
34. The semiconductor device according to claim 32, further comprising:
a second semiconductor chip, mounted in a face-down manner on said inorganic insulating layer and electrically connected to said through electrode;
a resin, provided on said inorganic insulating film and covering said second semiconductor chip; and
a via provided in said resin,
wherein said external electrode terminal is electrically connected to said through electrode through said via.
35. The semiconductor device according to claim 32, further comprising:
a second semiconductor chip, mounted in a face-down manner on said inorganic insulating layer and electrically connected to said through electrode;
a resin, provided on said inorganic insulating film and covering said second semiconductor chip; and
a conductor post, provided in said resin and exposed in a surface of said resin,
wherein said external electrode terminal is electrically connected to said through electrode through said conductor post.
36. The semiconductor device according to claim 32, further comprising:
a second semiconductor chip, mounted in a face-down manner on said inorganic insulating layer and electrically connected to said through electrode;
a resin, provided on said inorganic insulating film and covering said second semiconductor chip;
an adhesive layer adhered on said resin and having larger area than said resin; and
a via provided in said adhesive layer and said resin,
wherein said external electrode terminal is electrically connected to said through electrode through said via.
37. The semiconductor device according to claim 32, further comprising:
a silicon interposer having a silicon substrate, said silicon interposer being mounted on said inorganic insulating film so as to be electrically connected to said through electrode;
a second inorganic insulating film provided on said silicon interposer; and
a second through electrode, penetrating said second inorganic insulating film and said silicon substrate,
wherein said external electrode terminal is electrically connected to said through electrode of said first semiconductor chip through said second through electrode.
38. The semiconductor device according to claim 37, further comprising:
a second semiconductor chip, mounted in a face-down manner on said inorganic insulating layer and electrically connected to said through electrode;
a resin, provided on said second inorganic insulating film and covering said second semiconductor chip; and
a via provided in said resin,
wherein said external electrode terminal is electrically connected to said through electrode of said first semiconductor chip through said second through electrode and said via.
39. The semiconductor device according to claim 37, further comprising:
a resin provided on said second. inorganic insulating film; and
a via provided in said resin,
wherein said external electrode terminal is electrically connected to said through electrode of said first semiconductor chip through said second through electrode and said via, and
no semiconductor chip is mounted on said second inorganic insulating film.
40. The semiconductor device according to claim 37,
wherein said silicon interposer collectively covers an upper portion of a plurality of said first semiconductor chips.
US11/602,346 2005-12-02 2006-11-21 Semiconductor device and method of manufacturing the same Abandoned US20070126085A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/656,616 US8395269B2 (en) 2005-12-02 2010-02-04 Method of stacking semiconductor chips including forming an interconnect member and a through electrode

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005-349794 2005-12-02
JP2005349794 2005-12-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/656,616 Division US8395269B2 (en) 2005-12-02 2010-02-04 Method of stacking semiconductor chips including forming an interconnect member and a through electrode

Publications (1)

Publication Number Publication Date
US20070126085A1 true US20070126085A1 (en) 2007-06-07

Family

ID=38117866

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/602,346 Abandoned US20070126085A1 (en) 2005-12-02 2006-11-21 Semiconductor device and method of manufacturing the same
US12/656,616 Active US8395269B2 (en) 2005-12-02 2010-02-04 Method of stacking semiconductor chips including forming an interconnect member and a through electrode

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/656,616 Active US8395269B2 (en) 2005-12-02 2010-02-04 Method of stacking semiconductor chips including forming an interconnect member and a through electrode

Country Status (2)

Country Link
US (2) US20070126085A1 (en)
CN (1) CN1976014B (en)

Cited By (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060131746A1 (en) * 2004-12-22 2006-06-22 Sanyo Electric Co., Ltd. Circuit device
US20070216020A1 (en) * 2006-03-15 2007-09-20 Oki Electric Industry Co., Ltd. Semiconductor device
US20080048335A1 (en) * 2006-08-23 2008-02-28 Jae-Won Han Semiconductor device
US20080116544A1 (en) * 2006-11-22 2008-05-22 Tessera, Inc. Packaged semiconductor chips with array
US20080150088A1 (en) * 2006-12-20 2008-06-26 Reed Paul A Method for incorporating existing silicon die into 3d integrated stack
US20080265430A1 (en) * 2003-10-30 2008-10-30 Masamichi Ishihara Semiconductor Device an Process for Fabricating the Same
US20090218671A1 (en) * 2008-03-03 2009-09-03 Kimihito Kuwabara Semiconductor device and method of fabricating the same
US20090240311A1 (en) * 2006-03-14 2009-09-24 Andersen Soeren Ree Computer Controlled Light Therapy Apparatus
WO2010104610A2 (en) * 2009-03-13 2010-09-16 Tessera Technologies Hungary Kft. Stacked microelectronic assemblies having vias extending through bond pads
WO2010129903A1 (en) * 2009-05-07 2010-11-11 Qualcomm Incorporated Panelized backside processing for thin semiconductors
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US20110062592A1 (en) * 2009-09-11 2011-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Delamination Resistance of Stacked Dies in Die Saw
US20110089573A1 (en) * 2009-10-15 2011-04-21 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US20110121442A1 (en) * 2009-11-24 2011-05-26 Advanced Semiconductor Engineering, Inc. Package structure and package process
US7952195B2 (en) 2006-12-28 2011-05-31 Tessera, Inc. Stacked packages with bridging traces
US20110159638A1 (en) * 2009-12-31 2011-06-30 Meng-Jen Wang Method for Making a Chip Package
US8022527B2 (en) 2006-10-10 2011-09-20 Tessera, Inc. Edge connect wafer level stacking
US8043895B2 (en) 2007-08-09 2011-10-25 Tessera, Inc. Method of fabricating stacked assembly including plurality of stacked microelectronic elements
US20110291287A1 (en) * 2010-05-25 2011-12-01 Xilinx, Inc. Through-silicon vias with low parasitic capacitance
US20120001306A1 (en) * 2010-07-01 2012-01-05 United Test And Assembly Center Ltd. Semiconductor packages and methods of packaging semiconductor devices
US20120153509A1 (en) * 2010-12-16 2012-06-21 Shinko Electric Industries Co., Ltd. Semiconductor package and manufacturing method therefor
WO2012074570A3 (en) * 2010-12-02 2012-09-27 Tessera, Inc Stacked microelectronic assembly with tsvs formed in stages and carrier above chip
US8310036B2 (en) 2007-03-05 2012-11-13 DigitalOptics Corporation Europe Limited Chips having rear contacts connected by through vias to front contacts
US20120292745A1 (en) * 2011-05-20 2012-11-22 Stats Chippac, Ltd. Semiconductor Device and Method of Forming 3D Semiconductor Package with Semiconductor Die Stacked Over Semiconductor Wafer
US20130001802A1 (en) * 2011-07-01 2013-01-03 Elpida Memory, Inc. Semiconductor device including insulating resin film provided in a space between semiconductor chips
WO2013021251A1 (en) * 2011-08-09 2013-02-14 Soitec Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
US8415783B1 (en) * 2007-10-04 2013-04-09 Xilinx, Inc. Apparatus and methodology for testing stacked die
US8431435B2 (en) 2006-10-10 2013-04-30 Tessera, Inc. Edge connect wafer level stacking
US8461672B2 (en) 2007-07-27 2013-06-11 Tessera, Inc. Reconstituted wafer stack packaging with after-applied pad extensions
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8518823B2 (en) 2011-12-23 2013-08-27 United Microelectronics Corp. Through silicon via and method of forming the same
US8519515B2 (en) 2011-04-13 2013-08-27 United Microlectronics Corp. TSV structure and method for forming the same
US8525296B1 (en) 2012-06-26 2013-09-03 United Microelectronics Corp. Capacitor structure and method of forming the same
US8551815B2 (en) 2007-08-03 2013-10-08 Tessera, Inc. Stack packages using reconstituted wafers
US8587126B2 (en) 2010-12-02 2013-11-19 Tessera, Inc. Stacked microelectronic assembly with TSVs formed in stages with plural active chips
US8609529B2 (en) 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
US8610264B2 (en) 2010-12-08 2013-12-17 Tessera, Inc. Compliant interconnects in wafers
US8610259B2 (en) 2010-09-17 2013-12-17 Tessera, Inc. Multi-function and shielded 3D interconnects
US8637968B2 (en) 2010-12-02 2014-01-28 Tessera, Inc. Stacked microelectronic assembly having interposer connecting active chips
DE102012108522A1 (en) * 2012-09-12 2014-03-13 Ams Ag Method for manufacturing semiconductor stack for stacking semiconductor chips with components for three-dimensional integration of electronic circuit, involves arranging through-contacts in aperture after connecting stack components
US8680662B2 (en) 2008-06-16 2014-03-25 Tessera, Inc. Wafer level edge stacking
US8686565B2 (en) 2010-09-16 2014-04-01 Tessera, Inc. Stacked chip assembly having vertical vias
US8685793B2 (en) 2010-09-16 2014-04-01 Tessera, Inc. Chip assembly having via interconnects joined by plating
US8691600B2 (en) 2012-05-02 2014-04-08 United Microelectronics Corp. Method for testing through-silicon-via (TSV) structures
US8691688B2 (en) 2012-06-18 2014-04-08 United Microelectronics Corp. Method of manufacturing semiconductor structure
US8704347B2 (en) 2006-11-22 2014-04-22 Tessera, Inc. Packaged semiconductor chips
US8716104B1 (en) 2012-12-20 2014-05-06 United Microelectronics Corp. Method of fabricating isolation structure
US8735287B2 (en) 2007-07-31 2014-05-27 Invensas Corp. Semiconductor packaging process using through silicon vias
US8791575B2 (en) 2010-07-23 2014-07-29 Tessera, Inc. Microelectronic elements having metallic pads overlying vias
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8804360B2 (en) 2009-07-30 2014-08-12 Megit Acquisition Corp. System-in packages
US8822336B2 (en) 2011-06-16 2014-09-02 United Microelectronics Corp. Through-silicon via forming method
US20140246782A1 (en) * 2013-03-04 2014-09-04 Jiyoung Kim Semiconductor devices and methods for fabricating the same
US8828745B2 (en) 2011-07-06 2014-09-09 United Microelectronics Corp. Method for manufacturing through-silicon via
US20140284785A1 (en) * 2013-03-22 2014-09-25 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US8847380B2 (en) 2010-09-17 2014-09-30 Tessera, Inc. Staged via formation from both sides of chip
US8884398B2 (en) 2013-04-01 2014-11-11 United Microelectronics Corp. Anti-fuse structure and programming method thereof
US8900996B2 (en) 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US8912844B2 (en) 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
US8916471B1 (en) 2013-08-26 2014-12-23 United Microelectronics Corp. Method for forming semiconductor structure having through silicon via for signal and shielding structure
US9024416B2 (en) 2013-08-12 2015-05-05 United Microelectronics Corp. Semiconductor structure
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
US9048223B2 (en) 2013-09-03 2015-06-02 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
US9117804B2 (en) 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US9123730B2 (en) 2013-07-11 2015-09-01 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
US9123738B1 (en) 2014-05-16 2015-09-01 Xilinx, Inc. Transmission line via structure
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
US9287173B2 (en) 2013-05-23 2016-03-15 United Microelectronics Corp. Through silicon via and process thereof
US9343359B2 (en) 2013-12-25 2016-05-17 United Microelectronics Corp. Integrated structure and method for fabricating the same
US9391043B2 (en) 2012-11-20 2016-07-12 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US9418876B2 (en) 2011-09-02 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of three dimensional integrated circuit assembly
US9543242B1 (en) 2013-01-29 2017-01-10 Amkor Technology, Inc. Semiconductor package and fabricating method thereof
US9583460B2 (en) 2014-02-14 2017-02-28 Qualcomm Incorporated Integrated device comprising stacked dies on redistribution layers
US9640437B2 (en) 2010-07-23 2017-05-02 Tessera, Inc. Methods of forming semiconductor elements using micro-abrasive particle stream
US20170278823A1 (en) * 2009-09-30 2017-09-28 Advanced Semiconductor Engineering, Inc. Package process and package structure
US9859181B2 (en) 2011-09-02 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill dispensing in 3D IC using metrology
US9865567B1 (en) * 2017-02-02 2018-01-09 Xilinx, Inc. Heterogeneous integration of integrated circuit device and companion device
US9917066B2 (en) 2012-02-09 2018-03-13 Panasonic Corporation Semiconductor device having stacked chips, a re-distribution layer, and penetration electrodes
US9960328B2 (en) 2016-09-06 2018-05-01 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US20180350778A1 (en) * 2015-11-24 2018-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and Bonding Methods and Structures Formed Thereby
US20190088625A1 (en) * 2017-09-15 2019-03-21 Toshiba Memory Corporation Semiconductor device
US10340203B2 (en) 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
US20190214413A1 (en) * 2015-03-18 2019-07-11 International Business Machines Corporation Optoelectronics and cmos integration on goi substrate
DE102018116729B3 (en) * 2018-06-29 2019-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method
US10658338B2 (en) 2018-02-28 2020-05-19 Toshiba Memory Corporation Semiconductor device including a re-interconnection layer and method for manufacturing same
CN113544827A (en) * 2021-05-21 2021-10-22 广东省科学院半导体研究所 Chip packaging method and chip packaging structure
US11227826B2 (en) 2018-09-25 2022-01-18 Kabushiki Kaisha Toshiba Semiconductor device having chip stacked and molded
US11309289B2 (en) 2018-06-15 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having heat dissipation structure
DE102017122831B4 (en) 2016-11-14 2022-12-08 Taiwan Semiconductor Manufacturing Co. Ltd. Housing structures and training procedures

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7623365B2 (en) 2007-08-29 2009-11-24 Micron Technology, Inc. Memory device interface methods, apparatus, and systems
US8106520B2 (en) * 2008-09-11 2012-01-31 Micron Technology, Inc. Signal delivery in stacked device
CN101847588B (en) * 2009-03-27 2012-05-09 台湾积体电路制造股份有限公司 Semiconductor process
JP5367523B2 (en) * 2009-09-25 2013-12-11 新光電気工業株式会社 Wiring board and method of manufacturing wiring board
CN102403270B (en) * 2011-12-07 2013-09-18 南通富士通微电子股份有限公司 Method for forming silicon through hole interconnection structure
US8941208B2 (en) * 2012-07-30 2015-01-27 General Electric Company Reliable surface mount integrated power module
JP6100489B2 (en) * 2012-08-31 2017-03-22 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US10269688B2 (en) 2013-03-14 2019-04-23 General Electric Company Power overlay structure and method of making same
JP6260998B2 (en) * 2014-04-07 2018-01-17 ルネサスエレクトロニクス株式会社 Multilayer semiconductor device
US10665582B2 (en) * 2017-11-01 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor package structure
KR20210054786A (en) * 2019-11-06 2021-05-14 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of semiconductor device
JP2021129083A (en) * 2020-02-17 2021-09-02 キオクシア株式会社 Semiconductor device and method for manufacturing the same

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274937B1 (en) * 1999-02-01 2001-08-14 Micron Technology, Inc. Silicon multi-chip module packaging with integrated passive components and method of making
US6730543B2 (en) * 1999-02-08 2004-05-04 Micron Technology, Inc. Methods for multiple die stack apparatus employing
US20040113261A1 (en) * 2002-12-03 2004-06-17 Shinko Electric Industries Co., Ltd., Electronic parts packaging structure and method of manufacturing the same
US20040119166A1 (en) * 2002-11-05 2004-06-24 Masahiro Sunohara Semiconductor device and method of manufacturing the same
US20040178510A1 (en) * 2003-02-13 2004-09-16 Masahiro Sunohara Electronic parts packaging structure and method of manufacturing the same
US6836025B2 (en) * 2002-05-31 2004-12-28 Fujitsu Limited Semiconductor device configured to be surface mountable
US20070007639A1 (en) * 2005-06-24 2007-01-11 Motohiko Fukazawa Semiconductor device, manufacturing method for semiconductor device, and electronic equipment
US7223634B2 (en) * 2003-07-31 2007-05-29 Seiko Epson Corporation Semiconductor device, method for manufacturing the same, circuit board, and electronic apparatus

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2622038B2 (en) 1991-06-03 1997-06-18 シャープ株式会社 Semiconductor device and manufacturing method thereof
JP4049978B2 (en) * 1999-09-15 2008-02-20 三星電子株式会社 Metal wiring formation method using plating
US6796481B2 (en) * 2000-01-14 2004-09-28 Toray Engineering Co., Ltd. Chip mounting method
JP2002110717A (en) 2000-10-02 2002-04-12 Sanyo Electric Co Ltd Manufacturing method of circuit device
JP2002343904A (en) 2001-05-21 2002-11-29 Matsushita Electric Ind Co Ltd Semiconductor device
US6750516B2 (en) 2001-10-18 2004-06-15 Hewlett-Packard Development Company, L.P. Systems and methods for electrically isolating portions of wafers
JP4035034B2 (en) * 2002-11-29 2008-01-16 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
DE10345391B3 (en) 2003-09-30 2005-02-17 Infineon Technologies Ag Multi-chip module for a semiconductor device comprises a rewiring arrangement formed as a contact device on the substrate and on a contact protrusion
JP4547164B2 (en) 2004-02-27 2010-09-22 日本特殊陶業株式会社 Wiring board manufacturing method
WO2005093827A1 (en) 2004-03-26 2005-10-06 Fujikura Ltd. Through wiring board and method for producing the same
US7098070B2 (en) * 2004-11-16 2006-08-29 International Business Machines Corporation Device and method for fabricating double-sided SOI wafer scale package with through via connections

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274937B1 (en) * 1999-02-01 2001-08-14 Micron Technology, Inc. Silicon multi-chip module packaging with integrated passive components and method of making
US6730543B2 (en) * 1999-02-08 2004-05-04 Micron Technology, Inc. Methods for multiple die stack apparatus employing
US6836025B2 (en) * 2002-05-31 2004-12-28 Fujitsu Limited Semiconductor device configured to be surface mountable
US20040119166A1 (en) * 2002-11-05 2004-06-24 Masahiro Sunohara Semiconductor device and method of manufacturing the same
US20040113261A1 (en) * 2002-12-03 2004-06-17 Shinko Electric Industries Co., Ltd., Electronic parts packaging structure and method of manufacturing the same
US20040178510A1 (en) * 2003-02-13 2004-09-16 Masahiro Sunohara Electronic parts packaging structure and method of manufacturing the same
US7223634B2 (en) * 2003-07-31 2007-05-29 Seiko Epson Corporation Semiconductor device, method for manufacturing the same, circuit board, and electronic apparatus
US20070007639A1 (en) * 2005-06-24 2007-01-11 Motohiko Fukazawa Semiconductor device, manufacturing method for semiconductor device, and electronic equipment

Cited By (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080265430A1 (en) * 2003-10-30 2008-10-30 Masamichi Ishihara Semiconductor Device an Process for Fabricating the Same
US7944058B2 (en) * 2003-10-30 2011-05-17 Oki Semiconductor Co., Ltd. Semiconductor device and process for fabricating the same
US8093699B2 (en) * 2004-12-22 2012-01-10 Sanyo Electric Co., Ltd. Circuit device with circuit board and semiconductor chip mounted thereon
US20060131746A1 (en) * 2004-12-22 2006-06-22 Sanyo Electric Co., Ltd. Circuit device
US20090240311A1 (en) * 2006-03-14 2009-09-24 Andersen Soeren Ree Computer Controlled Light Therapy Apparatus
US7598618B2 (en) * 2006-03-15 2009-10-06 Oki Semiconductor Co., Ltd. Semiconductor device
US20070216020A1 (en) * 2006-03-15 2007-09-20 Oki Electric Industry Co., Ltd. Semiconductor device
US20080048335A1 (en) * 2006-08-23 2008-02-28 Jae-Won Han Semiconductor device
US9899353B2 (en) 2006-10-10 2018-02-20 Tessera, Inc. Off-chip vias in stacked chips
US8461673B2 (en) 2006-10-10 2013-06-11 Tessera, Inc. Edge connect wafer level stacking
US8476774B2 (en) 2006-10-10 2013-07-02 Tessera, Inc. Off-chip VIAS in stacked chips
US8426957B2 (en) 2006-10-10 2013-04-23 Tessera, Inc. Edge connect wafer level stacking
US8999810B2 (en) 2006-10-10 2015-04-07 Tessera, Inc. Method of making a stacked microelectronic package
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US9048234B2 (en) 2006-10-10 2015-06-02 Tessera, Inc. Off-chip vias in stacked chips
US9378967B2 (en) 2006-10-10 2016-06-28 Tessera, Inc. Method of making a stacked microelectronic package
US8431435B2 (en) 2006-10-10 2013-04-30 Tessera, Inc. Edge connect wafer level stacking
US8076788B2 (en) 2006-10-10 2011-12-13 Tessera, Inc. Off-chip vias in stacked chips
US8513789B2 (en) 2006-10-10 2013-08-20 Tessera, Inc. Edge connect wafer level stacking with leads extending along edges
US8022527B2 (en) 2006-10-10 2011-09-20 Tessera, Inc. Edge connect wafer level stacking
US8653644B2 (en) 2006-11-22 2014-02-18 Tessera, Inc. Packaged semiconductor chips with array
US9548254B2 (en) 2006-11-22 2017-01-17 Tessera, Inc. Packaged semiconductor chips with array
US20080116544A1 (en) * 2006-11-22 2008-05-22 Tessera, Inc. Packaged semiconductor chips with array
US9070678B2 (en) 2006-11-22 2015-06-30 Tessera, Inc. Packaged semiconductor chips with array
US8569876B2 (en) 2006-11-22 2013-10-29 Tessera, Inc. Packaged semiconductor chips with array
US8704347B2 (en) 2006-11-22 2014-04-22 Tessera, Inc. Packaged semiconductor chips
US8110899B2 (en) * 2006-12-20 2012-02-07 Intel Corporation Method for incorporating existing silicon die into 3D integrated stack
US20080150088A1 (en) * 2006-12-20 2008-06-26 Reed Paul A Method for incorporating existing silicon die into 3d integrated stack
US8349654B2 (en) 2006-12-28 2013-01-08 Tessera, Inc. Method of fabricating stacked packages with bridging traces
US7952195B2 (en) 2006-12-28 2011-05-31 Tessera, Inc. Stacked packages with bridging traces
US8310036B2 (en) 2007-03-05 2012-11-13 DigitalOptics Corporation Europe Limited Chips having rear contacts connected by through vias to front contacts
US8735205B2 (en) 2007-03-05 2014-05-27 Invensas Corporation Chips having rear contacts connected by through vias to front contacts
US8405196B2 (en) 2007-03-05 2013-03-26 DigitalOptics Corporation Europe Limited Chips having rear contacts connected by through vias to front contacts
US8883562B2 (en) 2007-07-27 2014-11-11 Tessera, Inc. Reconstituted wafer stack packaging with after-applied pad extensions
US8461672B2 (en) 2007-07-27 2013-06-11 Tessera, Inc. Reconstituted wafer stack packaging with after-applied pad extensions
US8735287B2 (en) 2007-07-31 2014-05-27 Invensas Corp. Semiconductor packaging process using through silicon vias
US8551815B2 (en) 2007-08-03 2013-10-08 Tessera, Inc. Stack packages using reconstituted wafers
US8513794B2 (en) 2007-08-09 2013-08-20 Tessera, Inc. Stacked assembly including plurality of stacked microelectronic elements
US8043895B2 (en) 2007-08-09 2011-10-25 Tessera, Inc. Method of fabricating stacked assembly including plurality of stacked microelectronic elements
US8415783B1 (en) * 2007-10-04 2013-04-09 Xilinx, Inc. Apparatus and methodology for testing stacked die
US20110115085A1 (en) * 2008-03-03 2011-05-19 Panasonic Corporation Semiconductor device and method of fabricating the same
US20090218671A1 (en) * 2008-03-03 2009-09-03 Kimihito Kuwabara Semiconductor device and method of fabricating the same
US8680662B2 (en) 2008-06-16 2014-03-25 Tessera, Inc. Wafer level edge stacking
WO2010104610A2 (en) * 2009-03-13 2010-09-16 Tessera Technologies Hungary Kft. Stacked microelectronic assemblies having vias extending through bond pads
US8466542B2 (en) 2009-03-13 2013-06-18 Tessera, Inc. Stacked microelectronic assemblies having vias extending through bond pads
WO2010104610A3 (en) * 2009-03-13 2011-01-13 Tessera Technologies Hungary Kft. Stacked microelectronic assembly with microelectronic elements having vias extending through bond pads
US9252128B2 (en) 2009-05-07 2016-02-02 Qualcomm Incorporated Panelized backside processing for thin semiconductors
US8294280B2 (en) 2009-05-07 2012-10-23 Qualcomm Incorporated Panelized backside processing for thin semiconductors
WO2010129903A1 (en) * 2009-05-07 2010-11-11 Qualcomm Incorporated Panelized backside processing for thin semiconductors
US20100283160A1 (en) * 2009-05-07 2010-11-11 Qualcomm Incorporated Panelized Backside Processing for Thin Semiconductors
KR101309549B1 (en) * 2009-05-07 2013-09-24 퀄컴 인코포레이티드 Panelized backside processing for thin semiconductors
US8804360B2 (en) 2009-07-30 2014-08-12 Megit Acquisition Corp. System-in packages
US8803332B2 (en) * 2009-09-11 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Delamination resistance of stacked dies in die saw
US20110062592A1 (en) * 2009-09-11 2011-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Delamination Resistance of Stacked Dies in Die Saw
US20170278823A1 (en) * 2009-09-30 2017-09-28 Advanced Semiconductor Engineering, Inc. Package process and package structure
US11222866B2 (en) * 2009-09-30 2022-01-11 Advanced Semiconductor Engineering, Inc. Package process and package structure
CN102074556A (en) * 2009-10-15 2011-05-25 瑞萨电子株式会社 Semiconductor device and manufacturing method thereof
US20110089573A1 (en) * 2009-10-15 2011-04-21 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8349649B2 (en) * 2009-10-15 2013-01-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8446000B2 (en) * 2009-11-24 2013-05-21 Chi-Chih Shen Package structure and package process
US20110121442A1 (en) * 2009-11-24 2011-05-26 Advanced Semiconductor Engineering, Inc. Package structure and package process
TWI392069B (en) * 2009-11-24 2013-04-01 Advanced Semiconductor Eng Package structure and packaging process thereof
US8691625B2 (en) 2009-12-31 2014-04-08 Advanced Semiconductor Engineering, Inc. Method for making a chip package
TWI401752B (en) * 2009-12-31 2013-07-11 Advanced Semiconductor Eng Method for making a chip package
US20110159638A1 (en) * 2009-12-31 2011-06-30 Meng-Jen Wang Method for Making a Chip Package
US20110291287A1 (en) * 2010-05-25 2011-12-01 Xilinx, Inc. Through-silicon vias with low parasitic capacitance
US9136142B2 (en) 2010-07-01 2015-09-15 United Test And Assembly Center Ltd. Semiconductor packages and methods of packaging semiconductor devices
US9881863B2 (en) 2010-07-01 2018-01-30 UTAC Headquarters Pte. Ltd. Semiconductor packages and methods of packaging semiconductor devices
US20120001306A1 (en) * 2010-07-01 2012-01-05 United Test And Assembly Center Ltd. Semiconductor packages and methods of packaging semiconductor devices
US8716873B2 (en) * 2010-07-01 2014-05-06 United Test And Assembly Center Ltd. Semiconductor packages and methods of packaging semiconductor devices
US9640437B2 (en) 2010-07-23 2017-05-02 Tessera, Inc. Methods of forming semiconductor elements using micro-abrasive particle stream
US8791575B2 (en) 2010-07-23 2014-07-29 Tessera, Inc. Microelectronic elements having metallic pads overlying vias
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8835223B2 (en) 2010-09-16 2014-09-16 Tessera, Inc. Chip assembly having via interconnects joined by plating
US8685793B2 (en) 2010-09-16 2014-04-01 Tessera, Inc. Chip assembly having via interconnects joined by plating
US8686565B2 (en) 2010-09-16 2014-04-01 Tessera, Inc. Stacked chip assembly having vertical vias
US10354942B2 (en) 2010-09-17 2019-07-16 Tessera, Inc. Staged via formation from both sides of chip
US9355948B2 (en) 2010-09-17 2016-05-31 Tessera, Inc. Multi-function and shielded 3D interconnects
US9362203B2 (en) 2010-09-17 2016-06-07 Tessera, Inc. Staged via formation from both sides of chip
US8610259B2 (en) 2010-09-17 2013-12-17 Tessera, Inc. Multi-function and shielded 3D interconnects
US9847277B2 (en) 2010-09-17 2017-12-19 Tessera, Inc. Staged via formation from both sides of chip
US8847380B2 (en) 2010-09-17 2014-09-30 Tessera, Inc. Staged via formation from both sides of chip
US8809190B2 (en) 2010-09-17 2014-08-19 Tessera, Inc. Multi-function and shielded 3D interconnects
US9368476B2 (en) 2010-12-02 2016-06-14 Tessera, Inc. Stacked microelectronic assembly with TSVs formed in stages with plural active chips
WO2012074570A3 (en) * 2010-12-02 2012-09-27 Tessera, Inc Stacked microelectronic assembly with tsvs formed in stages and carrier above chip
US9269692B2 (en) 2010-12-02 2016-02-23 Tessera, Inc. Stacked microelectronic assembly with TSVS formed in stages and carrier above chip
US9099296B2 (en) 2010-12-02 2015-08-04 Tessera, Inc. Stacked microelectronic assembly with TSVS formed in stages with plural active chips
US8587126B2 (en) 2010-12-02 2013-11-19 Tessera, Inc. Stacked microelectronic assembly with TSVs formed in stages with plural active chips
US9620437B2 (en) 2010-12-02 2017-04-11 Tessera, Inc. Stacked microelectronic assembly with TSVS formed in stages and carrier above chip
US8637968B2 (en) 2010-12-02 2014-01-28 Tessera, Inc. Stacked microelectronic assembly having interposer connecting active chips
US8736066B2 (en) 2010-12-02 2014-05-27 Tessera, Inc. Stacked microelectronic assemby with TSVS formed in stages and carrier above chip
US9224649B2 (en) 2010-12-08 2015-12-29 Tessera, Inc. Compliant interconnects in wafers
US8796828B2 (en) 2010-12-08 2014-08-05 Tessera, Inc. Compliant interconnects in wafers
US8610264B2 (en) 2010-12-08 2013-12-17 Tessera, Inc. Compliant interconnects in wafers
US20120153509A1 (en) * 2010-12-16 2012-06-21 Shinko Electric Industries Co., Ltd. Semiconductor package and manufacturing method therefor
US9299678B2 (en) * 2010-12-16 2016-03-29 Shinko Electric Industries Co., Ltd. Semiconductor package and manufacturing method therefor
US8519515B2 (en) 2011-04-13 2013-08-27 United Microlectronics Corp. TSV structure and method for forming the same
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US9391046B2 (en) * 2011-05-20 2016-07-12 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming 3D semiconductor package with semiconductor die stacked over semiconductor wafer
US20120292745A1 (en) * 2011-05-20 2012-11-22 Stats Chippac, Ltd. Semiconductor Device and Method of Forming 3D Semiconductor Package with Semiconductor Die Stacked Over Semiconductor Wafer
US8822336B2 (en) 2011-06-16 2014-09-02 United Microelectronics Corp. Through-silicon via forming method
US20130001802A1 (en) * 2011-07-01 2013-01-03 Elpida Memory, Inc. Semiconductor device including insulating resin film provided in a space between semiconductor chips
US8828745B2 (en) 2011-07-06 2014-09-09 United Microelectronics Corp. Method for manufacturing through-silicon via
WO2013021251A1 (en) * 2011-08-09 2013-02-14 Soitec Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
US9418876B2 (en) 2011-09-02 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of three dimensional integrated circuit assembly
US9859181B2 (en) 2011-09-02 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill dispensing in 3D IC using metrology
US8841755B2 (en) 2011-12-23 2014-09-23 United Microelectronics Corp. Through silicon via and method of forming the same
US8518823B2 (en) 2011-12-23 2013-08-27 United Microelectronics Corp. Through silicon via and method of forming the same
US8609529B2 (en) 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
US9917066B2 (en) 2012-02-09 2018-03-13 Panasonic Corporation Semiconductor device having stacked chips, a re-distribution layer, and penetration electrodes
US8691600B2 (en) 2012-05-02 2014-04-08 United Microelectronics Corp. Method for testing through-silicon-via (TSV) structures
US8691688B2 (en) 2012-06-18 2014-04-08 United Microelectronics Corp. Method of manufacturing semiconductor structure
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
US10199273B2 (en) 2012-06-19 2019-02-05 United Microelectronics Corp. Method for forming semiconductor device with through silicon via
US9312208B2 (en) 2012-06-21 2016-04-12 United Microelectronics Corp. Through silicon via structure
US8900996B2 (en) 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US8525296B1 (en) 2012-06-26 2013-09-03 United Microelectronics Corp. Capacitor structure and method of forming the same
DE102012108522A1 (en) * 2012-09-12 2014-03-13 Ams Ag Method for manufacturing semiconductor stack for stacking semiconductor chips with components for three-dimensional integration of electronic circuit, involves arranging through-contacts in aperture after connecting stack components
US8912844B2 (en) 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
US9391043B2 (en) 2012-11-20 2016-07-12 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US11527496B2 (en) 2012-11-20 2022-12-13 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor device comprising semiconductor die and interposer and manufacturing method thereof
US10679952B2 (en) 2012-11-20 2020-06-09 Amkor Technology, Inc. Semiconductor device having an encapsulated front side and interposer and manufacturing method thereof
US9728514B2 (en) 2012-11-20 2017-08-08 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
US8716104B1 (en) 2012-12-20 2014-05-06 United Microelectronics Corp. Method of fabricating isolation structure
US9543242B1 (en) 2013-01-29 2017-01-10 Amkor Technology, Inc. Semiconductor package and fabricating method thereof
US9852976B2 (en) 2013-01-29 2017-12-26 Amkor Technology, Inc. Semiconductor package and fabricating method thereof
US20140246782A1 (en) * 2013-03-04 2014-09-04 Jiyoung Kim Semiconductor devices and methods for fabricating the same
US9184136B2 (en) * 2013-03-04 2015-11-10 Samsung Electronics Co., Ltd. Semiconductor devices and methods for fabricating the same
CN104037125A (en) * 2013-03-04 2014-09-10 三星电子株式会社 Semiconductor Devices And Methods For Fabricating The Same
US9449946B2 (en) * 2013-03-22 2016-09-20 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US20140284785A1 (en) * 2013-03-22 2014-09-25 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US8884398B2 (en) 2013-04-01 2014-11-11 United Microelectronics Corp. Anti-fuse structure and programming method thereof
US9287173B2 (en) 2013-05-23 2016-03-15 United Microelectronics Corp. Through silicon via and process thereof
US9123730B2 (en) 2013-07-11 2015-09-01 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
US9024416B2 (en) 2013-08-12 2015-05-05 United Microelectronics Corp. Semiconductor structure
US8916471B1 (en) 2013-08-26 2014-12-23 United Microelectronics Corp. Method for forming semiconductor structure having through silicon via for signal and shielding structure
US9048223B2 (en) 2013-09-03 2015-06-02 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
US9117804B2 (en) 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US10192816B2 (en) 2013-11-19 2019-01-29 Amkor Technology, Inc. Semiconductor package and fabricating method thereof
US11652038B2 (en) 2013-11-19 2023-05-16 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor package with front side and back side redistribution structures and fabricating method thereof
US10943858B2 (en) 2013-11-19 2021-03-09 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor package and fabricating method thereof
US9343359B2 (en) 2013-12-25 2016-05-17 United Microelectronics Corp. Integrated structure and method for fabricating the same
US10685907B2 (en) 2014-02-07 2020-06-16 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
US10340203B2 (en) 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
US9583460B2 (en) 2014-02-14 2017-02-28 Qualcomm Incorporated Integrated device comprising stacked dies on redistribution layers
US9123738B1 (en) 2014-05-16 2015-09-01 Xilinx, Inc. Transmission line via structure
US11114479B2 (en) * 2015-03-18 2021-09-07 International Business Machines Corporation Optoelectronics and CMOS integration on GOI substrate
US10784292B2 (en) * 2015-03-18 2020-09-22 International Business Machines Corporation Optoelectronics and CMOS integration on GOI substrate
US20190214413A1 (en) * 2015-03-18 2019-07-11 International Business Machines Corporation Optoelectronics and cmos integration on goi substrate
US11355475B2 (en) * 2015-11-24 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and bonding methods and structures formed thereby
US11037904B2 (en) 2015-11-24 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and bonding methods and structures formed thereby
US20220310565A1 (en) * 2015-11-24 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Singulation and Bonding Methods and Structures Formed Thereby
US20180350778A1 (en) * 2015-11-24 2018-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and Bonding Methods and Structures Formed Thereby
US10784422B2 (en) 2016-09-06 2020-09-22 Amkor Technology, Inc. Semiconductor device with optically-transmissive layer and manufacturing method thereof
US11942581B2 (en) 2016-09-06 2024-03-26 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor device with transmissive layer and manufacturing method thereof
US9960328B2 (en) 2016-09-06 2018-05-01 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US10490716B2 (en) 2016-09-06 2019-11-26 Amkor Technology, Inc. Semiconductor device with optically-transmissive layer and manufacturing method thereof
US11437552B2 (en) 2016-09-06 2022-09-06 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor device with transmissive layer and manufacturing method thereof
DE102017122831B4 (en) 2016-11-14 2022-12-08 Taiwan Semiconductor Manufacturing Co. Ltd. Housing structures and training procedures
US9865567B1 (en) * 2017-02-02 2018-01-09 Xilinx, Inc. Heterogeneous integration of integrated circuit device and companion device
US10510725B2 (en) * 2017-09-15 2019-12-17 Toshiba Memory Corporation Semiconductor device
US20190088625A1 (en) * 2017-09-15 2019-03-21 Toshiba Memory Corporation Semiconductor device
US10658338B2 (en) 2018-02-28 2020-05-19 Toshiba Memory Corporation Semiconductor device including a re-interconnection layer and method for manufacturing same
US11862605B2 (en) 2018-06-15 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of forming same
US11309289B2 (en) 2018-06-15 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having heat dissipation structure
US11309223B2 (en) 2018-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having dummy devices on a first die
DE102018116729B3 (en) * 2018-06-29 2019-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method
US11721598B2 (en) 2018-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on an upper die
CN110660783A (en) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 Semiconductor device package and method
US10672674B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US11227826B2 (en) 2018-09-25 2022-01-18 Kabushiki Kaisha Toshiba Semiconductor device having chip stacked and molded
US11923287B2 (en) 2018-09-25 2024-03-05 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device having chip stacked and molded
CN113544827A (en) * 2021-05-21 2021-10-22 广东省科学院半导体研究所 Chip packaging method and chip packaging structure

Also Published As

Publication number Publication date
US8395269B2 (en) 2013-03-12
US20100144091A1 (en) 2010-06-10
CN1976014B (en) 2011-03-23
CN1976014A (en) 2007-06-06

Similar Documents

Publication Publication Date Title
US8395269B2 (en) Method of stacking semiconductor chips including forming an interconnect member and a through electrode
US9418970B2 (en) Redistribution layers for microfeature workpieces, and associated systems and methods
US8564101B2 (en) Semiconductor apparatus having a through-hole interconnection
US10978346B2 (en) Conductive vias in semiconductor packages and methods of forming same
US11264343B2 (en) Bond pad structure for semiconductor device and method of forming same
JP2007180529A (en) Semiconductor device and method of manufacturing the same
US11664336B2 (en) Bonding structure and method of forming same
CN111799227B (en) Semiconductor device and method of forming the same
CN112420643A (en) Semiconductor structure and manufacturing method thereof
KR101887262B1 (en) Structure and formation method for chip package
JP2004327910A (en) Semiconductor device and its manufacturing method
KR102480685B1 (en) Semiconductor devices and methods of manufacture
TWI790503B (en) Integrated circuit package and method of forming same
US20230207472A1 (en) Semiconductor package and manufacturing method of semiconductor package
CN113517221B (en) Semiconductor structure and forming method thereof
US10290605B2 (en) Fan-out package structure and method for forming the same
CN112151482A (en) Semiconductor device with a plurality of semiconductor chips
US11810793B2 (en) Semiconductor packages and methods of forming same
KR20230124459A (en) Integrated circuit package and method
CN115132675A (en) Integrated circuit package and method
US11239180B2 (en) Structure and formation method of package structure with stacked semiconductor dies
TWI758129B (en) Semiconductor packages
CN115172233A (en) Pickup device and method of using the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: NEC ELECTRONICS CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KAWANO, MASAYA;SOEJIMA, KOJI;TAKAHASHI, NOBUAKI;AND OTHERS;REEL/FRAME:018632/0452

Effective date: 20061115

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION