US20070148990A1 - Methods and apparatus for forming a high dielectric film and the dielectric film formed thereby - Google Patents

Methods and apparatus for forming a high dielectric film and the dielectric film formed thereby Download PDF

Info

Publication number
US20070148990A1
US20070148990A1 US11/706,447 US70644707A US2007148990A1 US 20070148990 A1 US20070148990 A1 US 20070148990A1 US 70644707 A US70644707 A US 70644707A US 2007148990 A1 US2007148990 A1 US 2007148990A1
Authority
US
United States
Prior art keywords
high dielectric
oxide film
dielectric oxide
oxygen
atomic oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/706,447
Inventor
Scott DeBoer
Randhir Thakur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/706,447 priority Critical patent/US20070148990A1/en
Publication of US20070148990A1 publication Critical patent/US20070148990A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer

Definitions

  • the present invention pertains to high dielectric constant films. More particularly, the present invention relates to methods and apparatus for forming high dielectric constant films utilizing the incorporation of atomic oxygen during the formation of such films.
  • dielectric films have been formed in the past during the fabrication of semiconductor devices.
  • films such as silicon dioxide and silicon nitride have been used for dielectric films in the formation of capacitors, such as for memory devices, including dynamic random access memories and static random access memories.
  • Such films typically have small leakage currents associated therewith.
  • post-deposition oxidation of such impurities results in a fabrication step generally not applicable to other dielectric films such as silicon dioxide and silicon nitride.
  • post-deposition oxygen anneal in addition to reducing throughput of devices also increases the thermal budget for fabrication of the devices.
  • a method of forming a high dielectric oxide film conventionally formed using a post-formation oxygen anneal to reduce the leakage current of such film is described.
  • the method in accordance with the present invention includes forming a high dielectric oxide film on a surface.
  • the high dielectric oxide film has a dielectric constant greater than about 4.
  • the high dielectric oxide film includes a plurality of oxygen vacancies as the film is formed.
  • the high dielectric oxide film is exposed to an amount of atomic oxygen during formation thereof sufficient for reducing the number of oxygen vacancies and eliminating the post-formation oxygen anneal of the formed high dielectric oxide film.
  • the amount of atomic oxygen to which the high dielectric oxide film is exposed during formation thereof is controlled as a function of the amount of oxygen incorporated into the high dielectric oxide film. In another embodiment of the method, the amount of atomic oxygen is controlled as a function of the concentration of atomic oxygen in a process chamber used for formation of the high dielectric oxide film.
  • the atomic oxygen is provided by at least one of O 3 , NO, and N 2 O.
  • the atomic oxygen may be provided by generation of a plasma from at least one of O 3 , NO, N 2 O, or O 2 . Ionized atomic oxygen generated by the plasma may be attracted to the surface for incorporation in the high dielectric oxide film by biasing the surface. Further, the plasma may be generated remotely of the surface upon which the high dielectric film is formed or in proximity to the surface.
  • the high dielectric film may include Ta 2 O 5 , Ba x Sr 1-x TiO 3 , Y 2 O 3 , TiO 2 , HfO 2 , PZT, PLZT, or SBT.
  • the atomic oxygen utilized for exposing the high dielectric oxide film may be exposed to a heat source.
  • an amount of atomic oxygen for use in the formation of the film on a surface is provided.
  • the high dielectric oxide film has a dielectric constant greater than about 4.
  • a vaporized precursor is also provided for use in the formation of the film.
  • the high dielectric oxide film is then formed using the atomic oxygen and the vaporized precursor.
  • the amount of atomic oxygen is controlled as a function of the amount of atomic oxygen necessary to reduce the leakage current levels to below a predetermined level.
  • atomic oxygen is provided for use in the formation of a Ta 2 O 5 film on a surface.
  • a vaporized tantalum precursor is also provided for forming the film.
  • the Ta 2 O 5 film is formed using the atomic oxygen and the vaporized tantalum precursor while simultaneously performing an in situ oxygen anneal of the film.
  • the precursor is a carbon-free solid precursor.
  • the apparatus includes a controllable atomic oxygen source and a vaporized precursor source.
  • a deposition chamber for receiving the atomic oxygen from the atomic oxygen source and vaporized precursor from the vaporized precursor source is utilized for locating a structure therein for deposition of the high dielectric oxide film on a surface thereof.
  • the high dielectric oxide film has a dielectric constant greater than about 4.
  • the apparatus further includes a detection mechanism for detecting a characteristic of the deposition of the high dielectric oxide film on the surface of the structure.
  • the controllable atomic oxygen source is controlled as a function of the detected characteristic.
  • a high dielectric oxide film is provided.
  • the high dielectric oxide film includes one of Ta 2 O 5 , Ba x Sr 1-x TiO 3 , Y 2 O 3 , TiO 2 , HfO 2 , PZT, PLZT, and SBT.
  • the dielectric film is formed by depositing the high dielectric oxide film on a surface while exposing the high dielectric oxide film during formation thereof to a concentration of atomic oxygen sufficient for reducing oxygen vacancies therein and sufficient to eliminate a post-formation oxygen anneal of the high dielectric oxide film.
  • the film is deposited on an electrode of a capacitor in a semiconductor memory device.
  • FIG. 1 is a general illustration of a portion of a device structure including a high dielectric oxide film formed in accordance with the present invention.
  • FIG. 2 is a block illustration of an apparatus for use in depositing high dielectric oxide films in accordance with the present invention.
  • FIG. 3 is a block illustration of an alternate configuration of the apparatus of FIG. 2 in accordance with the present invention.
  • FIG. 4 is a block illustration of an alternate configuration of the apparatus of FIG. 2 in accordance with the present invention.
  • FIG. 5 is an alternate configuration of the apparatus as shown in FIG. 2 , further including a detection and control mechanism in accordance with the present invention.
  • FIGS. 1 and 2 The present invention shall be described with reference to FIGS. 1 and 2 . Thereafter, additional embodiments of the present invention shall be further described with reference to FIGS. 3-5 .
  • FIG. 1 is an illustration of a portion 10 of a device structure, such as a portion of a capacitor, gate dielectric, or other device structure, which includes a high dielectric film 14 .
  • the device structure may be a portion of a memory device, such as a dynamic random access memory.
  • the portion 10 includes a layer or film 12 of the device structure 10 having a surface 16 .
  • the layer or film 12 can be any material utilized in the fabrication of semiconductor devices. For example, if the device structure is a random access memory and the portion 10 is part of a capacitor, the layer 12 is an electrode.
  • Such an electrode may be either a smooth or a rugged electrode and, further, the electrode may be of any conducting material, such as a metal, a semiconductor, a semi-metal, or any combination thereof, i.e., a stack containing one or more such electrode materials.
  • a conducting material such as a metal, a semiconductor, a semi-metal, or any combination thereof, i.e., a stack containing one or more such electrode materials.
  • Ta 2 O 5 deposition using a TaF 5 precursor may be formed on polysilicon, crystalline silicon, hemispherical grain polysilicon, germanium, or silicon-germanium, WSi x , or TiN.
  • Such electrodes may be treated by rapid thermal anneal in an oxygen and/or nitrogen atmosphere. After formation of the high dielectric film, a top electrode is formed as part of the capacitor as known to one skilled in the art.
  • the layer or film 12 may be representative of a semiconductor substrate, such as silicon.
  • Semiconductor substrate refers to the base semiconductor layer, e.g., the lowest layer of silicon material on a wafer or a silicon layer deposited on another material such as silicon on sapphire.
  • semiconductor substrate assembly refers to a part of a device structure including a semiconductor substrate having one or more layers, films or structures formed thereon.
  • the portion 10 of the device structure further includes a high dielectric oxide film 14 formed on surface 16 of the layer or film 12 in accordance with the present invention.
  • the high dielectric oxide film 14 may include any film having a dielectric constant (e) greater than about 4.
  • the high dielectric oxide film 14 may be Ta 2 O 5 , Ba x Sr 1-x TiO 3 , SrTiO 3 , Y 2 O 3 , TiO 2 , HfO 2 , PZT (lead zirconate titanate), PLZT (lanthanum-doped lead zirconate titanate), SBT (strontium bismuth titanate), BST (barium strontium titanate), or any other high dielectric oxide film formed with a low oxygen content such that oxygen vacancies therein are present when such films are formed utilizing conventional formation methods.
  • such conventional formation methods include high dielectric formation methods using O 2 as a source gas and many of which require post-deposition anneals in an oxygen ambient in order to eliminate or reduce these vacancies.
  • Such oxygen vacancies using current deposition methods result in higher than normal leakage current levels for such high dielectric oxide films.
  • oxygen vacancies are a result of the impurities carbon and hydrogen remaining in the film after deposition thereof.
  • the high dielectric oxide film 14 formed in accordance with the present invention eliminates the oxygen vacancies during the formation of the high dielectric oxide film 14 .
  • the film 14 undergoes an in situ oxygen anneal simultaneously with the formation of the film.
  • Atomic oxygen is utilized during formation of the high dielectric oxide film to fill the oxygen vacancies as the film is formed.
  • Such elimination of the oxygen vacancies produces a high dielectric oxide film which is more stoichiometric and impurity-free and therefore has lower leakage current levels.
  • Excess atomic oxygen is incorporated into the high dielectric oxide film during formation thereof through the use of atomic oxygen containing sources such as O 3 , N 2 O, NO, as well as atomic oxygen provided in other manners as described below.
  • the atomic oxygen is incorporated into the film in a concentration sufficient to eliminate the need for post-formation oxygen anneals, as typically required in conventional deposition of such high dielectric oxide films.
  • the high dielectric oxide film 14 may be part of a stack of other dielectric films, i.e., a stack of one or more of Ta 2 O 5 , TiO 2 , or Si 3 N 4 . In such a configuration, an anneal of all the layers may still be necessary to reduce the leakage current depending upon the films utilized in such a stack.
  • the present invention is particularly described with respect to the formation of a Ta 2 O 5 high dielectric oxide film, other high dielectric constant oxide films have similar leakage current level problems.
  • the present invention is therefore beneficial not only for the Ta 2 O 5 film, but for any other such high dielectric oxide film having oxygen vacancies or low oxygen content when formed in conventional manners. Therefore, the present invention is not limited to the Ta 2 O 5 film but is limited only in accordance with the present invention as described in the accompanying claims.
  • Apparatus 20 includes process chamber 22 and a device structure 15 located therein on device structure holder 17 .
  • the process chamber 22 further includes vacuum pump 24 for evacuating the chamber and a heat source 26 , such as an ultraviolet (UV) or microwave radiation source directed into the process chamber 22 for use in providing atomic oxygen using ozone, i.e., for example. UV ozone treatment.
  • the process chamber 22 may be any conventional chamber utilized for the formation of films in the fabrication of semiconductor devices.
  • the process chamber 22 is representative of various CVD process chambers including, but not limited to, hot wall or cold wall reactors, atmospheric or reduced pressure reactors, as well as plasma enhanced reactors. Therefore, the present invention contemplates deposition of the films in accordance with the present invention utilizing low pressure CVD (LPCVD), physical vapor deposition (PVD), plasma enhanced CVD (PECVD), and reduced thermal CVD (RTCVD). Further, the present invention may be applicable or used with other sputtering processes for forming high dielectric oxide films.
  • LPCVD low pressure CVD
  • PVD physical vapor deposition
  • PECVD plasma enhanced CVD
  • RTCVD reduced thermal CVD
  • the present invention may be applicable or used with other sputtering processes for forming high dielectric oxide films.
  • Apparatus 20 for depositing the high dielectric oxide film 14 further includes controllable atomic oxygen source 27 and controllable vaporized precursor source 29 .
  • Controllable atomic oxygen source 27 includes atomic oxygen source 28 and a mass flow controller 32 .
  • the mass flow controller 32 may be any commercially available flow controller utilized for controlling a gas flow.
  • the mass flow controller 32 controls the flow of atomic oxygen from atomic oxygen source 28 via gas line 40 into the process chamber 22 .
  • Atomic oxygen source 28 may include any atomic oxygen containing source, such as O 3 , N 2 O, NO, or any combination thereof.
  • the controllable vaporized precursor source 29 includes carrier gas source 30 , mass flow controller 34 , and precursor source 36 .
  • the mass flow controller 34 which may be any flow controller for controlling gas flow, is utilized to control the flow of an inert gas such as, for example, Ar, N 2 , He, H 2 , N 2 O, NO, provided from carrier gas source 30 .
  • the carrier gas utilized is used to generate and/or move vaporized precursor from precursor source 36 through gas line 42 into the process chamber 22 .
  • the controlled vaporized precursor source 29 may include carrier gas source 30 , mass flow controller 34 , and precursor source 36 , the controllable vaporized precursor source 29 may be of any configuration suitable for providing one or more vaporized precursors for formation of the desired high dielectric oxide film into process chamber 22 .
  • the controlled vaporized precursor source 29 may include a liquid source or a solid source vaporized in any particular manner including, but in no manner limited to, solid sublimation, bubbler delivery, flash vaporization of solid particles or microdroplets.
  • solid precursors utilized may include TaF 5 , TaCl 5 , or other tantalum halides for depositing Ta 2 O 5 .
  • Other nonorganic solid precursors are also available for forming BST, PZT, PLZT, etc.
  • Liquid precursors utilized may include Ta(OC 2 H 5 ) 5 or any other organometallic liquids containing tantalum for forming Ta 2 O 5 .
  • any vaporized precursor suitable for use in forming the desired high dielectric film 14 in process chamber 22 may be utilized.
  • controllable atomic oxygen source 27 provides an excess of atomic oxygen during formation of the high dielectric oxide film typically having oxygen vacancies and higher leakage current levels. As such, the high dielectric oxide film 14 is then formed with oxygen vacancies being filled as the high dielectric oxide film 14 is formed.
  • concentration or amount of atomic oxygen necessary in the process chamber 22 depends upon the type of high dielectric film 14 being formed.
  • the deposition process may be performed in either single wafer or batch type systems. Further, it should be apparent that the deposition process may be clustered with an in situ preclean and/or a post deposition conditioning chamber, i.e., for example, ultraviolet ozone conditioning, O 3 plasma conditioning, dry oxidation in O 2 , O 3 , N 2 O, or NO conditioning.
  • a post deposition conditioning chamber i.e., for example, ultraviolet ozone conditioning, O 3 plasma conditioning, dry oxidation in O 2 , O 3 , N 2 O, or NO conditioning.
  • the apparatus 20 may be similar to the cold wall type LPCVD apparatus as described in the article entitled, “Leakage Current Mechanisms of Amorphous and Polycrystalline Ta 2 O 5 Films Grown by Chemical Vapor Deposition,” by Aoyama et al., J. Electrochem. Soc ., Vol. 143, No. 3, March 1996 which is incorporated in its entirety herein by reference thereto.
  • the controllable atomic oxygen source 27 may include any of the oxygen containing species described above or any combination thereof.
  • the controllable vaporized precursor source 29 may, for example, in the deposition of a Ta 2 O 5 film include a liquid precursor source 36 of Ta(OC 2 H 5 ) 5 with the mass flow controller 34 controlling an argon carrier gas for bubbling through the liquid precursor source 36 providing a vaporized precursor or reactant gas for deposition of Ta 2 O 5 utilizing the process chamber 22 .
  • argon gas is introduced into the Ta(OC 2 H 5 ) 5 liquid maintained at about 160° C.
  • the atomic oxygen and the Ta(OC 2 H 5 ) 5 with argon carrier are then introduced simultaneously into the reaction chamber through gas lines which are heated to 180° C. In the cold wall chamber, the substrate is heated to, for example, 400° C.
  • the film formed may be amorphous, crystalline, or polycrystalline depending upon other parameters of the deposition apparatus.
  • temperature and pressure changes may produce an amorphous film as opposed to a partially crystalline or crystalline film.
  • the present invention is in no manner limited to any particular structural configuration for the film, such as amorphous or polycrystalline, but is limited only in accordance with the present claims. Further, various pressures, temperatures, and other deposition process parameters may be utilized to generate the desired film in accordance with the present invention and the present invention is not limited to any particular process parameters.
  • Ta 2 O 5 films are typically deposited by LPCVD or PECVD using an organometallic precursor such as the Ta(OC 2 H 5 ) 5 which has a fairly low vapor pressure of about 200 mTorr at 85° C.
  • the LPCVD process leads to extremely good step coverage and makes the process viable for memory cell dielectric formation.
  • a large amount of carbon is incorporated into the dielectric film.
  • the carbon comes from the precursor and results in higher leakage currents for the films conventionally deposited.
  • In situ incorporation of atomic oxygen during the formation of the dielectric film as described above reduces the leakage current.
  • the combination of a solid carbon-free or nonorganic precursor, with in situ incorporation of atomic oxygen is utilized as described below.
  • a LPCVD process can be performed utilizing a solid carbon-free precursor such as TaF 5 , TaCl 5 , or other tantalum halides along with atomic oxygen incorporation as described herein.
  • the LPCVD process may be performed at a deposition pressure of about 25 mTorr to about 10 Torr and at a temperature of about 250° C. to about 700° C.
  • the solid precursor can be vaporized and provided to the deposition chamber in various manners, such as for example, heating a TaF 5 solid source to greater than about 70° C.
  • a carrier gas such as, for example, Ar, N 2 , He, H 2 , N 2 O, or NO.
  • the atomic oxygen, or oxygen source can then be provided using O 3 , N 2 O, NO, O 2 or any combination thereof and in any manner described herein.
  • FIG. 3 is an alternate configuration of an apparatus 50 for forming the high dielectric oxide film 14 in accordance with the present invention.
  • the apparatus 50 includes substantially the same elements or components as the apparatus 20 described with reference to FIG. 2 .
  • the controlled atomic oxygen source 27 is replaced with controlled atomic oxygen source 51 .
  • the controlled atomic oxygen source 51 includes an oxygen source 52 , a mass flow controller 54 , and an oxygen plasma generator 56 .
  • the atomic oxygen is provided to the process chamber from the oxygen plasma generator 56 .
  • the oxygen plasma generator 56 functions as an atomic oxygen source by generating a plasma from the oxygen containing source 52 .
  • the oxygen plasma generator 56 may be remote from the process chamber 22 as shown in FIG. 3 , or may be such as to provide a plasma in proximity to the device structure 15 , i.e., in the process chamber with the wafer.
  • Oxygen source 52 may include O 3 , N 2 O, NO, O 2 or any combination thereof.
  • the oxygen containing source 52 is provided to the oxygen plasma generator 56 by any commercially available mass flow controller 54 .
  • an oxygen plasma may be generated utilizing an O 2 source provided to a 13.56 MHz RF generator at a pressure of 0.3 torr, a temperature of 400° C., and an RF power of 0.35 W/cm 2 .
  • the parameters for the oxygen plasma generator are dependent upon the oxygen containing source utilized and the amount of atomic oxygen to be delivered to the process chamber.
  • Various pressures, temperatures, power levels and generators may be utilized to generate the oxygen plasma and the present invention is not limited to any particular configuration for generating the oxygen plasma.
  • a power source 59 for biasing the device structure 15 on device structure holder 17 .
  • the power source may be ⁇ 50 volts DC.
  • a combination of a plasma source 51 such as shown in FIG. 3 and an atomic oxygen source 29 such as shown in FIG. 2 may be used in combination to provide the necessary atomic oxygen in the process chamber 22 .
  • FIG. 4 is substantially equivalent to the apparatus 20 as shown in FIG. 2 .
  • the apparatus 60 further includes a premixer unit 64 such that the vaporized precursor and the atomic oxygen provided by the controlled atomic oxygen source 27 and the controlled vaporized precursor source 29 are premixed in the premixer unit 64 prior to transfer into the process chamber 22 .
  • the atomic oxygen may be more evenly distributed in the vaporized precursor such that a more efficient filling of the oxygen vacancies typically contained in the high dielectric oxide film 14 are filled.
  • the premixer 64 may also be utilized with the atomic oxygen provided from the oxygen plasma generator 56 in the alternate configuration shown in FIG. 3 .
  • FIG. 5 shows the apparatus 20 for forming the high dielectric oxide film 14 in accordance with the present invention and, in addition, a block illustration of a detection and control apparatus 90 for maintaining a desired atomic oxygen concentration in the processing chamber 22 .
  • the detection and control apparatus 90 includes a detection device 92 and a controller 94 .
  • the controller 94 may be any controller apparatus, such as a processing unit and software associated therewith, or a control logic circuit for generating a command output to the controlled atomic oxygen source 27 for controlling the concentration of atomic oxygen in processing chamber 22 .
  • the command output to the controlled atomic oxygen source 27 is generated by the controller 94 in response to a signal generated by detection device 92 based on a characteristic of the formation process of the high dielectric oxide film 14 .
  • the controller 94 is in no manner limited to any processor, any particular logic or software, or any particular configuration but is limited only as defined in the accompanying claims.
  • Detection device 92 may be any apparatus for sensing a parameter of a high dielectric film formation process characteristic of the filling of oxygen vacancies within the high dielectric oxide film 14 being formed.
  • detection device 92 may be for detecting the concentration of atomic oxygen in the processing chamber 22 .
  • the detection device 92 may be for detecting the amount of oxygen incorporated in the high dielectric oxide film 14 , and thus representative of the number of vacancies within the film filled so as to reduce the leakage current of the film 14 .
  • the detection and control apparatus 90 may be any apparatus for performing ellipsometry utilizing a light source directed at the surface of the device structure 15 and a detector for detecting the reflected light therefrom.
  • the reflected light is utilized to determine the amount of oxygen incorporated in the high dielectric oxide film being formed.
  • the controller 94 with the appropriate spectroscopic software can determine the oxygen content and generate a command for control of, for example, the mass flow controller 32 in order to increase or decrease the atomic oxygen in the processing chamber 22 .
  • the detection and control apparatus 90 may include an apparatus for performing Raman spectroscopy which may be utilized to determine the amount of oxygen incorporated in the high dielectric oxide film 14 and further utilized to determine the structure of the film, i.e., whether the film is amorphous or crystalline.
  • Raman spectroscopy may be utilized to determine the amount of oxygen incorporated in the high dielectric oxide film 14 and further utilized to determine the structure of the film, i.e., whether the film is amorphous or crystalline.
  • a command signal may be generated to control the atomic oxygen as previously described or, further, may be utilized to control any other parameter of the apparatus 20 such that the structure of the film is controlled as oxygen vacancies in the film are filled.
  • the concentration of the atomic oxygen in the processing chamber may be detected as opposed to the oxygen in the high dielectric oxide film 14 .
  • a commercially available residual gas analyzer may be utilized. Such an analyzer typically includes a light source for generating light for impingement on the materials in the process chamber 22 . A detector of the analyzer may then detect the scattered light and provide an output signal which can be analyzed by the appropriate spectroscopic software to determine oxygen concentration in the processing chamber 22 . The controlled atomic oxygen source 27 may then be controlled as a function of the amount of atomic oxygen detected in the processing chamber 22 .
  • detection and control apparatus 90 may include any of the devices described above or a combination thereof. Further, other spectroscopic detection devices or gas analysis devices typically utilized for detecting concentrations and structures in films and in sample containers may be utilized in conjunction with the present invention. The present invention is not limited to those listed herein, but is limited only as described in the accompanying claims.

Abstract

A method of forming a high dielectric oxide film conventionally formed using a post formation oxygen anneal to reduce the leakage current of such film includes forming a high dielectric oxide film on a surface. The high dielectric oxide film has a dielectric constant greater than about 4 and includes a plurality of oxygen vacancies present during the formation of the film. The high dielectric oxide film is exposed during the formation thereof to an amount of atomic oxygen sufficient for reducing the number of oxygen vacancies and eliminating the post formation oxygen anneal of the high dielectric oxide film. Further, the amount of atomic oxygen used in the formation method may be controlled as a function of the amount of oxygen incorporated into the high dielectric oxide film during the formation thereof or be controlled as a function of the concentration of atomic oxygen in a process chamber in which the high dielectric oxide film is being formed. An apparatus for forming the high dielectric oxide film is also described.

Description

    FIELD OF THE INVENTION
  • The present invention pertains to high dielectric constant films. More particularly, the present invention relates to methods and apparatus for forming high dielectric constant films utilizing the incorporation of atomic oxygen during the formation of such films.
  • BACKGROUND OF THE INVENTION
  • Various dielectric films have been formed in the past during the fabrication of semiconductor devices. For example, films such as silicon dioxide and silicon nitride have been used for dielectric films in the formation of capacitors, such as for memory devices, including dynamic random access memories and static random access memories. Such films typically have small leakage currents associated therewith.
  • With the shrinkage of minimum feature sizes of semiconductor devices, the requirement of providing high capacitance with thinner films is becoming apparent. As the dielectric constant of silicon dioxide and silicon nitride are relatively low, the need for utilizing higher dielectric constant films, such as tantalum pentoxide (Ta2O5), strontium titanate oxide (SrTiO3), and barium strontium titanate (BaxSr1-xTiO3) arises. Such high dielectric films provide the ability to achieve a larger capacitance value in a smaller area, i.e., with a thinner dielectric film.
  • However, conventional deposition processes for forming such high dielectric constant films result in films having leakage current levels that are unacceptable for semiconductor devices being fabricated. As described in the article entitled, “Leakage Current Mechanisms of Amorphous and Polycrystalline Ta2O5 Films Grown by Chemical Vapor Deposition,” by Aoyama et al., J. Electrochem. Soc., Vol. 143, No. 3, March 1996, various treatments have been carried out after Ta2O5 film deposition to reduce the leakage current thereof. For example, such treatments described included dry O2 treatment, dry O3 treatment, O2 treatment with utilization of ultraviolet exposure, O3 treatment with use of ultraviolet exposure, and N2O plasma treatment. The results from the paper indicate that the presence of impurities, such as carbon and hydrogen, remaining in the Ta2O5 film leads to generally high leakage current and that oxidation of such impurities results in the reduction of the leakage current. However, post-deposition oxidation of such impurities results in a fabrication step generally not applicable to other dielectric films such as silicon dioxide and silicon nitride. Such post-deposition oxidation of high dielectric films, hereinafter referred to generally as post-deposition oxygen anneal, in addition to reducing throughput of devices also increases the thermal budget for fabrication of the devices.
  • Therefore, there is a need in the art for high dielectric oxide film formation methods and apparatus for forming high dielectric films, reducing throughput of devices by eliminating steps in the deposition process. The present invention provides such methods and apparatus for overcoming the problems as described above and other problems that will be readily apparent to one skilled in the art from the description of the present invention below.
  • SUMMARY OF THE INVENTION
  • A method of forming a high dielectric oxide film conventionally formed using a post-formation oxygen anneal to reduce the leakage current of such film is described. The method in accordance with the present invention includes forming a high dielectric oxide film on a surface. The high dielectric oxide film has a dielectric constant greater than about 4. The high dielectric oxide film includes a plurality of oxygen vacancies as the film is formed. The high dielectric oxide film is exposed to an amount of atomic oxygen during formation thereof sufficient for reducing the number of oxygen vacancies and eliminating the post-formation oxygen anneal of the formed high dielectric oxide film.
  • In one embodiment of the method, the amount of atomic oxygen to which the high dielectric oxide film is exposed during formation thereof is controlled as a function of the amount of oxygen incorporated into the high dielectric oxide film. In another embodiment of the method, the amount of atomic oxygen is controlled as a function of the concentration of atomic oxygen in a process chamber used for formation of the high dielectric oxide film.
  • In other embodiments of the method, the atomic oxygen is provided by at least one of O3, NO, and N2O. Further, the atomic oxygen may be provided by generation of a plasma from at least one of O3, NO, N2O, or O2. Ionized atomic oxygen generated by the plasma may be attracted to the surface for incorporation in the high dielectric oxide film by biasing the surface. Further, the plasma may be generated remotely of the surface upon which the high dielectric film is formed or in proximity to the surface.
  • In other embodiments of the method, the high dielectric film may include Ta2O5, BaxSr1-xTiO3, Y2O3, TiO2, HfO2, PZT, PLZT, or SBT. Further, the atomic oxygen utilized for exposing the high dielectric oxide film may be exposed to a heat source.
  • In another method of forming a dielectric film in the fabrication of semiconductor devices, an amount of atomic oxygen for use in the formation of the film on a surface is provided. The high dielectric oxide film has a dielectric constant greater than about 4. A vaporized precursor is also provided for use in the formation of the film. The high dielectric oxide film is then formed using the atomic oxygen and the vaporized precursor. The amount of atomic oxygen is controlled as a function of the amount of atomic oxygen necessary to reduce the leakage current levels to below a predetermined level.
  • In another method of forming a dielectric film in the fabrication of semiconductor devices, atomic oxygen is provided for use in the formation of a Ta2O5 film on a surface. A vaporized tantalum precursor is also provided for forming the film. The Ta2O5 film is formed using the atomic oxygen and the vaporized tantalum precursor while simultaneously performing an in situ oxygen anneal of the film. In one embodiment of this method, the precursor is a carbon-free solid precursor.
  • An apparatus for forming a high dielectric oxide film in accordance with the present invention is also described. The apparatus includes a controllable atomic oxygen source and a vaporized precursor source. A deposition chamber for receiving the atomic oxygen from the atomic oxygen source and vaporized precursor from the vaporized precursor source is utilized for locating a structure therein for deposition of the high dielectric oxide film on a surface thereof. The high dielectric oxide film has a dielectric constant greater than about 4. The apparatus further includes a detection mechanism for detecting a characteristic of the deposition of the high dielectric oxide film on the surface of the structure. The controllable atomic oxygen source is controlled as a function of the detected characteristic.
  • Further, in accordance with the present invention, a high dielectric oxide film is provided. The high dielectric oxide film includes one of Ta2O5, BaxSr1-xTiO3, Y2O3, TiO2, HfO2, PZT, PLZT, and SBT. The dielectric film is formed by depositing the high dielectric oxide film on a surface while exposing the high dielectric oxide film during formation thereof to a concentration of atomic oxygen sufficient for reducing oxygen vacancies therein and sufficient to eliminate a post-formation oxygen anneal of the high dielectric oxide film. In one embodiment of the high dielectric oxide film, the film is deposited on an electrode of a capacitor in a semiconductor memory device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a general illustration of a portion of a device structure including a high dielectric oxide film formed in accordance with the present invention.
  • FIG. 2 is a block illustration of an apparatus for use in depositing high dielectric oxide films in accordance with the present invention.
  • FIG. 3 is a block illustration of an alternate configuration of the apparatus of FIG. 2 in accordance with the present invention.
  • FIG. 4 is a block illustration of an alternate configuration of the apparatus of FIG. 2 in accordance with the present invention.
  • FIG. 5 is an alternate configuration of the apparatus as shown in FIG. 2, further including a detection and control mechanism in accordance with the present invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • The present invention shall be described with reference to FIGS. 1 and 2. Thereafter, additional embodiments of the present invention shall be further described with reference to FIGS. 3-5.
  • FIG. 1 is an illustration of a portion 10 of a device structure, such as a portion of a capacitor, gate dielectric, or other device structure, which includes a high dielectric film 14. For example, the device structure may be a portion of a memory device, such as a dynamic random access memory. As shown in FIG. 1, the portion 10 includes a layer or film 12 of the device structure 10 having a surface 16. The layer or film 12 can be any material utilized in the fabrication of semiconductor devices. For example, if the device structure is a random access memory and the portion 10 is part of a capacitor, the layer 12 is an electrode. Such an electrode may be either a smooth or a rugged electrode and, further, the electrode may be of any conducting material, such as a metal, a semiconductor, a semi-metal, or any combination thereof, i.e., a stack containing one or more such electrode materials. For example, Ta2O5 deposition using a TaF5 precursor may be formed on polysilicon, crystalline silicon, hemispherical grain polysilicon, germanium, or silicon-germanium, WSix, or TiN. Such electrodes may be treated by rapid thermal anneal in an oxygen and/or nitrogen atmosphere. After formation of the high dielectric film, a top electrode is formed as part of the capacitor as known to one skilled in the art. Further, for example, if the portion 10 of the device structure is representative of a gate region, the layer or film 12 may be representative of a semiconductor substrate, such as silicon. Semiconductor substrate refers to the base semiconductor layer, e.g., the lowest layer of silicon material on a wafer or a silicon layer deposited on another material such as silicon on sapphire. The term “semiconductor substrate assembly” refers to a part of a device structure including a semiconductor substrate having one or more layers, films or structures formed thereon.
  • The portion 10 of the device structure further includes a high dielectric oxide film 14 formed on surface 16 of the layer or film 12 in accordance with the present invention. The high dielectric oxide film 14 may include any film having a dielectric constant (e) greater than about 4. For example, the high dielectric oxide film 14 may be Ta2O5, BaxSr1-xTiO3, SrTiO3, Y2O3, TiO2, HfO2, PZT (lead zirconate titanate), PLZT (lanthanum-doped lead zirconate titanate), SBT (strontium bismuth titanate), BST (barium strontium titanate), or any other high dielectric oxide film formed with a low oxygen content such that oxygen vacancies therein are present when such films are formed utilizing conventional formation methods. For example, such conventional formation methods include high dielectric formation methods using O2 as a source gas and many of which require post-deposition anneals in an oxygen ambient in order to eliminate or reduce these vacancies. Such oxygen vacancies using current deposition methods result in higher than normal leakage current levels for such high dielectric oxide films. For example, such oxygen vacancies are a result of the impurities carbon and hydrogen remaining in the film after deposition thereof.
  • The high dielectric oxide film 14 formed in accordance with the present invention eliminates the oxygen vacancies during the formation of the high dielectric oxide film 14. In other words, the film 14 undergoes an in situ oxygen anneal simultaneously with the formation of the film. Atomic oxygen is utilized during formation of the high dielectric oxide film to fill the oxygen vacancies as the film is formed. Such elimination of the oxygen vacancies produces a high dielectric oxide film which is more stoichiometric and impurity-free and therefore has lower leakage current levels. Excess atomic oxygen is incorporated into the high dielectric oxide film during formation thereof through the use of atomic oxygen containing sources such as O3, N2O, NO, as well as atomic oxygen provided in other manners as described below. The atomic oxygen is incorporated into the film in a concentration sufficient to eliminate the need for post-formation oxygen anneals, as typically required in conventional deposition of such high dielectric oxide films. By eliminating or reducing the need for post-formation oxygen anneals through the use of an in situ oxygen anneal in accordance with the present invention, throughput is increased and a reduced thermal budget is achieved.
  • In addition, the high dielectric oxide film 14 may be part of a stack of other dielectric films, i.e., a stack of one or more of Ta2O5, TiO2, or Si3N4. In such a configuration, an anneal of all the layers may still be necessary to reduce the leakage current depending upon the films utilized in such a stack.
  • Although the present invention is particularly described with respect to the formation of a Ta2O5 high dielectric oxide film, other high dielectric constant oxide films have similar leakage current level problems. The present invention is therefore beneficial not only for the Ta2O5 film, but for any other such high dielectric oxide film having oxygen vacancies or low oxygen content when formed in conventional manners. Therefore, the present invention is not limited to the Ta2O5 film but is limited only in accordance with the present invention as described in the accompanying claims.
  • The method of forming the high dielectric oxide film 14 in accordance with the present invention shall be described with reference to the apparatus 20 shown in FIG. 2. Apparatus 20 includes process chamber 22 and a device structure 15 located therein on device structure holder 17. The process chamber 22 further includes vacuum pump 24 for evacuating the chamber and a heat source 26, such as an ultraviolet (UV) or microwave radiation source directed into the process chamber 22 for use in providing atomic oxygen using ozone, i.e., for example. UV ozone treatment. The process chamber 22 may be any conventional chamber utilized for the formation of films in the fabrication of semiconductor devices. For example, the process chamber 22 is representative of various CVD process chambers including, but not limited to, hot wall or cold wall reactors, atmospheric or reduced pressure reactors, as well as plasma enhanced reactors. Therefore, the present invention contemplates deposition of the films in accordance with the present invention utilizing low pressure CVD (LPCVD), physical vapor deposition (PVD), plasma enhanced CVD (PECVD), and reduced thermal CVD (RTCVD). Further, the present invention may be applicable or used with other sputtering processes for forming high dielectric oxide films.
  • Apparatus 20 for depositing the high dielectric oxide film 14 further includes controllable atomic oxygen source 27 and controllable vaporized precursor source 29. Controllable atomic oxygen source 27 includes atomic oxygen source 28 and a mass flow controller 32. The mass flow controller 32 may be any commercially available flow controller utilized for controlling a gas flow. The mass flow controller 32 controls the flow of atomic oxygen from atomic oxygen source 28 via gas line 40 into the process chamber 22. Atomic oxygen source 28 may include any atomic oxygen containing source, such as O3, N2O, NO, or any combination thereof.
  • The controllable vaporized precursor source 29, at least in the embodiment shown in FIG. 2, includes carrier gas source 30, mass flow controller 34, and precursor source 36. The mass flow controller 34, which may be any flow controller for controlling gas flow, is utilized to control the flow of an inert gas such as, for example, Ar, N2, He, H2, N2O, NO, provided from carrier gas source 30. The carrier gas utilized is used to generate and/or move vaporized precursor from precursor source 36 through gas line 42 into the process chamber 22.
  • Although the controlled vaporized precursor source 29 is shown to include carrier gas source 30, mass flow controller 34, and precursor source 36, the controllable vaporized precursor source 29 may be of any configuration suitable for providing one or more vaporized precursors for formation of the desired high dielectric oxide film into process chamber 22. For example, the controlled vaporized precursor source 29 may include a liquid source or a solid source vaporized in any particular manner including, but in no manner limited to, solid sublimation, bubbler delivery, flash vaporization of solid particles or microdroplets.
  • For example, solid precursors utilized may include TaF5, TaCl5, or other tantalum halides for depositing Ta2O5. Other nonorganic solid precursors are also available for forming BST, PZT, PLZT, etc. Liquid precursors utilized may include Ta(OC2H5)5 or any other organometallic liquids containing tantalum for forming Ta2O5. However, any vaporized precursor suitable for use in forming the desired high dielectric film 14 in process chamber 22 may be utilized.
  • In accordance with the present invention, the controllable atomic oxygen source 27 provides an excess of atomic oxygen during formation of the high dielectric oxide film typically having oxygen vacancies and higher leakage current levels. As such, the high dielectric oxide film 14 is then formed with oxygen vacancies being filled as the high dielectric oxide film 14 is formed. The concentration or amount of atomic oxygen necessary in the process chamber 22 depends upon the type of high dielectric film 14 being formed.
  • One skilled in the art will recognize that the deposition process may be performed in either single wafer or batch type systems. Further, it should be apparent that the deposition process may be clustered with an in situ preclean and/or a post deposition conditioning chamber, i.e., for example, ultraviolet ozone conditioning, O3 plasma conditioning, dry oxidation in O2, O3, N2O, or NO conditioning.
  • As one illustrative embodiment of the present invention, the apparatus 20 may be similar to the cold wall type LPCVD apparatus as described in the article entitled, “Leakage Current Mechanisms of Amorphous and Polycrystalline Ta2O5 Films Grown by Chemical Vapor Deposition,” by Aoyama et al., J. Electrochem. Soc., Vol. 143, No. 3, March 1996 which is incorporated in its entirety herein by reference thereto. The controllable atomic oxygen source 27 may include any of the oxygen containing species described above or any combination thereof. The controllable vaporized precursor source 29 may, for example, in the deposition of a Ta2O5 film include a liquid precursor source 36 of Ta(OC2H5)5 with the mass flow controller 34 controlling an argon carrier gas for bubbling through the liquid precursor source 36 providing a vaporized precursor or reactant gas for deposition of Ta2O5 utilizing the process chamber 22. For example, argon gas is introduced into the Ta(OC2H5)5 liquid maintained at about 160° C. The atomic oxygen and the Ta(OC2H5)5 with argon carrier are then introduced simultaneously into the reaction chamber through gas lines which are heated to 180° C. In the cold wall chamber, the substrate is heated to, for example, 400° C. and the film formed may be amorphous, crystalline, or polycrystalline depending upon other parameters of the deposition apparatus. For example, temperature and pressure changes may produce an amorphous film as opposed to a partially crystalline or crystalline film. The present invention is in no manner limited to any particular structural configuration for the film, such as amorphous or polycrystalline, but is limited only in accordance with the present claims. Further, various pressures, temperatures, and other deposition process parameters may be utilized to generate the desired film in accordance with the present invention and the present invention is not limited to any particular process parameters.
  • Ta2O5 films are typically deposited by LPCVD or PECVD using an organometallic precursor such as the Ta(OC2H5)5 which has a fairly low vapor pressure of about 200 mTorr at 85° C. The LPCVD process leads to extremely good step coverage and makes the process viable for memory cell dielectric formation. However, during this process a large amount of carbon is incorporated into the dielectric film. The carbon comes from the precursor and results in higher leakage currents for the films conventionally deposited. In situ incorporation of atomic oxygen during the formation of the dielectric film as described above reduces the leakage current. However, to further provide additional advantage by lowering the carbon level and still providing excellent step coverage, the combination of a solid carbon-free or nonorganic precursor, with in situ incorporation of atomic oxygen, is utilized as described below.
  • For example, in the deposition of Ta2O5, a LPCVD process can be performed utilizing a solid carbon-free precursor such as TaF5, TaCl5, or other tantalum halides along with atomic oxygen incorporation as described herein. The LPCVD process may be performed at a deposition pressure of about 25 mTorr to about 10 Torr and at a temperature of about 250° C. to about 700° C. The solid precursor can be vaporized and provided to the deposition chamber in various manners, such as for example, heating a TaF5 solid source to greater than about 70° C. and then transferring the vaporized precursor to the deposition chamber using a carrier gas such as, for example, Ar, N2, He, H2, N2O, or NO. The atomic oxygen, or oxygen source, can then be provided using O3, N2O, NO, O2 or any combination thereof and in any manner described herein.
  • FIG. 3 is an alternate configuration of an apparatus 50 for forming the high dielectric oxide film 14 in accordance with the present invention. The apparatus 50 includes substantially the same elements or components as the apparatus 20 described with reference to FIG. 2. However, the controlled atomic oxygen source 27 is replaced with controlled atomic oxygen source 51. The controlled atomic oxygen source 51 includes an oxygen source 52, a mass flow controller 54, and an oxygen plasma generator 56. In this particular configuration, the atomic oxygen is provided to the process chamber from the oxygen plasma generator 56. The oxygen plasma generator 56 functions as an atomic oxygen source by generating a plasma from the oxygen containing source 52. The oxygen plasma generator 56 may be remote from the process chamber 22 as shown in FIG. 3, or may be such as to provide a plasma in proximity to the device structure 15, i.e., in the process chamber with the wafer.
  • Oxygen source 52 may include O3, N2O, NO, O2 or any combination thereof. The oxygen containing source 52 is provided to the oxygen plasma generator 56 by any commercially available mass flow controller 54. For example, an oxygen plasma may be generated utilizing an O2 source provided to a 13.56 MHz RF generator at a pressure of 0.3 torr, a temperature of 400° C., and an RF power of 0.35 W/cm2. It should be readily apparent that the parameters for the oxygen plasma generator are dependent upon the oxygen containing source utilized and the amount of atomic oxygen to be delivered to the process chamber. Various pressures, temperatures, power levels and generators may be utilized to generate the oxygen plasma and the present invention is not limited to any particular configuration for generating the oxygen plasma.
  • Also shown in FIG. 3 is a power source 59 for biasing the device structure 15 on device structure holder 17. With bias applied to the device structure 15, ionized atomic oxygen generated by the plasma generator 56 is attracted thereto and oxygen vacancies in the high dielectric oxide film 14 are filled more quickly by the ionized atomic oxygen provided in the process chamber 22. For example, but in no manner limited to the present invention, the power source may be ±50 volts DC.
  • It would be readily apparent to one skilled in the art that a combination of a plasma source 51 such as shown in FIG. 3 and an atomic oxygen source 29 such as shown in FIG. 2 may be used in combination to provide the necessary atomic oxygen in the process chamber 22.
  • Another alternate configuration of an apparatus 60 for forming the high dielectric oxide film 14 shall be described with reference to FIG. 4. FIG. 4 is substantially equivalent to the apparatus 20 as shown in FIG. 2. However, the apparatus 60 further includes a premixer unit 64 such that the vaporized precursor and the atomic oxygen provided by the controlled atomic oxygen source 27 and the controlled vaporized precursor source 29 are premixed in the premixer unit 64 prior to transfer into the process chamber 22. In such a manner, the atomic oxygen may be more evenly distributed in the vaporized precursor such that a more efficient filling of the oxygen vacancies typically contained in the high dielectric oxide film 14 are filled. It should be readily apparent that the premixer 64 may also be utilized with the atomic oxygen provided from the oxygen plasma generator 56 in the alternate configuration shown in FIG. 3.
  • FIG. 5 shows the apparatus 20 for forming the high dielectric oxide film 14 in accordance with the present invention and, in addition, a block illustration of a detection and control apparatus 90 for maintaining a desired atomic oxygen concentration in the processing chamber 22. The detection and control apparatus 90 includes a detection device 92 and a controller 94.
  • The controller 94 may be any controller apparatus, such as a processing unit and software associated therewith, or a control logic circuit for generating a command output to the controlled atomic oxygen source 27 for controlling the concentration of atomic oxygen in processing chamber 22. The command output to the controlled atomic oxygen source 27 is generated by the controller 94 in response to a signal generated by detection device 92 based on a characteristic of the formation process of the high dielectric oxide film 14. The controller 94 is in no manner limited to any processor, any particular logic or software, or any particular configuration but is limited only as defined in the accompanying claims.
  • Detection device 92 may be any apparatus for sensing a parameter of a high dielectric film formation process characteristic of the filling of oxygen vacancies within the high dielectric oxide film 14 being formed. For example, detection device 92 may be for detecting the concentration of atomic oxygen in the processing chamber 22. Further, for example, the detection device 92 may be for detecting the amount of oxygen incorporated in the high dielectric oxide film 14, and thus representative of the number of vacancies within the film filled so as to reduce the leakage current of the film 14.
  • The detection and control apparatus 90, for example, may be any apparatus for performing ellipsometry utilizing a light source directed at the surface of the device structure 15 and a detector for detecting the reflected light therefrom. The reflected light is utilized to determine the amount of oxygen incorporated in the high dielectric oxide film being formed. As a function of the detected reflective light, the controller 94 with the appropriate spectroscopic software can determine the oxygen content and generate a command for control of, for example, the mass flow controller 32 in order to increase or decrease the atomic oxygen in the processing chamber 22.
  • Further, for example, the detection and control apparatus 90 may include an apparatus for performing Raman spectroscopy which may be utilized to determine the amount of oxygen incorporated in the high dielectric oxide film 14 and further utilized to determine the structure of the film, i.e., whether the film is amorphous or crystalline. With use of the detected scattered light and the appropriate Raman spectroscopy software, a command signal may be generated to control the atomic oxygen as previously described or, further, may be utilized to control any other parameter of the apparatus 20 such that the structure of the film is controlled as oxygen vacancies in the film are filled.
  • In a further example, the concentration of the atomic oxygen in the processing chamber may be detected as opposed to the oxygen in the high dielectric oxide film 14. For example, a commercially available residual gas analyzer may be utilized. Such an analyzer typically includes a light source for generating light for impingement on the materials in the process chamber 22. A detector of the analyzer may then detect the scattered light and provide an output signal which can be analyzed by the appropriate spectroscopic software to determine oxygen concentration in the processing chamber 22. The controlled atomic oxygen source 27 may then be controlled as a function of the amount of atomic oxygen detected in the processing chamber 22.
  • It would be readily apparent to one skilled in the art that detection and control apparatus 90 may include any of the devices described above or a combination thereof. Further, other spectroscopic detection devices or gas analysis devices typically utilized for detecting concentrations and structures in films and in sample containers may be utilized in conjunction with the present invention. The present invention is not limited to those listed herein, but is limited only as described in the accompanying claims.
  • Although the present invention has been described with particular reference to various embodiments thereof, variations and modifications of the present invention can be made within a contemplated scope of the following claims, as is readily known to one skilled in the art.

Claims (10)

1-60. (canceled)
61. A method of forming a dielectric film in the fabrication of semiconductor devices, the method comprising:
providing atomic oxygen in a process chamber for use in deposition of a high dielectric oxide film on a surface, the high dielectric oxide film having a dielectric constant greater than about 4;
providing a vaporized precursor in the process chamber with the atomic oxygen for use during the deposition of the high dielectric oxide film;
depositing the high dielectric oxide film using the atomic oxygen and the vaporized precursor provided in the process chamber;
detecting an amount of oxygen incorporated into the high dielectric oxide film during the deposition thereof using spectroscopy; and
controlling the amount of atomic oxygen in the process chamber as a function of the detected amount of atomic oxygen incorporated into the high dielectric oxide film.
62. The method of claim 61, wherein detecting an amount of oxygen incorporated into the high dielectric oxide film during the deposition thereof using spectroscopy comprises using spectroscopic ellipsometry to detect the amount of oxygen incorporated into the high dielectric oxide film during the deposition of the high dielectric oxide film.
63. The method of claim 61, wherein detecting an amount of oxygen incorporated into the high dielectric oxide film during the deposition thereof using spectroscopy comprises using Raman spectroscopy to detect the amount of oxygen incorporated into the high dielectric oxide film during the deposition of the high dielectric oxide film.
64. The method of claim 61, wherein controlling the amount of atomic oxygen in the process chamber as a function of the detected amount of atomic oxygen incorporated into the high dielectric oxide film comprises generating a command based on the detected amount of oxygen incorporated into the high dielectric oxide film for use in control of a flow controller operable to increase or decrease the atomic oxygen in the process chamber.
65. The method of claim 61, wherein providing atomic oxygen comprises:
providing at least one of O2, O3, NO, and N2O; and
generating an oxygen plasma remote from the process chamber from the at least one of O2, O3, NO, and N2O.
66. The method of claim 61, wherein providing atomic oxygen comprises:
providing at least one of O2, O3, NO, and N2O; and
generating an oxygen plasma in the process chamber used for deposition of the high dielectric oxide film from the at least one of O2, O3, NO, and N2O.
67. The method of claim 61, wherein the high dielectric oxide film comprises at least one of Ta2O5, BaxSr1-xTiO3, Y2O3, TiO2, HfO2, PZT, PLZT, and SBT.
68. The method of claim 61, wherein the high dielectric oxide film comprises a Ta2O5 film.
69. The method of claim 68, wherein providing the vaporized precursor in the process chamber comprises providing a vaporized tantalum precursor in the process chamber with the atomic oxygen for use during the deposition of the Ta2O5 film, wherein providing the vaporized tantalum precursor comprises vaporization of a carbon-free solid precursor.
US11/706,447 1997-02-27 2007-02-15 Methods and apparatus for forming a high dielectric film and the dielectric film formed thereby Abandoned US20070148990A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/706,447 US20070148990A1 (en) 1997-02-27 2007-02-15 Methods and apparatus for forming a high dielectric film and the dielectric film formed thereby

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/807,831 US6461982B2 (en) 1997-02-27 1997-02-27 Methods for forming a dielectric film
US10/213,812 US7192889B2 (en) 1997-02-27 2002-08-07 Methods for forming a high dielectric film
US11/706,447 US20070148990A1 (en) 1997-02-27 2007-02-15 Methods and apparatus for forming a high dielectric film and the dielectric film formed thereby

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/213,812 Division US7192889B2 (en) 1997-02-27 2002-08-07 Methods for forming a high dielectric film

Publications (1)

Publication Number Publication Date
US20070148990A1 true US20070148990A1 (en) 2007-06-28

Family

ID=25197257

Family Applications (5)

Application Number Title Priority Date Filing Date
US08/807,831 Expired - Fee Related US6461982B2 (en) 1997-02-27 1997-02-27 Methods for forming a dielectric film
US09/382,507 Expired - Fee Related US6325017B1 (en) 1997-02-27 1999-08-25 Apparatus for forming a high dielectric film
US10/213,812 Expired - Fee Related US7192889B2 (en) 1997-02-27 2002-08-07 Methods for forming a high dielectric film
US10/910,229 Expired - Lifetime US6927179B2 (en) 1997-02-27 2004-06-03 Methods and apparatus for forming a high dielectric film and the dielectric film formed thereby
US11/706,447 Abandoned US20070148990A1 (en) 1997-02-27 2007-02-15 Methods and apparatus for forming a high dielectric film and the dielectric film formed thereby

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US08/807,831 Expired - Fee Related US6461982B2 (en) 1997-02-27 1997-02-27 Methods for forming a dielectric film
US09/382,507 Expired - Fee Related US6325017B1 (en) 1997-02-27 1999-08-25 Apparatus for forming a high dielectric film
US10/213,812 Expired - Fee Related US7192889B2 (en) 1997-02-27 2002-08-07 Methods for forming a high dielectric film
US10/910,229 Expired - Lifetime US6927179B2 (en) 1997-02-27 2004-06-03 Methods and apparatus for forming a high dielectric film and the dielectric film formed thereby

Country Status (3)

Country Link
US (5) US6461982B2 (en)
AU (1) AU6656598A (en)
WO (1) WO1998038674A1 (en)

Cited By (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070204147A1 (en) * 2004-10-28 2007-08-30 Tokyo Electron Limited Film forming apparatus, film forming method, program and storage medium
US20110180789A1 (en) * 2008-08-04 2011-07-28 Lin Han Hybrid Dielectric Material for Thin Film Transistors
US20120037075A1 (en) * 2010-08-16 2012-02-16 Hon Hai Precision Industry Co., Ltd. Coating appratus having concentration sensor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JPH11288893A (en) 1998-04-03 1999-10-19 Nec Corp Semiconductor manufacturing apparatus and manufacture of semiconductor device
KR100343134B1 (en) * 1998-07-09 2002-10-25 삼성전자 주식회사 Method for forming a dielectric film
DE19857038A1 (en) * 1998-12-10 2000-06-29 Siemens Ag FEMFET device and method for its production
US6528856B1 (en) * 1998-12-15 2003-03-04 Intel Corporation High dielectric constant metal oxide gate dielectrics
JP4230596B2 (en) 1999-03-12 2009-02-25 東京エレクトロン株式会社 Thin film formation method
US6649225B2 (en) * 1999-04-07 2003-11-18 Board Of Trustees Of Michigan State University Process for the treatment of a fiber
US6312378B1 (en) * 1999-06-03 2001-11-06 Cardiac Intelligence Corporation System and method for automated collection and analysis of patient information retrieved from an implantable medical device for remote patient care
JP4220075B2 (en) * 1999-08-20 2009-02-04 東京エレクトロン株式会社 Film forming method and film forming apparatus
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
FR2797999B1 (en) * 1999-08-31 2003-08-08 St Microelectronics Sa METHOD FOR MANUFACTURING AN INTEGRATED CAPACITY ON A SILICON SUBSTRATE
US6677640B1 (en) * 2000-03-01 2004-01-13 Micron Technology, Inc. Memory cell with tight coupling
US6558517B2 (en) * 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
US6686298B1 (en) 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
KR100390952B1 (en) * 2000-06-28 2003-07-10 주식회사 하이닉스반도체 Method of manufacturing a capacitor
US6660657B1 (en) * 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
JP3627640B2 (en) * 2000-09-22 2005-03-09 松下電器産業株式会社 Semiconductor memory device
KR100384850B1 (en) * 2000-12-14 2003-05-22 주식회사 하이닉스반도체 Method for forming Ta2O5 dielectric layer
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6566147B2 (en) * 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
US20060118242A1 (en) * 2001-02-12 2006-06-08 Anthony Herbert Atmospheric pressure plasma system
US6972223B2 (en) * 2001-03-15 2005-12-06 Micron Technology, Inc. Use of atomic oxygen process for improved barrier layer
JP3418383B2 (en) * 2001-05-31 2003-06-23 沖電気工業株式会社 Method for manufacturing semiconductor device
US6838122B2 (en) * 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US20030017266A1 (en) * 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US6573193B2 (en) * 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US7011978B2 (en) * 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
US6627465B2 (en) * 2001-08-30 2003-09-30 Micron Technology, Inc. System and method for detecting flow in a mass flow controller
US6573199B2 (en) * 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
KR100425463B1 (en) * 2001-09-10 2004-03-30 삼성전자주식회사 Method for forming tantalum pentoxide film and dielectric film under activated vapor containing oxygen
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
JP2003224137A (en) * 2002-01-30 2003-08-08 Mitsubishi Electric Corp Method for manufacturing semiconductor device
JP4162601B2 (en) * 2002-03-29 2008-10-08 東京エレクトロン株式会社 Formation method of insulating film
US20030224619A1 (en) * 2002-06-04 2003-12-04 Yoshi Ono Method for low temperature oxidation of silicon
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
KR20040008527A (en) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 Method of semiconductor device
TW200414356A (en) * 2002-07-29 2004-08-01 Asml Us Inc Ozone oxidation of silicon substrates for formation of an interfacial layer for high-k gate stacks
WO2004011695A2 (en) * 2002-07-30 2004-02-05 Asm America, Inc. Sublimation system employing carrier gas
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7030042B2 (en) * 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6774012B1 (en) * 2002-11-08 2004-08-10 Cypress Semiconductor Corp. Furnace system and method for selectively oxidizing a sidewall surface of a gate conductor by oxidizing a silicon sidewall in lieu of a refractory metal sidewall
US6863725B2 (en) * 2003-02-04 2005-03-08 Micron Technology, Inc. Method of forming a Ta2O5 comprising layer
US7033957B1 (en) * 2003-02-05 2006-04-25 Fasl, Llc ONO fabrication process for increasing oxygen content at bottom oxide-substrate interface in flash memory devices
JP4463492B2 (en) * 2003-04-10 2010-05-19 株式会社半導体エネルギー研究所 Manufacturing equipment
US7071127B2 (en) * 2003-05-20 2006-07-04 Promos Technologies, Inc. Methods for improving quality of semiconductor oxide composition formed from halogen-containing precursor
KR100773537B1 (en) * 2003-06-03 2007-11-07 삼성전자주식회사 Nonvolatile memory device composing one switching device and one resistant material and method of manufacturing the same
US7230292B2 (en) * 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
KR100622639B1 (en) * 2003-11-13 2006-09-18 매그나칩 반도체 유한회사 Method of manufacturing a semiconductor device
US7071117B2 (en) * 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
JP4553245B2 (en) * 2004-09-30 2010-09-29 東京エレクトロン株式会社 Vaporizer, film forming apparatus and film forming method
KR100682926B1 (en) * 2005-01-31 2007-02-15 삼성전자주식회사 Nonvolatile memory device using resistance material and fabrication method of the same
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
JP2007042663A (en) * 2005-07-29 2007-02-15 Toshiba Corp Equipment and process for fabricating semiconductor
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
KR100851215B1 (en) * 2007-03-14 2008-08-07 삼성에스디아이 주식회사 Thin film transistor and organic light-emitting dislplay device having the thin film transistor
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8030206B2 (en) * 2008-08-27 2011-10-04 The Boeing Company Coplanar solar cell metal contact annealing in plasma enhanced chemical vapor deposition
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
CN105826175B (en) * 2015-01-06 2019-05-28 中芯国际集成电路制造(上海)有限公司 The forming method of transistor
TWI726951B (en) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 Methods of treating nitride films

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3066027D1 (en) * 1979-12-17 1984-02-02 Hughes Aircraft Co Low temperature process for depositing oxide layers by photochemical vapor deposition
US4261698A (en) * 1980-01-23 1981-04-14 International Business Machines Corporation Trace oxygen detector
US4691662A (en) 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
US4673475A (en) * 1985-06-28 1987-06-16 The Standard Oil Company Dual ion beam deposition of dense films
US5261961A (en) 1985-07-23 1993-11-16 Canon Kabushiki Kaisha Device for forming deposited film
US4888202A (en) * 1986-07-31 1989-12-19 Nippon Telegraph And Telephone Corporation Method of manufacturing thin compound oxide film and apparatus for manufacturing thin oxide film
DE3724159A1 (en) 1987-07-22 1989-02-02 Heimbach Gmbh Thomas Josef MATERIAL RAIL, IN PARTICULAR PAPER MACHINE FELT, DRY SCREEN, FILTER MEDIUM OR THE LIKE
EP0306069A3 (en) * 1987-08-31 1990-12-27 Koninklijke Philips Electronics N.V. A method of forming an oxide layer on a substrate
JPH0641631B2 (en) * 1989-03-22 1994-06-01 日本電気株式会社 Chemical vapor deposition method and chemical vapor deposition apparatus for tantalum oxide film
US5525156A (en) 1989-11-24 1996-06-11 Research Development Corporation Apparatus for epitaxially growing a chemical compound crystal
JPH0424922A (en) * 1990-05-15 1992-01-28 Mitsubishi Materials Corp Forming method for high permittivity thin film and forming apparatus thereof
JPH04115533A (en) * 1990-09-05 1992-04-16 Oki Electric Ind Co Ltd Manufacture of semiconductor element and thermal cvd device
US5470398A (en) 1990-09-25 1995-11-28 Matsushita Electric Industrial Co., Ltd. Dielectric thin film and method of manufacturing same
JPH04180566A (en) * 1990-11-14 1992-06-26 Matsushita Electric Ind Co Ltd Thin film forming device
JPH04350167A (en) * 1991-05-28 1992-12-04 Fujitsu Ltd Production of high dielectric thin film
JP3291510B2 (en) 1992-03-31 2002-06-10 シャープ株式会社 Semiconductor device
JPH07161931A (en) * 1993-12-02 1995-06-23 Nec Corp Manufacture of semiconductor device
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
JP3427362B2 (en) * 1994-08-16 2003-07-14 富士通株式会社 Dielectric thin film deposition method
JP2666768B2 (en) 1995-04-27 1997-10-22 日本電気株式会社 Dry etching method and apparatus
US6461982B2 (en) * 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
US5968842A (en) * 1997-09-12 1999-10-19 United Semiconductor Corp. Techniques for reduced dishing in chemical mechanical polishing
US6461892B2 (en) * 2000-01-26 2002-10-08 Tessera, Inc. Methods of making a connection component using a removable layer

Cited By (416)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070204147A1 (en) * 2004-10-28 2007-08-30 Tokyo Electron Limited Film forming apparatus, film forming method, program and storage medium
US7713886B2 (en) * 2004-10-28 2010-05-11 Tokyo Electron Limited Film forming apparatus, film forming method, program and storage medium
US20110180789A1 (en) * 2008-08-04 2011-07-28 Lin Han Hybrid Dielectric Material for Thin Film Transistors
US8835909B2 (en) * 2008-08-04 2014-09-16 The Trustees Of Princeton University Hybrid dielectric material for thin film transistors
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120037075A1 (en) * 2010-08-16 2012-02-16 Hon Hai Precision Industry Co., Ltd. Coating appratus having concentration sensor
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
US6461982B2 (en) 2002-10-08
AU6656598A (en) 1998-09-18
US7192889B2 (en) 2007-03-20
US20020187654A1 (en) 2002-12-12
US6927179B2 (en) 2005-08-09
US6325017B1 (en) 2001-12-04
US20010036752A1 (en) 2001-11-01
WO1998038674A1 (en) 1998-09-03
US20050009361A1 (en) 2005-01-13

Similar Documents

Publication Publication Date Title
US6461982B2 (en) Methods for forming a dielectric film
KR100272390B1 (en) High dielectric constant film structure and method for forming the same
US6806183B2 (en) Methods for forming capacitors on semiconductor substrates
KR100415538B1 (en) Capacitor with double dielectric layer and method for fabricating the same
EP1042529B1 (en) Method for selectively depositing bismuth based ferroelectric films
US7585683B2 (en) Methods of fabricating ferroelectric devices
US6444478B1 (en) Dielectric films and methods of forming same
EP1087035B1 (en) Method and apparatus for formation of thin film
US6485564B1 (en) Thin film forming method
KR100945096B1 (en) Method for manufacturing capacitor
JP2001053253A (en) Capacitor of semiconductor memory element and its manufacture
US5443030A (en) Crystallizing method of ferroelectric film
US20020160565A1 (en) Capacitor for semiconductor devices and a method of fabricating such capacitors
US6958504B2 (en) Semiconductor storage device and method of manufacturing the same
US6790677B2 (en) Method of forming a ferroelectric film and fabrication process of a semiconductor device having a ferroelectric film
Lesaicherre et al. Preparation of SrTiO3 thin films by ECR and thermal MOCVD
US6432725B1 (en) Methods for crystallizing metallic oxide dielectric films at low temperature
US6602722B2 (en) Process for fabricating capacitor having dielectric layer with pervskite structure and apparatus for fabricating the same
GB2347787A (en) Method of forming a tantalum oxide containing capacitor
JP2004063891A (en) Method for manufacturing ferroelectric memory

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION