US20070170378A1 - EUV light source optical elements - Google Patents

EUV light source optical elements Download PDF

Info

Publication number
US20070170378A1
US20070170378A1 US11/725,940 US72594007A US2007170378A1 US 20070170378 A1 US20070170378 A1 US 20070170378A1 US 72594007 A US72594007 A US 72594007A US 2007170378 A1 US2007170378 A1 US 2007170378A1
Authority
US
United States
Prior art keywords
layer
layers
lithium
binary
mlm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/725,940
Inventor
Norbert Bowering
Alexander Ershov
Timothy Dyer
Hugh Grinolds
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cymer Inc
Original Assignee
Cymer Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/798,740 external-priority patent/US7217940B2/en
Priority claimed from US10/803,526 external-priority patent/US7087914B2/en
Priority claimed from US10/900,839 external-priority patent/US7164144B2/en
Priority claimed from US10/979,945 external-priority patent/US8075732B2/en
Application filed by Cymer Inc filed Critical Cymer Inc
Priority to US11/725,940 priority Critical patent/US20070170378A1/en
Publication of US20070170378A1 publication Critical patent/US20070170378A1/en
Granted legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/20Selection of substances for gas fillings; Means for obtaining or maintaining the desired pressure within the tube, e.g. by gettering

Definitions

  • the present invention relates to extreme ultraviolet (“EUV”) light generators providing EUV light from a plasma created from a source material and collected and directed to a focus for utilization outside of the EUV light source generation chamber, e.g., for semiconductor integrated circuit manufacturing photolithography process performing machines, e.g., at wavelengths of around 20 nm and below.
  • EUV extreme ultraviolet
  • EUV extreme ultraviolet
  • either grazing angle of incidence or multi-layer mirror (“MLM”) so called normal angle of incidence reflectors will be necessary for the collection and focusing of the light emitted from the plasma, whether an electric discharge produced plasma (“DPP”) produced by an electrical discharge between a pair of electrodes or a laser produced plasma (“LPP”) produced by a focused laser beam irradiating a target material to produce the plasma.
  • DPP electric discharge produced plasma
  • LPF laser produced plasma
  • the collector/director optical element e.g., heat, high energy ions and scattered debris from the plasma formation, e.g., atoms or clumps of source material not ionized in the plasma formation process.
  • the heat, high energy ions and/or source material may be damaging to the optical elements in a number of ways, including simply heating them, penetrating into them and, e.g., damaging structural integrity and/or optical properties, e.g., the mechanics of MLM operation to reflect light at such short wavelengths, corroding or eroding them and/or diffusing into them.
  • the source materials may be particularly reactive, e.g., with a material making up at least one layer of the MLM, e.g., lithium and silicon, so that steps may need to be taken to reduce the potential effects of the reactivity, especially at elevated temperatures, and/or keep the materials separated, e.g., either by capping layers or by intermediate diffusion barrier layers or both. Temperature stability, ion-implantation and diffusion problems may need to be addressed even with less reactive source materials, e.g., tin, or indium or xenon.
  • the nature of debris management for the EUV light source chamber may result in increasing the harshness of the environment in which the MLM stack and its protective overcoating (capping) layer(s) need to operate and protect the underlying binary multilayer stack. This needs to be done without detracting significantly from overall reflectivity at the same time.
  • Technique employed may be, e.g., heating the reflector to elevated temperatures of, e.g., over 500° C., e.g., to evaporate debris from the reflector surfaces and/or utilizing an etchant, e.g., a halogen etchant to etch debris from the reflector surfaces and/or creating a shielding plasma in the vicinity of the reflector surfaces, as discussed further in the above referenced co-pending patent application.
  • Yttria has been used by the fusion community to protect the first reactor wall from the hot lithium by means of a coating. Also work on Mo/Y multilayers has been done by Livermore Laboratories National Laboratories (“LLNL”) for reflection in the wavelength range of 7-12 nm. However applicants are not aware of use of yttrium for collector/director or other optics in a plasma generated EUV light source for protection of the optics, e.g., from a reactive source material, e.g., lithium.
  • LLNL Livermore Laboratories National Laboratories
  • MLM materials and properties but not in the context of plasma generated EUV light sources and also not reactive source materials, and particularly not in the context of the use of lithium as a plasma source material.
  • Several patents and articles have discussed MLM materials and capping layers, but not in the context of the requirements for a plasma generated EUV collector/director and other EUV source chamber optics, e.g., temperature stability requirements at relatively elevated temperatures, and also not in the context of a reactive EUV plasma material and particularly lithium.
  • Mo/Y MLMs without barrier layers have been shown to be thermally stable to 250° C.
  • Mo/Y multilayer may be stable also at temperatures above 250° C., as indicated by the referenced Kjornrattanawanich Dissertation, where it was observed that there is a higher contrast for Mo/Y multilayers in cross-sectional transmission-electron microscope pictures after heating (annealing) of the Mo/Y mirrors.
  • U.S. Pat. No. 6,724,462 issued to Singh, et al. on Apr.
  • EUV reflectors for lithography tool environments not subject to the rigors of the environment within a plasma produced EUV light source that must be accounted for in selecting appropriate materials for the reflectors, including, e.g., the choice between grazing angle or incidence reflecting layers and multilayer mirrors for more normal angle of incidence, the shape and proximity of reflector surfaces to the plasma, the plasma source material, debris mitigation steps taken, e.g., elevated temperatures for debris evaporation, halogen debris etching, debris diffusion, etc.
  • OPTIMIZED CAPPING LAYERS FOR EUV MULTILAYERS including a binary capping layer with Ru and an undercoating to prevent Ru diffusion into the underlying binary layers and Ru selected for resistance to oxidation in, e.g., a lithography tool environment.
  • Apparatus and methods are disclosed for forming DPP or LPP plasma generated EUV light source optical elements, e.g., reflectors comprising MLM stacks employing various binary layer materials and capping layer(s) including single and binary capping layers for utilization in plasma generated EUV light source chambers, particularly where the plasma source material is reactive with one or more of the MLM materials, such as a lithium plasma source material.
  • DPP or LPP plasma generated EUV light source optical elements e.g., reflectors comprising MLM stacks employing various binary layer materials and capping layer(s) including single and binary capping layers for utilization in plasma generated EUV light source chambers, particularly where the plasma source material is reactive with one or more of the MLM materials, such as a lithium plasma source material.
  • FIG. 1 shows schematically and not to scale a multilayer mirror (“MLM”);
  • FIG. 2 shows schematically and not to scale an MLM structure and composition according to an aspect of an embodiment of the present invention improving upon, e.g., the MLM of FIG. 1 ;
  • FIG. 3 shows schematically and not to scale a multilayer mirror
  • FIG. 4 shows schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention improving upon, e.g., the MLM of FIG. 3 ;
  • FIG. 5 illustrates schematically and not to scale, aspects of an embodiment of the present invention using coatings compatible with lithium, e.g., yttrium (e.g., in the form of yttrium oxide, Y 2 O 3 ) as protective coatings, e.g., for EUV multilayer stack collector/director mirrors;
  • yttrium e.g., in the form of yttrium oxide, Y 2 O 3
  • protective coatings e.g., for EUV multilayer stack collector/director mirrors
  • FIG. 6 illustrates schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention
  • FIGS. 7, 8 and 9 illustrate schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention
  • FIG. 10 illustrate schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention
  • FIG. 11 illustrate schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention
  • FIG. 12 illustrate schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention
  • FIG. 13 illustrates the reflectivity of a Y/Mo/Si/Mo MLM for the number of layers.
  • FIG. 1 there is shown schematically and not to scale a prior art MLM 20 structure and composition including a ruthenium capping layer 22 and an underlying intermediate layer 24 , which may be, e.g., a source material diffusion barrier layer 24 , which may be selected for its resistance to diffusion of a selected material, or its compounds, e.g., the source material for the EUV plasma, e.g., lithium.
  • the MLM 20 may be comprised of a plurality of binary layers 30 made up of an absorber layer, e.g., a molybdenum layer 32 and a spacer layer 34 e.g., of silicon.
  • a source material silicide e.g., lithium silicide
  • FIG. 2 shows schematically and not to scale a MLM 20 ′ like that of FIG. 1 with the spacer layer 34 , e.g., of silicon replaced with a spacer layer 34 ′, which may comprise a layer of spacer material, e.g., silicon, doped with a material that will react with lithium, e.g., an n-type dopant, e.g., phosphorous.
  • spacer layer 34 e.g., of silicon replaced with a spacer layer 34 ′, which may comprise a layer of spacer material, e.g., silicon, doped with a material that will react with lithium, e.g., an n-type dopant, e.g., phosphorous.
  • This silicide layer 34 ′ which can then serve to block the diffusion of the reactive source material, e.g., lithium through the doped silicon layer 34 ′ resulting in the prevention of the formation of, e.g., a silicide layer at the interface between the Mo and Si and therefore, being much less destructive of the effectiveness of the respective binary layer 30 and the MLM 20 ′ as a whole.
  • the reactive source material e.g., lithium
  • the doped silicon layer 34 ′ resulting in the prevention of the formation of, e.g., a silicide layer at the interface between the Mo and Si and therefore, being much less destructive of the effectiveness of the respective binary layer 30 and the MLM 20 ′ as a whole.
  • the lithium By applying the effectiveness of a doped layer 34 ′ blocking or at least significantly reducing the diffusion of the reactive source material, e.g., lithium through the silicon layers 34 ′ in the multilayer mirrors 20 ′, the lithium will not penetrate so deeply into the n-doped Si layer 34 ′, or form a silicide at the Si/Mo boundary.
  • the reactive source material e.g., lithium
  • the Si layers 34 ′ of a multilayer mirror 20 ′ binary layer 30 e.g., for reflecting EUV light at around 13.5 nm EUV, with the introduction of the dopant material, e.g., an n-type dopant reduces the solubility of lithium. This eliminates (or at least strongly reduces) penetration of the silicon layer 34 ′ by diffusion of lithium and prevents or strongly reduces the formation of silicides at the Mo/Si interfaces. Reduction of intermixing and reaction zones at the interface of the materials of the binary layer 30 , e.g., the Mo/Si interface can preserve more sharply defined multilayer boundaries that produce higher reflectivities and better thermal stability.
  • the dopant material e.g., an n-type dopant
  • this can be applied to other well-known types of Mo/Si multilayers and also to the proposed high-temperature stable multilayer mirror candidates (like MoSi 2 /Si, Mo 2 C/Si or Mo/Si multilayers with other interface layers such as thin diffusion/intermixing barrier layers).
  • a reactive source material e.g., lithium gets in contact with the material of, e.g., a spacer layer, e.g., a Si layer, e.g., a silicide formation and intermixing can occur.
  • a spacer layer e.g., a Si layer
  • intermixing e.g., a silicide formation and intermixing can occur.
  • the doping of the spacer layer material, e.g., with an n-type dopant can have the same beneficial effect in these other forms of MLM binary layers in addition to simply Mo/Si
  • the reactive source material e.g., lithium may still penetrate to the underlying silicon layers 34 ′, e.g., through voids and grain boundaries of the capping metal layer 22 and even through underlying absorber layers 32 , e.g., molybdenum layer 32 .
  • Lithium can then diffuse into the bulk of the Si layers 34 ′. The diffusion rate of Li into Si depends on the solubility of lithium in Si because the lithium concentration cannot exceed the solubility.
  • the solubility is higher for higher temperatures and for p-type doped Si. Therefore, and in particular for MLMs operated at elevated temperatures, it is of advantage to use n-type doped (e.g., phosphorus-doped) Si, since this decreases the solubility and thus the bulk-diffusion of lithium into the silicon.
  • n-type doped e.g., phosphorus-doped
  • the Si spacer layers 34 ′ of the MLM coatings are n-type doped (resistivity ⁇ 10-30 ⁇ cm). This can be done for instance, by using an appropriate n-type doped sputter target, if the coating is produced by a sputtering technique like magnetron sputtering. Even at higher temperatures the lithium diffusion into the Si layer 34 ′ is reduced.
  • an MLM 40 which may comprise a plurality of binary layers 50 built onto a suitable substrate 42 , which may comprise, e.g., silicon, silicon carbide or molybdenum.
  • the plurality of binary layers 50 may each comprise an absorber layer, 54 , made, e.g., of molybdenum and a spacer layer 52 made, e.g., from silicon.
  • the plurality of binary layers 50 may be capped by a binary capping layer 60 , made, e.g., from a top capping layer 62 of ruthenium underlain by a source material diffusion barrier layer 64 , e.g., made of, e.g., a carbide or a boride or a nitride, or yttrium or zirconium, or compounds or alloys thereof.
  • the binary capping layer 60 may in turn be covered with a coating 66 comprising, e.g., the reactive source material itself, e.g., lithium.
  • This coating layer 66 of lithium may be the direct result of creating plasma of lithium in close proximity to the collector/director formed using the MLM 40 , e.g., with an LPP initiating the plasma by irradiation with a laser beam of a target made of the source material, which may be, e.g., lithium.
  • the collector/director mirror 40 of the EUV light source e.g., an LPP EUV light source, but also perhaps a DPP EUV light source, will likely be covered by a layer 66 comprising, e.g., several atomic layers of lithium originating from the source. If the lithium coating 66 is only a few nanometers thick, the mirror 40 reflectivity will not be significantly reduced according to applicants' assignee's investigations.
  • a Ru capping layer 60 that is, e.g., resistant to attack by a reactive source material, e.g., lithium and also, e.g., to oxidation, e.g., ruthenium may be a solution to corrosion and/or oxidation.
  • a reactive source material e.g., lithium
  • oxidation e.g., ruthenium
  • a relatively transparent spacer layer 70 on top of the capping oxidation and corrosion resistant layer, e.g., a Ru capping layer 60 , which can conserve the bilayer spacing of the multilayer mirror 40 ′ and, therefore lead to a smaller reduction of the peak reflectivity.
  • a layer 70 which may be comprised of, e.g., a compound of the material, the diffusion of which is to be blocked, also needs to be resistant to lithium exposure.
  • the placement of the relatively transparent spacer layer 70 as discussed in more detail below, on the Ru layer 62 can form a binary capping layer 72 that acts much like the underlying binary MLM stack binary layers 50 in contributing to the reflectivity of the MLM 40 ′.
  • a collector/director mirror may be formed of a high-temperature, e.g., around 250° C. to 500° C.-stable multilayer stack, which can include binary layers of Mo and/or its compounds and Si and/or its compounds, e.g., MoSi 2 /Si or Mo 2 C/Si, and also including, e.g., Mo/X/Si/X, where X represents a compound thin film that can be used as inter-diffusion barrier layer. All these possible stacks however, can contain an element that is reactive with a reactive source material, e.g., lithium, e.g., Si or silicon-compound layers that are prone to attack by and chemical reaction with, e.g., lithium.
  • a reactive source material e.g., lithium, e.g., Si or silicon-compound layers that are prone to attack by and chemical reaction with, e.g., lithium.
  • the Mo and/or Mo compound layers may not completely inhibit diffusion of lithium to the underlying layers.
  • a ruthenium capping layer preferentially deposited on top of a very thin diffusion barrier consisting of, e.g., borides or carbides or nitrides, like C, B 4 C, BN, SiC, ZrB 2 , NbB 2 , ZrN, NbN, Si 3 N 4 , etc., can serve to protect the layers below from lithium attack. Even if the MLM collector/director mirror is heated, in an effort to evaporate deposited debris comprising the reactive source layer, e.g., lithium, several atomic layers of lithium deposition can build up on its surface due to the exposure of lithium flux from the DPP/LPP source.
  • the layer thickness of a monolayer (a single atomic layer) of lithium can be, e.g., about 0.3 nm.
  • a few monolayers of lithium will not absorb much 13.5 nm EUV light, e.g., the single-pass transmission of a 20 nm thick of lithium is around 80%. Nevertheless, the lithium layers will reduce the reflectivity of the coating. This is in part because the lithium absorber layer is deposited in the “wrong place” on the multilayer stack.
  • the Ru layer may have voids through which the lithium may reach the underlying Si layer(s) where it will induce chemical reactions leading to a degradation of the reflection properties of the multilayer stack.
  • the multilayer mirror 40 ′ having a plurality of binary layers 50 optimized for around 13.5 nm and for high-temperature stability must be protected against diffusion, reaction and corrosion, e.g., by a reactive source material, e.g., lithium This can be done by means of, e.g., at least one protective capping layer 60 made of Ru as shown in FIG. 3 .
  • Multilayer mirrors, e.g., MLM 40 ′ optimized for ⁇ 13.5 nm typically require silicon spacer layers 52 . If the mirror 40 ′ is exposed to a reactive source material, e.g., lithium, however, the silicon layer(s) 52 needs to be protected from attack by lithium.
  • a ruthenium capping layer 60 which may be separated from the last Si layer 52 by a thin diffusion barrier layer 64 , since the Ru in capping layer 62 is not attacked by lithium. Furthermore, lithium will not diffuse into the Ru layer and/or through the barrier layer 64 to the underlying binary MLM layers 50 .
  • the Ru capping layer 62 can only be a few nanometers thick in order not to significantly reduce the reflectivity of the MLM 40 .
  • a very thin diffusion barrier layer 64 consisting of, e.g., borides or carbides, e.g., less than 1 nm thick, can aid in providing a well-defined layer boundary between the Si and the Ru capping layer.
  • the protective capping layer 62 is itself a binary layer 72 , as shown in FIG. 4 .
  • Such a structure according to aspects of an embodiment of the present invention can act like the binary absorber/spacer layers 50 of a MLM 40 ′.
  • This capping binary layer 72 can consist of an absorber layer 62 , e.g., comprised of the Ru capping layer 62 , shown in FIG. 3 , and an appropriate spacer layer 70 , which may comprise compound of a reactive source, e.g., a lithium niobate (LiNbO 3 ) layer 70 .
  • the selected binary capping binary layer 72 spacer layer 70 can be chosen to have a fairly high transparency to the selected EUV wavelength, e.g., 13.5, to act as a capping binary layer 72 spacer layer 70 and also to block diffusion of reactive source material, e.g., lithium through the capping binary layer 72 spacer layer 70 and into the underlying layer 62 and further into the underlying binary layers 50 containing material with which the reactive source material, e.g., lithium is desired to be prevented from reacting, e.g., silicon.
  • the compound of the reactive source material e.g., lithium niobate, can also serve to allow for some additional built up of source material, whether reactive with the underlying layers or not.
  • the buildup of source material, whether reactive of not, e.g., lithium, but also possibly tin, iridium or xenon, on top of the LiNbO 3 layer 70 , will occur at the correct position for interference within the capping binary layer 72 absorber layer 70 , e.g., comprising lithium.
  • the overall reflectivity of the MLM 40 ′ will not be significantly affected.
  • the spacer layer 70 formed on the absorber Ru layer, forming a binary capping layer 72 functions similarly to the underlying binary layers 50 for purposes of reflectivity, because is comprises a relatively transparent (to the desired EUV wavelength) compound of the plasma source material, whether reactive or not, and can accommodate the additional deposition of further source material, e.g., comprising several atomic monolayers of source material (which may also diffuse into the source material compound accommodating even more than several monolayers of deposition, without fundamentally changing the performance of the source material compound (e.g., LiNbO 3 ), but also including other perhaps less reactive source material compounds, e.g., compounds of tin, iridium or xenon).
  • a further advantage of such a layer 74 e.g., comprising a lithium niobate film is that lithium niobate films are stable and not reactive in a lithium environment.
  • the top layer 70 of the capping binary layer 72 is basically just like a Mo/Si multilayer 50 , but the capping binary layer 72 is made of Ru and LiNbO 3 that have more favorable chemistry and can protect the underlying multilayer mirror binary layers 50 , comprised, e.g., of Mo/Si binary layers 50 from attack by lithium.
  • the reflection and transmission properties of the Ru/LiNbO 3 capping binary layer 72 at around 13.5 nm are not quite as good as for a Mo/Si bilayer 50 , but its resistance to lithium attack more than offsets this drawback.
  • the diffusion of the lithium to the underlying silicon layers 52 can be reduced further and the protection from lithium attack can be increased, while at the same time obtaining a better overall reflectivity for the MLM 40 ′ than with just a Ru capping layer 62 as shown in FIG. 3 .
  • a spacer layer 70 may be placed above the ruthenium layer 62 which can receive the depositing lithium from the exposure of the MLM 40 ′ to lithium, the layers 62 , 70 comprising a capping binary layer 72 .
  • This spacer layer 70 is selected to be compatible with lithium. Lithium niobate is proposed, due, in part, to a number of useful properties.
  • the selected material e.g., lithium niobate for use when the source material is lithium
  • the selected material is very stable and not reactive; it can be safely subjected to source material, e.g., lithium exposure/diffusion since it already contains the source material, e.g., lithium; it is one of the few lithium compounds that can be handled without problems in air due to its chemical stability; it has excellent temperature stability, up to at least around 1000° C.; it is mainly an electro-optical material (piezo-electric, ferro-electric, non-linear crystal); flat wafers or curved surfaces can be used as substrates, e.g., for forming the MLM by coating of multiple layers along with the top capping layer 70 of LiNbO 3 .
  • Lithium niobate as other possible materials that may be selected, is also very transparent at the EUV wavelengths of interest, e.g., lithium niobate is almost as transparent as silicon at 13.5 nm. Lithium will diffuse into the LiNbO 3 , but an equilibrium will be reached.
  • Another very thin ( ⁇ 1 nm) inter-diffusion barrier layer 76 may optionally also be introduced between the layers 62 , 70 of the capping binary layer 72 comprising, e.g., the Ru layer 64 and the LiNbO 3 layer 70 to reduce lithium diffusion to the underlying silicon layers even further.
  • This barrier layer 76 comprising, e.g., materials noted herein for such layers, can improve the protection from lithium attack.
  • a layer thickness of the Ru layer 62 of about 2.5 nm and a thickness of the LiNbO 3 layer of about 4.4 nm the “correct” bilayer thickness ratio for 13.5 nm light is obtained.
  • the lithium built up on its surface will now be in the “right” position, i.e., at the correct spacing from the underlying layer boundaries due to the presence of the spacer layer 70 on an absorber layer 62 .
  • Highly reflective surfaces can be achieved by two general approaches: the most straightforward is the utilization of a material which is inherently reflective in its monolithic form (e.g., aluminum, silver or gold).
  • the second is to construct multiple thin layer stacks of alternating thickness and refractive index.
  • the latter system type is generally referred to as a dielectric mirror; because high reflectance is achieved not through the inherent high reflectivity of the material, but rather an optical interference processes that depends on the thickness and refractive index n of each layer.
  • Precisely constructed thin film stacks can result in >99% reflectance, for visible light, though less for EUV, even when the materials involved are inherently transparent.
  • Applicants also propose to use a dielectric multilayer mirror with >70% reflectivity at 13.5 nm using, e.g., layers of a silicon compound of alternating density, e.g., alternating layers of SiC each having a different density.
  • SiC can handle high temperatures (2700° C.) and can be doped to improve electrical conductivity or other properties, e.g., diffusion deterrence, if desired. Since SiC/SiC stacks are of the same composition, thermal inter-diffusion of the layers will not be an issue for applications up to, e.g., 700° C. and even above. Since SiC can be made electrically conductive by doping, DC or RF biasing of such a stacked mirror is also possible.
  • FIG. 5 there is illustrated, schematically and not to scale, according to aspects of an embodiment of the present invention applicants proposal to use coatings compatible with lithium, e.g., yttrium (e.g., in the form of yttrium oxide, Y 2 O 3 ) as protective coatings for EUV multilayer stack collector/director mirrors or other EUV reflective optics.
  • a high-temperature multilayer-mirror 80 based on, e.g., multilayers of binary layers, comprising, e.g., Mo, MoSi 2 and Si layers, as noted above, may not be stable against attack by lithium.
  • yttrium and molybdenum may be even better suited than the capping binary layer of ruthenium and lithium niobate discussed above.
  • high-temperature multilayer mirrors need a protective layer compatible with lithium in order to prevent reaction with lithium and the protective layer and also because the protective layer has to have good EUV properties.
  • the topmost layer(s) should also have a good stability with respect to sputtering by source material, e.g., lithium and lithium ions produced from the laser-plasma source.
  • source material e.g., lithium and lithium ions produced from the laser-plasma source.
  • Yttrium, zirconium, molybdenum and other transition metals have a relatively low sputter yield with respect to lithium and are inert to reaction with lithium. In addition, they are still fairly transparent to 13.5 nm EUV radiation.
  • Either a multilayer like Mo/Y, or with barrier layers like Mo/Z/Y/Z may be used as a protection against a reactive source material, e.g., a lithium-protective layer.
  • a reactive source material e.g., a lithium-protective layer.
  • a reactive source material e.g., a lithium-protective layer.
  • a transition metal e.g., Y, Zr, Nb, Mo, Ru, Rh or Pd
  • layer of, e.g., a nitride or carbide of such transition metal, e.g., ZrC, YN, ZrN, BN, Si 3 N 4 , B 4 C or a silicide of such a transition metal, e.g., MoSi 2 may be used.
  • FIG. 5 there is shown a schematic view of an MLM 80 structure and arrangement, not to scale, according to aspects of an embodiment of the present invention.
  • Yttrium may be used in a binary capping layer 90 , e.g., as the top or spacer layer 92 of an MLM 80 .
  • the MLM 80 may also comprise a plurality of binary layers 82 , comprising, e.g., a absorber layer 84 , e.g., made of molybdenum and a spacer layer 86 , e.g., made of silicon, all forming a multilayer stack on a substrate 88 .
  • the binary capping layer 90 with its yttrium spacer layer 92 and molybdenum absorber layer 94 may serve to combat the adverse impacts of a reactive source material, e.g., lithium being deposited on the MLM 80 , which ill effects can be managed similarly to the embodiment discussed above with respect to FIG. 4 .
  • a reactive source material e.g., lithium being deposited on the MLM 80
  • the yttrium oxide layer 95 is stable against the lithium being deposited, similarly to the lithium niobate discussed above.
  • transition metals such as those noted above, e.g., Zr or Mo and their oxides, e.g., a top layer of ZrO 2 and MoO 3 can both serve to absorb the lithium deposition and the yttrium, zirconium or molybdenum can protect the underlying silicon layers from attack by lithium.
  • the diffusion of lithium can be reduced even further and the layers 92 , 94 will be even more stable at high temperatures, e.g., 400° C. -500° C.+.
  • high temperatures e.g. 400° C. -500° C.+.
  • the Mo and Y do not intermix, even at elevated temperatures and without the need for an intermixing barrier layer 96 , but that the lithium diffusion barrier layer 96 may still be useful. This may facilitate use without an intermediate intermixing deterring thin film between the Mo and Y layers in the stack.
  • An Mo/Y bilayer capping layer 90 will have a fairly high reflectivity for 13.5 nm radiation. However, they do not reflect 13.5 nm radiation quite as well as Si-based mirrors. However, applicants propose, as shown in FIG. 5 to coat a Si-based high-temperature multilayer mirror with one or more, e.g., several binary capping layers 90 of Mo and Y as discussed above and shown in FIG. 5 (illustrating as an example a single binary capping layer 90 ) without large loss of reflectivity.
  • Si-free multilayer based only on, e.g., Mo and Y layers or Mo and Y layers and suitable barrier layers against lithium diffusion like ZrC, YN, ZrN or other nitrides or borides throughout the MLM 80 , and utilize, e.g., the Y layer as the top capping layer, and perhaps also a coating 95 of Y 2 O 3 .
  • a high-temperature multilayer mirror e.g., with MoSi 2 /Si or Mo/C/Si/C or some other multilayer stack may be coated with a lithium-protective capping binary layer(s) comprising molybdenum, yttrium and perhaps also a thin diffusion barrier layer, which may serve as either an intermixing barrier layer, a lithium diffusion barrier layer or both. Either yttrium or molybdenum may be the topmost layer.
  • the structure of the MLM 80 may comprise one or several periodic Mo—Y binary layers with (or without) thin barrier layers between Mo and Y.
  • a thin yttrium oxide (Y 2 O 3 ) layer may form automatically after the coating process on topmost layer, e.g., when the mirror is exposed to air.
  • yttrium, molybdenum and yttrium oxide are resistant to lithium attack.
  • Highly oxygen-free yttrium according to aspects of an embodiment of the present invention may be used for the Y layers and the coating could be done in a coating system with very low base pressure, e.g., around ⁇ 10 ⁇ 8 Torr, in order to, e.g., prevent coating with oxide layers during the processing, which may tend to produce higher layer roughness and thus interface roughness.
  • the thin barrier layer may increase the contrast between the Mo and Y layers, and also serve as a diffusion barrier for lithium in order to prevent penetration and diffusion of lithium to the lower layers of the multilayer stack.
  • Good candidates for the barrier layers are certain nitrides, carbides or borides (like Si 3 N 4 , BN, ZrN, NbN, C, ZrC, NbC, SiC, B 4 C, ZrB 2 , NbB 2 , YN, YB 6 ).
  • the thin barrier layer on top of the Mo layer can be different from (or the same as) the thin barrier layer on the Y layer.
  • barrier layers can be different (or equal). It could also be that there is only one barrier layer used (instead of one each), e.g., on top of either the Mo or the Y layer, i.e., between binary layers and not between every layer of the MLM stack.
  • the thickness of the yttrium layers is near 4 nm
  • the thickness of the Mo layers is near 2.0 nm
  • the barrier layer thickness may be less than 1 nm, e.g., about 0.5 nm.
  • the thickness of the yttria layer that forms on the top yttrium layer may be less than 2 nm thick if the yttrium layer itself has a very low oxygen content, otherwise the complete yttrium layer may oxidize.
  • the topmost layer can be larger than 4 nm.
  • the topmost layer can be any of a variety of transition metals, e.g., yttrium, zirconium, molybdenum or ruthenium.
  • This may also be formed into and oxide, e.g., in case of yttrium it can be Y 2 O 3 , about 2 nm thick, which develops after first exposure of the MLM to air.
  • the coated mirror When the LPP light source is in operation, the coated mirror may be covered by a very thin ( ⁇ 1 nm) layer of adsorbed lithium.
  • Yttrium may be used as the so-called spacer (in place of silicon for the normal MLMs) and molybdenum as the “absorber”, e.g., in the protective binary capping layer.
  • Other possible spacer layers in place of yttrium could be yttrium-scandium alloys, scandium or calcium or calcium-zirconium, since these and their oxides (YScO 3 , CaO, Sc 2 O 3 , CaZrO) are resistant to lithium attack and still fairly transparent to 13.5 nm EUV radiation, although not quite as transparent as Y and Y 2 O 3 .
  • Yttrium is one of the most thermodynamically stable substances against reaction by hot lithium, even at 800° C.
  • a silicon-based high-temperature multilayer mirror with Mo/Z/Y/Z, where Z stands for a thin barrier layer
  • Z stands for a thin barrier layer
  • the barrier layers Z may also be omitted. Applicants believe that in such a construction there will be little intermixing of the layers, even at elevated temperatures, thus possibly eliminating at least one need for thin intermediate layers, i.e., deterrence of such intermixing leading to thermal instability.
  • the single-pass EUV transmission at 13.5 nm through a 1 nm-thick layer is 99.83% for Si, 99.0% for SiO 2 , i.e., for silicon-based materials, and 99.79% for Y, 98.97% for Y 2 O 3 , 99.57% for YB 6 , i.e., for yttrium-based materials.
  • high-temperature multilayer mirrors and lithium-protective coatings be applied during the production process to substrates at elevated substrate temperatures. This can serve to enhance the mobility of the atoms adsorbed during deposition and thus lead to lower layer roughness. Higher mobility on the surface produces more smooth coatings and interfaces. Since the high-temperature coatings last at higher temperatures, e.g., in the range of 400° C. to 600° C. they may also be produced at these temperatures.
  • the substrate may be kept at elevated temperatures, e.g., somewhere in the range of about 300° C. to 500° C.
  • elevated temperatures e.g., somewhere in the range of about 300° C. to 500° C.
  • the atom mobility during the deposition process is thereby enhanced.
  • this will also produce slightly more dense layers, which are also beneficial.
  • Applicants propose keeping the substrate at higher temperatures during the layer deposition process rather than using a later annealing at elevated temperatures after deposition at room temperature.
  • a high-temperature multilayer mirror consisting of alternating MoSi 2 and Si layers, which show stable reflectivity at 13.5 nm at up to 600° C., produced in the manner just described.
  • Other types of high-temperature stable MLM coatings may also be produced in this way.
  • a normal Mo/Si multilayer mirror which is not stable at such elevated temperatures, e.g., without intermediate layers, e.g., intermixing deterrence layers, deposition at these high temperatures does not help, but can lead to severe degradation of the multilayer structure (e.g., by intermixing) during the production process.
  • MoSi 2 /Si multilayer coatings since these multilayers have good thermal stability, at least in part because MoSi 2 and Si are in phase equilibrium and thus form stable interfaces at the boundary between the two materials without intermixing.
  • Other absorber/spacer multilayers which are also materials possessing the other qualities of MLM multilayers for EUV applications, as noted above, and are also materials that are in phase equilibrium may also be used.
  • the Mo content in the MoSi 2 may have to be increased to elevate the reflectivity.
  • Other materials may include, e.g., Mo 5 Si 3 which is in phase equilibrium with MoSi 2 used “inside of” the MoSi 2 layer, i.e., a MoSi 2 /Mo 5 Si 3 /MoSi 2 /Si as the multilayer structure absorber layer.
  • Mo 5 Si 3 is used as the main absorber in a multilayer structure where Si is the spacer.
  • Mo 5 Si 3 gives higher reflectivity than MoSi 2 in a multilayer stack together with Si spacers.
  • the layer structure is: Si—MoSi 2 —Mo 5 Si 3 —MoSi 2 —Si—MoSi 2 —Mo 5 Si 3 —MoSi 2 and so on.
  • This forms a binary (absorber/spacer) layer with an extra-absorber, e.g., Mo 5 Si 3 , which may not be compatible at the interface with the Si spacer layer, e.g., by being in phase equilibrium with the Si, sandwiched between the lesser absorber, but Si layer interface compatible MoSi 2 “normal” absorber layer, i.e., “inside” the normal MoSi 2 layer, thereby providing that only intermixing and diffusion compatible layers, e.g., layers in phase equilibrium are next to each other, while providing better reflectivity at the desired wavelengths.
  • the multilayer coating being more stable to temperatures up to about 700° C., for high-temperature application of multilayer mirrors in that part of the EUV wavelength range where normally Mo/Si mirror coatings are used.
  • a reactive source material e.g., a lithium DPP or LPP source
  • a Li-compatible capping layer(s) different from SiO 2 may be required as discussed above.
  • MoSi 2 /Si coatings are stable at high temperatures but due to its optical properties the MoSi 2 layer does not provide enough contrast and the peak reflectivity is not so high.
  • Use of Mo 5 Si 3 increases this contrast in the multilayer stack since (compared to MoSi 2 ) its optical properties are more similar to Mo.
  • an MLM 100 may be formed on a substrate 102 by the substrate 102 being coated by N successive binary layers 104 comprised of Si—MoSi 2 —Mo 5 Si 3 —MoSi 2 where Si layer 106 is the relatively transparent (at around 13.5 nm) spacer layer and the sandwich layer 110 comprising, e.g., a Mo 5 Si 3 layer 112 between two layers 114 which are both adjacent Si layer 106 interface compatible and adjacent Mo 5 Si 3 layer 112 interface compatible, e.g., made of MoSi 2 , with the layer number N somewhere in the range of, e.g., 40-120.
  • the topmost layer is silicon may form a thin e.g., about 2 nm thick SiO 2 capping layer 120 at the top after exposure to air.
  • the topmost layer is silicon may form a thin e.g., about 2 nm thick SiO 2 capping layer 120 at the top after exposure to air.
  • other capping layers e.g., yttrium, molybdenum, ruthenium, rhodium or palladium and compounds and combinations thereof could also be considered to form, e.g. single capping layers or binary capping layers as discussed herein.
  • the individual layer thickness of the MLM 100 may be to be optimized for the respective wavelength of use.
  • the thickness of the total Si—MoSi 2 —Mo 5 Si 3 —MoSi 2 binary layer 104 may be, e.g., around 6.9 nm.
  • the Si layer 106 thickness may be, e.g., about 4 nm.
  • the thickness of the MoSi 2 sandwiching layers 114 may be, e.g.; about 1 nm or less, typically, e.g., about 0.5 nm or even less.
  • the thickness of the Mo 5 Si 3 sandwiched layer may be, e.g., about 2 nm in order to give the right total thickness and absorber to spacer ratio for the selected wavelength, e.g., about 6.9 nm total thickness and about 0.4 nm absorber thickness providing an absorber to spacer thickness ratio for 13.5 nm.
  • the thickness of the capping layer 120 should be around ca. 3-4 nm or more, if higher protection is required.
  • Si or Y is the capping layer, it is best deposited on top of the MoSi 2 —Mo 5 Si 3 layer —MoSi 2 absorber sandwich layer 104 , since Si and Y are highly transparent at around 13.5 nm and serve as spacers in the multilayer stack. If, on the other hand, Mo, Ru, Rh or Pd is used as the capping layer, it is best deposited on top of the Si layer 106 , since they serve as absorber layers.
  • Amorphous layers can act much better as a diffusion blocker, e.g., deterring lithium diffusion, than polycrystalline layers, since the lithium can penetrate along grain boundaries in polycrystalline layers.
  • a multilayer system 130 , 130 ′ and 130 ′′ for high reflection of 13.5 nm EUV radiation which blocks lithium diffusion, as shown in FIGS. 7, 8 and 9 can be stable against reaction with lithium and also stable at high temperatures. It can be a high-temperature multilayer coating on its own as shown in FIG. 7 or it can be a protective multilayer coating on top of (capping) such a multilayer coating as shown in FIG. 8 or on top (capping) a more reflective high-temperature multilayer coating that uses silicon and/or silicon compounds as shown, e.g., in FIG. 9 .
  • Certain elements e.g., yttrium and zirconium are compatible with lithium (do not react with lithium) and are also highly transparent to 13.5 nm radiation.
  • An alloy of them can be used in the “spacer” layer 134 of the multilayer stack.
  • a Y—Zr alloy forming the layer 134 is used as the “spacer” layer.
  • Certain elements, e.g., molybdenum and ruthenium also do also not react readily and directly with lithium.
  • An alloy of them can be used as “absorber” layer 136 materials in the multilayer stack 130 , 130 ′ and 130 ′′ shown in FIGS. 7-9 .
  • a Mo—Ru alloy can also is used as the “absorber” layer 136 .
  • oxides of the transition metals like Y, Zr, Mo, Ru-oxides are susceptible to lithium intercalation, i.e., the lithium can penetrate native oxide layers, e.g., that form on top of the multilayer stack 130 , 130 ′, 130 ′′ after its exposure to air. Therefore according to aspects of an embodiment of the present invention, an additional diffusion barrier capping layer(s) 138 may be applied. ZrN and YN are good candidates material for this capping barrier layer(s) 138 .
  • a multilayer coating 130 , 130 ′ and 130 ′′, e.g., as shown in FIGS. 7-9 may be applied with, e.g., a Mo—Ru alloy absorber layer 136 which may comprise, e.g., about 40% Mo and 60% Ru. The composition is then approximately Mo 4 Ru 6 . Mo—Ru used as the absorber layer 136 may be, e.g., about 2-3 nm thick. The Y—Zr alloy may be used as the spacer layer 134 at about 4-4.5 nm thick. For YSZ, the composition is typically 20% or less yttrium and 80% or more Zr.
  • yttrium is more transparent than Zr at 13.5 nm it may be preferred to have a higher relative yttrium content than 20% and a lower zirconium content than 80% in the alloy as may be utilized to achieve the maximum reflectivity.
  • the Y—Zr alloy layer is expected to have lower grain size and interface roughness compared to a pure yttrium layer.
  • ZrN is one embodiment of a very thin intermediate barrier layer 140 at about 0.5 nm thick, applied between the Y—Zr and Mo—Ru layers in order to block intermixing and diffusion.
  • buffer layer materials can be nitrides like YN, BN, Si 3 N 4 or carbides like ZrC, B 4 C, NbC or Mo 2 C, or carbon or borides like ZrB 2 , YB 6 , MoB 2 , NbB 2 or boron.
  • the optimal total bilayer spacing (Y—Zr layer and Mo—Ru layer plus buffer layers) is about 7.2 nm for high normal-incidence reflectivity at 13.5 nm.
  • the multilayer coating of alternating layers of Mo—Ru and Y—Zr, either separated by buffer layers or not, may be applied on top of the collector mirror substrate 132 as a high-temperature capping coating that is stable under lithium exposure. Or it may be applied on top of a silicon-based binary layer high-temperature multilayer coating, as discussed above, as shown in FIG. 9 as a protective coating for lithium exposure.
  • the topmost layer can be the Y—Zr alloy as shown in FIG. 7 . It will form a native oxidized yttria-zirconia layer 138 upon exposure to air due to oxidation.
  • the capping barrier layer 138 may also be formed of the same ZrN as used in the intermediate barrier layers 140 , e.g., on top of a Y—Zr alloy layer as shown in FIG. 7 or on top of a Mo—Ru alloy layer 136 , e.g., in order to prevent oxidation as shown in FIG. 8 .
  • the capping or intermediate barrier layers may also comprise two thin layers, like ZrN at about 0.3 nm thick and Y at about 0.3 nm thick.
  • the intermediate barrier layers 140 can just be made from Zr and only the topmost capping barrier layer 138 made from ZrN or YN.
  • a MgF 2 capping layer as a lithium diffusion barrier or an etchant resistant barrier, e.g., resistant to a halogen etchant provided to remove source material from the outer layer of the collector/director or other EUV optic, e.g., Br, i.e., as a barrier to the bromine etching the MLM layers under MgF 2 capping layer.
  • a halogen etchant provided to remove source material from the outer layer of the collector/director or other EUV optic, e.g., Br, i.e., as a barrier to the bromine etching the MLM layers under MgF 2 capping layer.
  • MgF 2 capping layer may provide a better capping layer than provided in a Ru capped MLM, e.g., on top of MoSi2/Si binary layers 152 , comprising MoSi 2 absorber layer 158 and Si spacer layer 160 as is known in the prior art, but which do not provide the same level of protection against lithium diffusion, and in addition may not be as temperature stable and also do not resist bromine etching.
  • FIG. 11 there is illustrated an MLM 160 structure and composition according aspects of an embodiment of the present invention that utilizes, e.g., a dual-binary MLM stack or otherwise considered a binary layer including a sandwiched absorber layer, comprising, e.g., a yttrium spacer layer 162 and a MO absorber layer 164 , and a further underlying silicon spacer layer 166 and a further underlying Mo absorber layer 164 .
  • This series of dual binary layers 170 can be repeated throughout the MLM 160 stack to the substrate 161 , with the topmost Y layer 162 as a capping layer or with other single or binary capping layers as discussed herein.
  • the structure may also be considered as a binary MLM stack layer with a sandwiched Mo/Si/Mo absorber layer 168 as part of the binary layer also comprising the Y layer 162 .
  • the dual binary capping layer 170 as shown in FIG. 11 may also form underlying repetitive dual-binary stack layers 170 ′, e.g., to form the entire stack or substantially the entire stack with an advantage that as the dual-binary capping layer 170 becomes degraded in performance, e.g., due to diffusion or boundary intermediate layer/region instability, intermixing or the like the next underlying dual-binary capping layer of the same construction as the original forms the next dual-binary capping layer, and so forth down the stack toward the substrate 161 .
  • the thicknesses for the exemplary dual-binary layer shown in FIG. 11 may be, e.g., 4.19 nm Y, 2.75 nm Mo, 4.16 nm Si and 2.84 nm Mo, from top to bottom in the (Y/Mo/Si/Mo) N MLM 160 as shown in FIG. 11 .
  • FIG. 13 shows a graph of the reflectivity at 13.5 nm of such a system for the total number of layers, i.e., for about 100 layers, the repetitions of the four layer stack 168 would be 25.
  • FIG. 13 also shows that this type of four layer stack remains highly reflective with the addition of further layers from, e.g., 100 to 200 and above and further does not decrease much if the stack were to be originally 200 or so layers and be reduced over the life of the mirror to 100 or so.
  • the MLM 180 may comprise a capping absorber layer 182 , which may comprise, e.g., Mo and may overlay a spacer layer, e.g., comprising a Y capping spacer layer 184 , together forming a binary capping layer 185 .
  • a capping absorber layer 182 may comprise, e.g., Mo and may overlay a spacer layer, e.g., comprising a Y capping spacer layer 184 , together forming a binary capping layer 185 .
  • the bulk of the MLM 180 may then comprise a plurality of absorber layers 182 , which may comprise, e.g., Mo, and may each overlay a spacer layer, e.g., a SiC spacer layer, e.g., with an intermixing boundary intermediate layer 192 of, e.g., a boride or nitride or the like as mentioned herein, e.g., SiB, together forming binary MLM stack layer 188 .
  • a spacer layer e.g., a SiC spacer layer
  • intermixing boundary intermediate layer 192 of, e.g., a boride or nitride or the like as mentioned herein, e.g., SiB
  • a diffusion boundary binary stacking layer 190 e.g., comprised of the same materials as the binary capping and diffusion barrier layer 185 , i.e., by way of example the binary capping layer 185 of an Mo absorber layer 182 and a Y spacer layer 184 .
  • a goal is to prevent a reactive source metal, e.g., lithium being deposited on the optical element in the EUV light source generation chamber, from the formation of a plasma from a plasma source material that produces light at the desired wavelength in the EUV range, e.g., at around 13.5 nm, from penetrating deep into the multilayer mirror stack and, e.g., reacting there, e.g., with the silicon in a layer(s) of the MLM stack, which would eventually destroy the multilayer mirror reflectivity and significantly detract from the desirable lifetime of the MLM in the operating environment.
  • a reactive source metal e.g., lithium being deposited on the optical element in the EUV light source generation chamber
  • a thin oxide layer develops which provides an effective barrier for further oxidation and the coating is approximately “sealed” by a usually thin oxide layer, e.g., in the case of silicon-layer terminated multilayer coatings, which can typically exhibit a 2 nm thick stable SiO 2 layer on top and no further oxidation.
  • the second possibility is that the oxide layer thickness keeps growing, e.g., for the case of Mo/Si multilayers, when the molybdenum is the top layer, such that due to exposure to air, the oxygen will keep penetrating a Mo layer until it is fully oxidized.
  • the reflectivity then decreases, since MoO 3 oxide absorbs the 13.5 nm EUV radiation considerably more strongly than Mo. According to aspects of embodiments of the present invention applicants have considered the ramifications of these principles to MLM's for EUV collector/director mirror and other reflector elements.
  • Lithium is less reactive with SiO 2 than with bulk Si., however, at least for single-crystal surfaces the adsorption/reaction and diffusion of Li is much less strong for n-type doped Si.
  • elevated temperatures e.g., greater than around 250° C.
  • the SiO 2 top layer may to react with lithium more easily.
  • Si and Li react to form LiSi (silicide) compounds with various stochiometric mixtures.
  • Bulk silicon has limited reactivity towards Li, but electrochemical reaction takes place at above around 400° C. For nanomaterials, however, this can happen at around room temperature, most likely due to the higher surface energy.
  • SiO 2 may be a barrier to lithium diffusion at room temperature, but likely ceases to be so at more elevated temperature.
  • multilayers terminated with Si/SiO 2 are most likely prone to reaction with lithium and not suitable for high-temperature MLM coatings in a lithium environment.
  • One or several protective layers, however, with perhaps also additional thin reaction barrier/interdiffusion layers are needed as protection as discussed above.
  • Mo alone as a single capping layer of, e.g., a Mo/Si MLM mirror.
  • the Mo can oxidize, however, leading to about a 10-12% loss of reflectivity.
  • the lithium may react with the molybdenum oxide and form Li 2 O or lithium molybate (Li 2 MoO 4 ) which may also lead to a loss of reflectivity, but may also eventually lead to stable conditions under lithium exposure.
  • ruthenium capping layer As workable, since Ru capping layers have been used successfully before in other lithium environments. However, with Ru deposited directly on top of a Si layer, there is interdiffusion and a ruthenium silicide intermixing layer can be formed, which must be addressed in EUV MLM mirror applications. In order to prevent this, the LLNL group has suggested putting a thin B 4 C layer below the Ru capping layer.
  • the Ru layer has the advantage that it is oxidation resistant. However, there can be diffusion of the lithium into (and perhaps through) the ruthenium layer, at least there are studies for Li diffusion with single-crystal Ru surfaces, that suggest this is true. At 13.5 nm, ruthenium is almost as transparent as molybdenum, but not quite.
  • Rh and Pd have less tendency for oxidation but absorb the 13.5 nm radiation more strongly.
  • Nb and Zr will form an oxide layers but the elements themselves are more transparent.
  • the EUV transparency increases as one goes through the periodic table from Zr to Y to Sr to Rb.
  • elemental Rb is not the best choice, as it is relatively more reactive with, e.g., lithium, and also melts at low temperatures.
  • Compounds of Rb, however, e.g., RbCl could perhaps be considered.
  • Mo/Sr multilayer coatings have been tested by Montcalm et al from LLNL with not very encouraging results. The reflectivity decreased strongly after exposure to air, even when a protective carbon coating was applied. Due to their relatively high 13.5 nm EUV transparency, zirconium compounds. e.g., ZrC or ZrB 2 are good candidates, at least for thin barrier layers to protect lower layers and to prevent Li diffusion.
  • Mo/Y have been made successfully by S. Baijt et al. from the LLNL group after they obtained good sputter targets and had better UHV magnetron-sputtering conditions. They made and studied these multilayers for the region of 7-12 nm, mainly at around 11.5 nm. Mo/Y MLMs are good in the fairly broad region from 6-15 nm (at least theoretically), but they do not reach the reflectivity of Mo/Si in the 12.4-15 nm range.
  • Mo as the top layer has been found to be better than yttrium on top, and also better than a Pd capping layer, in the cases studied (for 11.5 nm MLM). If at the top, the yttrium layer apparently oxidizes completely, and perhaps some of the Mo below. The oxide background of the vacuum system during deposition and the oxide contend of the yttrium is very important for the achieved reflectivity. After annealing at elevated temperatures, e.g., 250, 380 and 480° C. there was better contrast in TEM results between the Mo and Y layers, but the reflectivity was only stable to 250° C. for the system studied.
  • the sputter target with somewhat higher oxygen content provided higher EUV reflectivity than the Mo/Y MLM with very low oxygen containing yttrium.
  • the oxygen could have had a smoothening effect.
  • very oxygen-free yttrium used as sputter target will result in only a thin (about 2 nm thick) Y 2 O 3 layer being formed and the yttrium below will not be attacked since it is relatively oxygen-free. This may also lead to better high-temperature stability with more oxygen-free yttrium layers (oxygen-free sputter target).
  • oxide layers used in this context include: Al 2 O 3 , MgO, AlN, BN, CaO, Y 2 O 3 , BeO, Er 2 O 3 , Sc 2 O 3 , CaZrO, YScO, Si 3 N 4 , LiAlO 3 , HfO 2 , ZrO 2 and others, some of which have been shown to be effective in such applications at elevated temperatures, e.g., above 500° C. and even up to 1000° C.
  • CaO and AlN are leading high temperature candidates, but CaO layers may have some draw-backs for EUV MLM applications, e.g., mass losses at high temperature.
  • Y 2 O 3 and Sc 2 O 3 seem to be the most stable oxides for hot lithium, e.g., yttria (Y 2 O 3 ) may be the most thermodynamically stable with very little corrosion.
  • Other possible oxides are Er 2 O 3 , YScO 3 , and CaO.
  • SiO 2 in contrast, is only marginally stable for Sn-25Li alloys, for example.
  • the 13.5 nm transmittance of a single layer of, e.g., 1 nm in thickness can be calculated and, e.g., single-pass transmission for Si: 99.83%, for Y: 99.79%, for Y 2 O 3 : 98.97%, for Sc 2 O 3 : 98.23%, for YB 6 : 99.64%, for CaO: 98.76%, to name some.
  • a YLiO 2 layer can form on the yttria.
  • Yttrium or yttrium compounds have good properties with respect to lithium and show little reaction.
  • yttrium compounds e.g., yttrium hexaboride for use as the thin intermediate layer for diffusion and intermixing deterrence in multilayer stacks.
  • applicants also consider using a Y 2 O 3 layer formed after exposure of yttrium to air as a capping layer.
  • Y should be as good as similar transition metals and better than Si, for example.
  • a high-temperature multilayer like MoSi 2 /Si
  • protective layers of Mo and Y separated, for example, by thin barrier layers to provide temperature stability (e.g., through intermixing deterrence) and a diffusion barrier for lithium.
  • Mo/Y is probably not stable at above 250° C.
  • a barrier layer might be needed.
  • Carbon or B 4 C may be used, although carbon may start to diffuse at higher temperatures.
  • Other possible barrier layers include ZrC, ZrB 2 , YB 6 , SiB 6 and others. Since yttrium is a reasonably good spacer material with fairly high transmittance at 13.5 nm, it is well suited for applications in EUV collector/director and other reflective applications in a MLM stack.
  • capping layers have been considered for protection against a reactive source material, e.g., lithium.
  • Oxidation has been considered, since some oxide layers are stable to Li at high temperatures, e.g., yttria, while some may not be.
  • Both single protection layers and multilayer protective stacks have been proposed and the use of a SiO 2 terminated high-temperature multilayer mirror with a candidate material for a protective layer is proposed.
  • a plasma based EUV light source reflective element comprising an MLM comprising a protective capping layer comprising itself a relatively transparent bi-layer of a metal from Groups 3 - 9 with periodic number 5, e.g., Ru and a layer containing a compound of a reactive plasma source material, e.g., lithium, which may comprise an oxide of the compound, e.g., LiNbO 3 , in place of, e.g., a plain metal, e.g., Ru top layer in a capping layer.
  • the lithium containing layer will not be significantly impacted by Li attack.
  • the metal and compound can form a binary capping layer with the right positioning, thickness pitch and absorber to spacer ratio and respective refractive indices to preserve the MLM period and phase shifting so that the loss in reflectivity of the MLM due to the binary capping layer is reduced if not eliminated.
  • the materials of the layers of the binary capping layer may be chosen to be in phase equilibrium, e.g., due to the compound being stoiciometric and or the materials not being subject to substantial intermixing.
  • a reactive plasma source material e.g., lithium
  • MLM that have, e.g., an MLM stack with, e.g., SiC layers of alternating density and maybe also doped for electrical conductivity, which will have good thermal stability to around 700° C.
  • This material is stiff, has low thermal expansion coefficient and good thermal conductivity, with high density and melting temperature.
  • the density regulates the index of refraction and thus the thicknesses of the respective different density layers to form the respective binary layer of the MLM stack.
  • SiC may for, e.g., coarse material grains that are not small w/r/t the wavelength.
  • This embodiment forms, e.g., material that is porous and have grain properties with the grain structure that is not dense or packed enough to create multiple reflecting surfaces.
  • the diffusion problem may be significantly reduced, e.g., due in part to lower temperature operation and the lower reactivity.
  • Li will attack Si, it is not clear how well it attacks SiC.
  • suitable capping e.g., with a capping layer of Ru or Mo could be used to protect the mirror surface, along with other capping techniques discussed in the present application or otherwise in the prior art.
  • Other advantages of a SiC dielectric multilayer stack mirror are: low thermal expansion coefficient, very stiff, rigid material, high thermal conductivity, high density (vacuum compatible), and high melting temperature. Polishing of SiC is also possible as there are suppliers who can polish the material.
  • a CVD process to create the multilayer mirror stack.
  • dense SiC and electrochemically etch or otherwise form holes it is possible to deposit dense SiC and electrochemically etch or otherwise form holes it.
  • Porous SiC will have a higher n, where denser SiC will have a lower n.
  • a refinement of the etch process could allow varying the electrochemical potential during SiC etching to produce, e.g., a 3-D pore structure. High current density etching will produce a more porous SiC.
  • a CVD process available from Trex is able to produce materials of laminar structure based on the Trex proprietary CVD process.
  • Another construction concept could involve changing the CVD process by mixing in different dopants at the proper times, which can serve to tune the refractive index and conductivity of the respective layer.
  • the final mirror would still be SiC, but with a few ceramic dopants to adjust density and electrical conductivity.
  • This concept should eliminate concerns regarding thermal damage of 13.5 nm radiation mirrors at elevated temperatures since diffusion of Si and SiC (self diffusion) in SiC is very slow. Thermal degradation is diffusion driven and observed in Mo/Si mirrors used at or over, e.g., 300° C.-500° C., since these materials are metallic in nature (not compounds). Interlayers can be used to improve Mo/Si mirrors, e.g., for >500° C. operation and may also prove useful for an SiC stack mirror.
  • a parabola shaped reflector could be made by deposition of alternating layers and then mounted to a flange. Since SiC can be conductive, one could heat, or DC/RF bias the collector for cleaning. An SiC multilayer stack mirror can be heated resistively. Since the material is rigid, one would expect it not to melt or soften at the desired 400° C.-500° C.+ operating temperature. According to aspects of an embodiment of the present invention applicants also propose to grow a bulk SiC mirror and have the SiC stack deposited onto it after polishing the mirror. A Ru or Mo capping layer on the SiC film could be used to prevent reaction with a reactive source material, e.g., lithium.
  • a reactive source material e.g., lithium
  • a plasma produced EUV light source MLM comprising, e.g., yttrium oxide along with molybdenum as a top capping binary layer for the underlying EUV MLM reflecting surfaces, which may also be Y/Mo binary layers or other binary layers.
  • a plasma produced EUV light source MLM comprising, e.g., yttrium oxide along with molybdenum as a top capping binary layer for the underlying EUV MLM reflecting surfaces, which may also be Y/Mo binary layers or other binary layers.
  • Mo/Y binary layers for the entire stack of the MLM, e.g., using intermediate barrier layers to allow use of Mo/Y stacks for the entire reflective stack at up to 400-500° C. and above.
  • Si based stacks can be coated with the binary capping layers of Mo, Y and perhaps also a diffusion barrier layers for the binary capping layer, with Y as the topmost layer, which may then also form a Y 2 O 3 layer when exposed to air (both Y and Y 2 O 3 being resistant to lithium) and sufficiently transparent to EUV at around 13.5 nm to be a spacer layer in a binary capping layer and/or throughout the MLM stack.
  • the Mo/Y and/or Mo/Yttria binary layers can have, e.g., better thermal properties than MoSi MLM with perhaps some sacrifice in total reflectivity. Use of the MoSi in lower layers and the Yttrium mirror layers on the top can lessen the loss in reflectivity.
  • Mo/Y layers are currently producible with limited enough oxygen content to be useful for EUV MLMs.
  • the Mo/Y based binary capping layers can be spread throughout the MLM to accommodate, e.g., the case that the top capping layer is destroyed. If lithium stays in the diffusion boundary layers of a respective binary layer there may be a resultant increase in reflectivity but also an increase the absorption of EUV.
  • MLMs can tolerate some influx of lithium and still work, though lithium diffusion reduces the contrast because the absorption goes up and the spacing is modified with respect to the selected EUV ⁇ and also diffusion of lithium into the intermediate barrier layer can changes the roughness, affecting reflectivity and thermal stability.
  • a spacer already containing lithium can tolerate more diffusion with less damage to mirror properties.
  • barrier layers includes fluorides like MgF, LiF SiOF, oxides, like Er 2 O 3 , HFO 2 , Ta 2 O 5 , refractory metal oxides, rare earth metal fluorides, nitrides and oxides, e.g., at nm thicknesses, chromium fluorides, nitrides and oxides and suicides.
  • Protective layer consisting of yttrium (or yttrium-zirconium alloy) with native yttria oxide (or yttrium-zirconia) layer on top to prevent diffusion/intercalation/penetration of lithium and to protect the lower (high-temperature) multilayer mirror coating from lithium.
  • Another good combination may be a protective layer consisting of a bilayer with (e.g. ca. 3 nm thick) ruthenium as top overcoat layer and (e.g. ca. 4 nm thick) yttrium as bottom overcoat layer.
  • Ru—Mo alloy as top overcoat layer or Mo covered by Ru as top overcoat layer above a yttrium (or yttrium-zirconium alloy) bottom overcoat layer.
  • Heating with normal Mo/Si can produce more intermixing at elevated temperatures but with layer less prone to intermixing, e.g., MoSi 2 /Si, Mo 2 C/Si, Mo/SiC, Mo/SiB 6 and also with barrier layers in between Mo/X/Si/X where X is C, SiC, Si nitrides and Si oxynitrides and borides and boronitrides there may be less intermixing with the heating during deposition.
  • This may also apply, e.g, with Y and its compounds, nitrides, borides carbides and oxynitrides are good diffusion barriers and have low reflectivity and low absorption in very thin layers.
  • These materials may be formed, e.g., by doping SiO 2 as it is being formed by oxidation of silicon, with nitrogen, boron or boron nitride.
  • the applicants have disclosed and the appended claims relate to the use of a sandwiched Mo 5 Si 3 main absorber layer with MoSi 2 sandwiching layers in a MoSi 2 —Mo 5 Si 3 —MoSi 2 —Si MLM stack to increase the amount of Mo in the main absorber layer (Si is the spacer layer) for better EUV reflectivity and also maintaining layers that are in phase equilibrium with each other bordering each other so as to enhance high temperature stability by avoiding boundary intermixing up to about 700° C.
  • barrier layer may be ZrN or other nitrides carbides or borides and with either the ZrN or other nitrides or carbides or borides or Y—Zr as the topmost layer of the capping binary layer and if ZrN is the topmost layer with the Mo—Ru absorber layer of the underlying binary layer as the interface to the capping layer.
  • the diffusion barrier layers may be amorphous, e.g., to reduce lithium diffusion.
  • the Y—Zr spacer alloy, yttrium stabilized zerconium can act as a very good stabilizer barrier layer.
  • MgF 2 or LiF as a lithium diffusion and MLM halogen etching barrier capping layer as an improvement over Ruthenium.
  • various debris management tactics are available for protection of the EUV source chamber collector optics, e.g., reflectors, which can subject, e.g., an MLM collector to harsh environments, one example of which is the used of halogen contained in the chamber to etch plasma formed debris, e.g., debris source material or perhaps more importantly compounds of debris source materials and like compounds that may be more difficult to remove by other mentioned techniques.
  • capping layers for an MLM that can both resist plasma source material diffusion and also resist etching by an etchant, e.g., a halogen used to etch plasma debris from the MLM outer capping layer.
  • an etchant e.g., a halogen used to etch plasma debris from the MLM outer capping layer.
  • these may include halogen containing coatings, e.g., fluorine containing coatings, e.g., MgF 2 or LiF.
  • binary layer as used in the present application including in the appended claims, as explained above with regard to aspects of at least one embodiment, is intended to cover, where appropriate, as will be understood by those skilled in the art, to cover “sandwiched” and other multiple layers as components of a binary layer, e.g., the sandwiched absorber layer discussed above used, e.g., to take advantage of better interface phase equilibrium as discussed above.

Abstract

Apparatus and methods are disclosed for forming plasma generated EUV light source optical elements, e.g., reflectors comprising MLM stacks employing various binary layer materials and capping layer(s) including single and binary capping layers for utilization in plasma generated EUV light source chambers, particularly where the plasma source material is reactive with one or more of the MLM materials.

Description

    RELATED APPLICATIONS
  • The present application is a continuation of U.S. patent application Ser. No. 11/021,261, filed on Dec. 22, 2004, which is a continuation-in-part of U.S. patent application Ser. No. 10/979,945, entitled LPP EUV LIGHT SOURCE, filed on Nov. 1, 2004, Attorney Docket No. 2004-0088-01, and Ser. No. 10/900,839, entitled EUV LIGHT SOURCE, filed on Jul. 27, 2004, Attorney Docket No. 2004-0044-01, and Ser. No. 10/803,526, entitled HIGH REPETITION RATE LPP EUV LIGHT SOURCE, filed on Mar. 17, 2004, Attorney Docket No. 2003-0125-01 and Ser. No. 10/798,740, entitled COLLECTOR FOR EUV LIGHT, filed on Mar. 10, 2004, Attorney Docket No. 2003-0083-01, the disclosures of each of which is incorporated by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to extreme ultraviolet (“EUV”) light generators providing EUV light from a plasma created from a source material and collected and directed to a focus for utilization outside of the EUV light source generation chamber, e.g., for semiconductor integrated circuit manufacturing photolithography process performing machines, e.g., at wavelengths of around 20 nm and below.
  • BACKGROUND OF THE INVENTION
  • It is known that for extreme ultraviolet (“EUV”) light, e.g., at wavelengths of around 20 nm or less, sometimes also referred to a soft-xrays, e.g., at 13.5 nm, reflective optical elements will be needed, e.g., for collecting and focusing the EUV light generated from a plasma created from a source material. At the wavelengths involved, either grazing angle of incidence or multi-layer mirror (“MLM”) so called normal angle of incidence reflectors will be necessary for the collection and focusing of the light emitted from the plasma, whether an electric discharge produced plasma (“DPP”) produced by an electrical discharge between a pair of electrodes or a laser produced plasma (“LPP”) produced by a focused laser beam irradiating a target material to produce the plasma.
  • In the process of the creation of the plasma for the emission of EUV light several harsh results of the plasma creation are released into the environment in the EUV light source generation chamber around the plasma that are potentially very damaging to materials comprising, e.g., the collector/director optical element, e.g., heat, high energy ions and scattered debris from the plasma formation, e.g., atoms or clumps of source material not ionized in the plasma formation process. The heat, high energy ions and/or source material may be damaging to the optical elements in a number of ways, including simply heating them, penetrating into them and, e.g., damaging structural integrity and/or optical properties, e.g., the mechanics of MLM operation to reflect light at such short wavelengths, corroding or eroding them and/or diffusing into them. The source materials may be particularly reactive, e.g., with a material making up at least one layer of the MLM, e.g., lithium and silicon, so that steps may need to be taken to reduce the potential effects of the reactivity, especially at elevated temperatures, and/or keep the materials separated, e.g., either by capping layers or by intermediate diffusion barrier layers or both. Temperature stability, ion-implantation and diffusion problems may need to be addressed even with less reactive source materials, e.g., tin, or indium or xenon.
  • In addition, the nature of debris management for the EUV light source chamber may result in increasing the harshness of the environment in which the MLM stack and its protective overcoating (capping) layer(s) need to operate and protect the underlying binary multilayer stack. This needs to be done without detracting significantly from overall reflectivity at the same time. Technique employed may be, e.g., heating the reflector to elevated temperatures of, e.g., over 500° C., e.g., to evaporate debris from the reflector surfaces and/or utilizing an etchant, e.g., a halogen etchant to etch debris from the reflector surfaces and/or creating a shielding plasma in the vicinity of the reflector surfaces, as discussed further in the above referenced co-pending patent application.
  • Applicants propose a variety of MLM arrangements and materials useful in optimizing the reflectivity of the optical elements to incident EUV light and the lifetime of the optical elements in the harsh environment, where, by way of example some 16 to 48 thousand plasma formations may occur per second of operation of the plasma generated EUV light source in close proximity to the collector/director and other optical elements in a light source chamber in which the optical elements must remain for months if not a year or more at a time, due to the difficulties engendered by breaking the seal of the light source chamber to replace optical elements and due to the expense of replacement of such optical elements.
  • Some have discussed lithium compatibilities with other materials and lithium diffusion, but not in the context of MLM and particularly not in the context of providing a suitable collector/director for an EUV light source with a reactive plasma source materials and specifically not in the context of a lithium plasma source material. M. Eckhardt, et al. “Influence of doping on the bulk diffusion of Li into Si(100)”, Surf. Sci. 319, 219-223 (1994) discusses the influence of doping on bulk diffusion of Li into a Si crystal. The article describes the influence of doping on the bulk diffusion of Li into Si(100). They state that for an n-type doped Si(100) surface there is no lithium diffusion into the bulk at temperatures below 1000 K (=730° C.). Applicants propose to apply this principle to the provision of suitable EUV optical reflecting elements where the source material for the plasma is a reactive element, e.g., lithium.
  • Yttria has been used by the fusion community to protect the first reactor wall from the hot lithium by means of a coating. Also work on Mo/Y multilayers has been done by Livermore Laboratories National Laboratories (“LLNL”) for reflection in the wavelength range of 7-12 nm. However applicants are not aware of use of yttrium for collector/director or other optics in a plasma generated EUV light source for protection of the optics, e.g., from a reactive source material, e.g., lithium.
  • Also see Compatibility of insulating ceramic materials with liquid breeders, Mitsuyama et al., Fusion Eng. Des. 39-40, 811(1998), Pint et al., “High temperature compatibility issues for fusion reactor structural materials”, Fusion Sci. Technol. 44, 433-440 (2003); Sarafat, et al., “Coolant structural materials compatibility,” Report, Apex meeting, Mar. 24, 2000; Kloidt et al, Appl. Phys. Lett. 58 (23), 2601-2603 (1991)
  • Others have discussed MLM materials and properties, but not in the context of plasma generated EUV light sources and also not reactive source materials, and particularly not in the context of the use of lithium as a plasma source material. Several patents and articles have discussed MLM materials and capping layers, but not in the context of the requirements for a plasma generated EUV collector/director and other EUV source chamber optics, e.g., temperature stability requirements at relatively elevated temperatures, and also not in the context of a reactive EUV plasma material and particularly lithium. Mo/Y MLMs without barrier layers have been shown to be thermally stable to 250° C. by Bajt et al., LLNL group, e.g., in Bajt, et al., “Mo:Y multilayer mirror technology utilized to image the near-field output of a Ni-like sn laser at 11.9 nm”, Optics Letters, Vol. 28, No. 22 (Nov. 15, 2003 p. 2249, and Kjornrattanawanich, “Reflectance, optical properties and stability of molybdenum/strontium and molybdenum/yttrium multilayer mirrors, Ph. D. Dissertation (University of California Davis, Report UCRL-LR-150541 (2002). If yttrium layers have just the right very small amount of oxygen or if they are essentially oxygen-free then Mo/Y multilayer may be stable also at temperatures above 250° C., as indicated by the referenced Kjornrattanawanich Dissertation, where it was observed that there is a higher contrast for Mo/Y multilayers in cross-sectional transmission-electron microscope pictures after heating (annealing) of the Mo/Y mirrors. U.S. Pat. No. 6,724,462, issued to Singh, et al. on Apr. 20, 2004, entitled CAPPING LAYER FOR EUV OPTICAL ELEMENTS, discusses EUV reflectors for lithography tool environments not subject to the rigors of the environment within a plasma produced EUV light source that must be accounted for in selecting appropriate materials for the reflectors, including, e.g., the choice between grazing angle or incidence reflecting layers and multilayer mirrors for more normal angle of incidence, the shape and proximity of reflector surfaces to the plasma, the plasma source material, debris mitigation steps taken, e.g., elevated temperatures for debris evaporation, halogen debris etching, debris diffusion, etc. Rather the materials selected by the '462 patent and others are based almost exclusive on maximizing reflectivity in a relatively sterile and pristine environment of a lithography tool utilizing EUV light for photoresist exposure, where, e.g., the capping layer is selected to be “relatively inert” to the surrounding environment, e.g., exposure to air. To similar effect is U.S. Pat. No. 6,656,575, issued to Bijkerk, et al. on Dec. 2, 2003, MULTILAYER SYSTEM WITH PROTECTING LAYER SYSTEM AND PRODUCTION METHOD, relating also to a lithography tool environment for EUV reflectors. U.S. Pat. No. 6,449,086, issued to Singh on Sep. 10, 2002, entitled MULTILAYER EXTREME ULTRAVIOLET MIRRORS WITH ENHANCED REFLECTIVITY is to the same effect relating to intermediate layer materials and a capping layer of “relatively inert material.” U.S. Pat. No. 6,228,512, issued to Bajt, et al. on May 8, 2001, entitled MORU/BE MULTILAYERS FOR EXTREME ULTRAVIOLET APPLICATIONS, relating to MoRu/Be MLM binary layers and roughness reducing and intermixing intermediate layers and oxide capping layers for systems potentially exposed to water vapor. U.S. Pat. No. 6,780,496, issued to Bajt, et al. on Aug. 24, 2004, entitled, OPTIMIZED CAPPING LAYERS FOR EUV MULTILAYERS including a binary capping layer with Ru and an undercoating to prevent Ru diffusion into the underlying binary layers and Ru selected for resistance to oxidation in, e.g., a lithography tool environment.
  • Takenaka et al., “Heat resistance of Mo/Si, MoSi2/Si and Mo5Si3/Si multilayer soft x-ray mirrors”, J. Appl. Phys. 78, 5227 (1995) discusses the combination Mo5Si3/Si, but not the combination Si—MoSi2—Mo5Si3—MoSi2 proposed by applicants.
  • SUMMARY OF THE INVENTION
  • Apparatus and methods are disclosed for forming DPP or LPP plasma generated EUV light source optical elements, e.g., reflectors comprising MLM stacks employing various binary layer materials and capping layer(s) including single and binary capping layers for utilization in plasma generated EUV light source chambers, particularly where the plasma source material is reactive with one or more of the MLM materials, such as a lithium plasma source material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows schematically and not to scale a multilayer mirror (“MLM”);
  • FIG. 2 shows schematically and not to scale an MLM structure and composition according to an aspect of an embodiment of the present invention improving upon, e.g., the MLM of FIG. 1;
  • FIG. 3 shows schematically and not to scale a multilayer mirror;
  • FIG. 4 shows schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention improving upon, e.g., the MLM of FIG. 3;
  • FIG. 5 illustrates schematically and not to scale, aspects of an embodiment of the present invention using coatings compatible with lithium, e.g., yttrium (e.g., in the form of yttrium oxide, Y2O3) as protective coatings, e.g., for EUV multilayer stack collector/director mirrors;
  • FIG. 6 illustrates schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention;
  • FIGS. 7, 8 and 9 illustrate schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention;
  • FIG. 10 illustrate schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention;
  • FIG. 11 illustrate schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention;
  • FIG. 12 illustrate schematically and not to scale an MLM structure and composition according to aspects of an embodiment of the present invention;
  • FIG. 13 illustrates the reflectivity of a Y/Mo/Si/Mo MLM for the number of layers.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • Turning now to FIG. 1 there is shown schematically and not to scale a prior art MLM 20 structure and composition including a ruthenium capping layer 22 and an underlying intermediate layer 24, which may be, e.g., a source material diffusion barrier layer 24, which may be selected for its resistance to diffusion of a selected material, or its compounds, e.g., the source material for the EUV plasma, e.g., lithium. The MLM 20 may be comprised of a plurality of binary layers 30 made up of an absorber layer, e.g., a molybdenum layer 32 and a spacer layer 34 e.g., of silicon.
  • Source material diffusion through the diffusion barrier layer 24 and into the binary layer(s) 30 and the formation between the layers 32 and 33 of a source material silicide, e.g., lithium silicide, which can cause, e.g., a roughness of the Mo and/or Si at the Mo/Si interface. This can detract from the binary layers 30 thermal stability at elevated temperatures, and also impact the reflectivity of the individual binary layers 30 and the MLM as a whole.
  • FIG. 2 shows schematically and not to scale a MLM 20′ like that of FIG. 1 with the spacer layer 34, e.g., of silicon replaced with a spacer layer 34′, which may comprise a layer of spacer material, e.g., silicon, doped with a material that will react with lithium, e.g., an n-type dopant, e.g., phosphorous. This silicide layer 34′ which can then serve to block the diffusion of the reactive source material, e.g., lithium through the doped silicon layer 34′ resulting in the prevention of the formation of, e.g., a silicide layer at the interface between the Mo and Si and therefore, being much less destructive of the effectiveness of the respective binary layer 30 and the MLM 20′ as a whole.
  • By applying the effectiveness of a doped layer 34′ blocking or at least significantly reducing the diffusion of the reactive source material, e.g., lithium through the silicon layers 34′ in the multilayer mirrors 20′, the lithium will not penetrate so deeply into the n-doped Si layer 34′, or form a silicide at the Si/Mo boundary.
  • The Si layers 34′ of a multilayer mirror 20binary layer 30, e.g., for reflecting EUV light at around 13.5 nm EUV, with the introduction of the dopant material, e.g., an n-type dopant reduces the solubility of lithium. This eliminates (or at least strongly reduces) penetration of the silicon layer 34′ by diffusion of lithium and prevents or strongly reduces the formation of silicides at the Mo/Si interfaces. Reduction of intermixing and reaction zones at the interface of the materials of the binary layer 30, e.g., the Mo/Si interface can preserve more sharply defined multilayer boundaries that produce higher reflectivities and better thermal stability.
  • According to aspects of an embodiment of the present invention this can be applied to other well-known types of Mo/Si multilayers and also to the proposed high-temperature stable multilayer mirror candidates (like MoSi2/Si, Mo2C/Si or Mo/Si multilayers with other interface layers such as thin diffusion/intermixing barrier layers). Here also, if a reactive source material, e.g., lithium gets in contact with the material of, e.g., a spacer layer, e.g., a Si layer, e.g., a silicide formation and intermixing can occur. Again, this can reduce the contrast at the multilayer boundaries and lead to a reduction in the MLM reflectivity and thermal stability. The doping of the spacer layer material, e.g., with an n-type dopant can have the same beneficial effect in these other forms of MLM binary layers in addition to simply Mo/Si
  • Even with the multilayer mirror protective capping layer 22, e.g., of ruthenium capping the underlying binary layers 30 comprising, e.g., a Si spacer layer 34 and a molybdenum absorber layer 32 the reactive source material, e.g., lithium may still penetrate to the underlying silicon layers 34′, e.g., through voids and grain boundaries of the capping metal layer 22 and even through underlying absorber layers 32, e.g., molybdenum layer 32. Lithium can then diffuse into the bulk of the Si layers 34′. The diffusion rate of Li into Si depends on the solubility of lithium in Si because the lithium concentration cannot exceed the solubility. The solubility is higher for higher temperatures and for p-type doped Si. Therefore, and in particular for MLMs operated at elevated temperatures, it is of advantage to use n-type doped (e.g., phosphorus-doped) Si, since this decreases the solubility and thus the bulk-diffusion of lithium into the silicon.
  • The Si spacer layers 34′ of the MLM coatings are n-type doped (resistivity˜10-30 Ω cm). This can be done for instance, by using an appropriate n-type doped sputter target, if the coating is produced by a sputtering technique like magnetron sputtering. Even at higher temperatures the lithium diffusion into the Si layer 34′ is reduced.
  • Turning Now to FIG. 3 there is shown schematically and not to scale an MLM 40, which may comprise a plurality of binary layers 50 built onto a suitable substrate 42, which may comprise, e.g., silicon, silicon carbide or molybdenum. The plurality of binary layers 50 may each comprise an absorber layer, 54, made, e.g., of molybdenum and a spacer layer 52 made, e.g., from silicon. The plurality of binary layers 50 may be capped by a binary capping layer 60, made, e.g., from a top capping layer 62 of ruthenium underlain by a source material diffusion barrier layer 64, e.g., made of, e.g., a carbide or a boride or a nitride, or yttrium or zirconium, or compounds or alloys thereof. The binary capping layer 60 may in turn be covered with a coating 66 comprising, e.g., the reactive source material itself, e.g., lithium.
  • This coating layer 66 of lithium may be the direct result of creating plasma of lithium in close proximity to the collector/director formed using the MLM 40, e.g., with an LPP initiating the plasma by irradiation with a laser beam of a target made of the source material, which may be, e.g., lithium. The collector/director mirror 40 of the EUV light source, e.g., an LPP EUV light source, but also perhaps a DPP EUV light source, will likely be covered by a layer 66 comprising, e.g., several atomic layers of lithium originating from the source. If the lithium coating 66 is only a few nanometers thick, the mirror 40 reflectivity will not be significantly reduced according to applicants' assignee's investigations. Ending the coating with a Ru capping layer 60 that is, e.g., resistant to attack by a reactive source material, e.g., lithium and also, e.g., to oxidation, e.g., ruthenium may be a solution to corrosion and/or oxidation.
  • However, as shown in FIG. 4, applicants propose to place a relatively transparent spacer layer 70 on top of the capping oxidation and corrosion resistant layer, e.g., a Ru capping layer 60, which can conserve the bilayer spacing of the multilayer mirror 40′ and, therefore lead to a smaller reduction of the peak reflectivity. Such a layer 70, which may be comprised of, e.g., a compound of the material, the diffusion of which is to be blocked, also needs to be resistant to lithium exposure. The placement of the relatively transparent spacer layer 70, as discussed in more detail below, on the Ru layer 62 can form a binary capping layer 72 that acts much like the underlying binary MLM stack binary layers 50 in contributing to the reflectivity of the MLM 40′.
  • A collector/director mirror may be formed of a high-temperature, e.g., around 250° C. to 500° C.-stable multilayer stack, which can include binary layers of Mo and/or its compounds and Si and/or its compounds, e.g., MoSi2/Si or Mo2C/Si, and also including, e.g., Mo/X/Si/X, where X represents a compound thin film that can be used as inter-diffusion barrier layer. All these possible stacks however, can contain an element that is reactive with a reactive source material, e.g., lithium, e.g., Si or silicon-compound layers that are prone to attack by and chemical reaction with, e.g., lithium. The Mo and/or Mo compound layers may not completely inhibit diffusion of lithium to the underlying layers. A ruthenium capping layer, preferentially deposited on top of a very thin diffusion barrier consisting of, e.g., borides or carbides or nitrides, like C, B4C, BN, SiC, ZrB2, NbB2, ZrN, NbN, Si3N4, etc., can serve to protect the layers below from lithium attack. Even if the MLM collector/director mirror is heated, in an effort to evaporate deposited debris comprising the reactive source layer, e.g., lithium, several atomic layers of lithium deposition can build up on its surface due to the exposure of lithium flux from the DPP/LPP source. The layer thickness of a monolayer (a single atomic layer) of lithium can be, e.g., about 0.3 nm. A few monolayers of lithium will not absorb much 13.5 nm EUV light, e.g., the single-pass transmission of a 20 nm thick of lithium is around 80%. Nevertheless, the lithium layers will reduce the reflectivity of the coating. This is in part because the lithium absorber layer is deposited in the “wrong place” on the multilayer stack. In addition, the Ru layer may have voids through which the lithium may reach the underlying Si layer(s) where it will induce chemical reactions leading to a degradation of the reflection properties of the multilayer stack.
  • As shown in FIG. 4 the multilayer mirror 40′ having a plurality of binary layers 50 optimized for around 13.5 nm and for high-temperature stability must be protected against diffusion, reaction and corrosion, e.g., by a reactive source material, e.g., lithium This can be done by means of, e.g., at least one protective capping layer 60 made of Ru as shown in FIG. 3. Multilayer mirrors, e.g., MLM 40′ optimized for ˜13.5 nm typically require silicon spacer layers 52. If the mirror 40′ is exposed to a reactive source material, e.g., lithium, however, the silicon layer(s) 52 needs to be protected from attack by lithium. This can be achieved by a ruthenium capping layer 60, which may be separated from the last Si layer 52 by a thin diffusion barrier layer 64, since the Ru in capping layer 62 is not attacked by lithium. Furthermore, lithium will not diffuse into the Ru layer and/or through the barrier layer 64 to the underlying binary MLM layers 50. However, the Ru capping layer 62 can only be a few nanometers thick in order not to significantly reduce the reflectivity of the MLM 40. A very thin diffusion barrier layer 64 consisting of, e.g., borides or carbides, e.g., less than 1 nm thick, can aid in providing a well-defined layer boundary between the Si and the Ru capping layer.
  • In order not to significantly decrease the over-all reflectivity of the MLM 40, however, a further improvement may be obtained as shown with respect to the improved MLM 40′ shown in FIG. 4, if the protective capping layer 62 is itself a binary layer 72, as shown in FIG. 4. Such a structure according to aspects of an embodiment of the present invention can act like the binary absorber/spacer layers 50 of a MLM 40′. This capping binary layer 72 can consist of an absorber layer 62, e.g., comprised of the Ru capping layer 62, shown in FIG. 3, and an appropriate spacer layer 70, which may comprise compound of a reactive source, e.g., a lithium niobate (LiNbO3) layer 70.
  • The selected binary capping binary layer 72 spacer layer 70 can be chosen to have a fairly high transparency to the selected EUV wavelength, e.g., 13.5, to act as a capping binary layer 72 spacer layer 70 and also to block diffusion of reactive source material, e.g., lithium through the capping binary layer 72 spacer layer 70 and into the underlying layer 62 and further into the underlying binary layers 50 containing material with which the reactive source material, e.g., lithium is desired to be prevented from reacting, e.g., silicon. The compound of the reactive source material, e.g., lithium niobate, can also serve to allow for some additional built up of source material, whether reactive with the underlying layers or not. The buildup of source material, whether reactive of not, e.g., lithium, but also possibly tin, iridium or xenon, on top of the LiNbO3 layer 70, will occur at the correct position for interference within the capping binary layer 72 absorber layer 70, e.g., comprising lithium. The overall reflectivity of the MLM 40′ will not be significantly affected. That is to say, the spacer layer 70 formed on the absorber Ru layer, forming a binary capping layer 72 functions similarly to the underlying binary layers 50 for purposes of reflectivity, because is comprises a relatively transparent (to the desired EUV wavelength) compound of the plasma source material, whether reactive or not, and can accommodate the additional deposition of further source material, e.g., comprising several atomic monolayers of source material (which may also diffuse into the source material compound accommodating even more than several monolayers of deposition, without fundamentally changing the performance of the source material compound (e.g., LiNbO3), but also including other perhaps less reactive source material compounds, e.g., compounds of tin, iridium or xenon). A further advantage of such a layer 74, e.g., comprising a lithium niobate film is that lithium niobate films are stable and not reactive in a lithium environment.
  • Lithium can diffuse into the LiNbO3 layer 74, but an equilibrium is reached. In other words, the top layer 70 of the capping binary layer 72 is basically just like a Mo/Si multilayer 50, but the capping binary layer 72 is made of Ru and LiNbO3 that have more favorable chemistry and can protect the underlying multilayer mirror binary layers 50, comprised, e.g., of Mo/Si binary layers 50 from attack by lithium. The reflection and transmission properties of the Ru/LiNbO3 capping binary layer 72 at around 13.5 nm are not quite as good as for a Mo/Si bilayer 50, but its resistance to lithium attack more than offsets this drawback. By having in addition a diffusion barrier layer 64 under the capping Ru layer 70, as shown in FIG. 4, the diffusion of the lithium to the underlying silicon layers 52 can be reduced further and the protection from lithium attack can be increased, while at the same time obtaining a better overall reflectivity for the MLM 40′ than with just a Ru capping layer 62 as shown in FIG. 3.
  • According to the above for the improvement of the over-all multilayer stack reflection capabilities, a spacer layer 70 may be placed above the ruthenium layer 62 which can receive the depositing lithium from the exposure of the MLM 40′ to lithium, the layers 62, 70 comprising a capping binary layer 72. This spacer layer 70 is selected to be compatible with lithium. Lithium niobate is proposed, due, in part, to a number of useful properties. The selected material, e.g., lithium niobate for use when the source material is lithium, is very stable and not reactive; it can be safely subjected to source material, e.g., lithium exposure/diffusion since it already contains the source material, e.g., lithium; it is one of the few lithium compounds that can be handled without problems in air due to its chemical stability; it has excellent temperature stability, up to at least around 1000° C.; it is mainly an electro-optical material (piezo-electric, ferro-electric, non-linear crystal); flat wafers or curved surfaces can be used as substrates, e.g., for forming the MLM by coating of multiple layers along with the top capping layer 70 of LiNbO3. Thin films of such materials as lithium niobate may be generated, both polycrystalline and amorphous as well as with epitaxial growth using a variety of processes (CVD, laser-deposition, sputtering techniques). Lithium niobate, as other possible materials that may be selected, is also very transparent at the EUV wavelengths of interest, e.g., lithium niobate is almost as transparent as silicon at 13.5 nm. Lithium will diffuse into the LiNbO3, but an equilibrium will be reached. Another very thin (<1 nm) inter-diffusion barrier layer 76 may optionally also be introduced between the layers 62, 70 of the capping binary layer 72 comprising, e.g., the Ru layer 64 and the LiNbO3 layer 70 to reduce lithium diffusion to the underlying silicon layers even further. This barrier layer 76 comprising, e.g., materials noted herein for such layers, can improve the protection from lithium attack. With a layer thickness of the Ru layer 62 of about 2.5 nm and a thickness of the LiNbO3 layer of about 4.4 nm the “correct” bilayer thickness ratio for 13.5 nm light is obtained. During use of the mirror 40′, the lithium built up on its surface will now be in the “right” position, i.e., at the correct spacing from the underlying layer boundaries due to the presence of the spacer layer 70 on an absorber layer 62.
  • Highly reflective surfaces can be achieved by two general approaches: the most straightforward is the utilization of a material which is inherently reflective in its monolithic form (e.g., aluminum, silver or gold). The second is to construct multiple thin layer stacks of alternating thickness and refractive index. The latter system type is generally referred to as a dielectric mirror; because high reflectance is achieved not through the inherent high reflectivity of the material, but rather an optical interference processes that depends on the thickness and refractive index n of each layer. Precisely constructed thin film stacks can result in >99% reflectance, for visible light, though less for EUV, even when the materials involved are inherently transparent.
  • Applicants also propose to use a dielectric multilayer mirror with >70% reflectivity at 13.5 nm using, e.g., layers of a silicon compound of alternating density, e.g., alternating layers of SiC each having a different density. SiC can handle high temperatures (2700° C.) and can be doped to improve electrical conductivity or other properties, e.g., diffusion deterrence, if desired. Since SiC/SiC stacks are of the same composition, thermal inter-diffusion of the layers will not be an issue for applications up to, e.g., 700° C. and even above. Since SiC can be made electrically conductive by doping, DC or RF biasing of such a stacked mirror is also possible.
  • Turning now to FIG. 5, there is illustrated, schematically and not to scale, according to aspects of an embodiment of the present invention applicants proposal to use coatings compatible with lithium, e.g., yttrium (e.g., in the form of yttrium oxide, Y2O3) as protective coatings for EUV multilayer stack collector/director mirrors or other EUV reflective optics. A high-temperature multilayer-mirror 80 based on, e.g., multilayers of binary layers, comprising, e.g., Mo, MoSi2 and Si layers, as noted above, may not be stable against attack by lithium. Similarly to the above discussed aspects of an embodiment of the present invention, according to another aspect of an embodiment of the present invention, yttrium and molybdenum may be even better suited than the capping binary layer of ruthenium and lithium niobate discussed above.
  • As noted above, high-temperature multilayer mirrors (MLMs) need a protective layer compatible with lithium in order to prevent reaction with lithium and the protective layer and also because the protective layer has to have good EUV properties. At the same time that such materials have to be fairly transparent to EUV radiation, and the topmost layer(s) should also have a good stability with respect to sputtering by source material, e.g., lithium and lithium ions produced from the laser-plasma source. Yttrium, zirconium, molybdenum and other transition metals have a relatively low sputter yield with respect to lithium and are inert to reaction with lithium. In addition, they are still fairly transparent to 13.5 nm EUV radiation. Either a multilayer like Mo/Y, or with barrier layers like Mo/Z/Y/Z may be used as a protection against a reactive source material, e.g., a lithium-protective layer. Similarly, perhaps just a single layer of such a transition metal, e.g., Y, Zr, Nb, Mo, Ru, Rh or Pd or layer of, e.g., a nitride or carbide of such transition metal, e.g., ZrC, YN, ZrN, BN, Si3N4, B4C or a silicide of such a transition metal, e.g., MoSi2 may be used.
  • Turning to FIG. 5 there is shown a schematic view of an MLM 80 structure and arrangement, not to scale, according to aspects of an embodiment of the present invention. Yttrium may be used in a binary capping layer 90, e.g., as the top or spacer layer 92 of an MLM 80. The MLM 80 may also comprise a plurality of binary layers 82, comprising, e.g., a absorber layer 84, e.g., made of molybdenum and a spacer layer 86, e.g., made of silicon, all forming a multilayer stack on a substrate 88. The binary capping layer 90, with its yttrium spacer layer 92 and molybdenum absorber layer 94 may serve to combat the adverse impacts of a reactive source material, e.g., lithium being deposited on the MLM 80, which ill effects can be managed similarly to the embodiment discussed above with respect to FIG. 4.
  • Since the yttrium layer 92 will not react with the lithium and if the surface of this top layer 92 oxidizes to form, e.g., a Y2O3 layer 95, the yttrium oxide layer 95 is stable against the lithium being deposited, similarly to the lithium niobate discussed above. Similarly other transition metals such as those noted above, e.g., Zr or Mo and their oxides, e.g., a top layer of ZrO2 and MoO3 can both serve to absorb the lithium deposition and the yttrium, zirconium or molybdenum can protect the underlying silicon layers from attack by lithium. If the, e.g., yttrium and molybdenum layers 92, 94 are separated by thin lithium diffusion barrier layer 96, e.g., comprising carbides, nitrides or bromides, the diffusion of lithium can be reduced even further and the layers 92, 94 will be even more stable at high temperatures, e.g., 400° C. -500° C.+. Applicants believe, however, that in such an application the Mo and Y do not intermix, even at elevated temperatures and without the need for an intermixing barrier layer 96, but that the lithium diffusion barrier layer 96 may still be useful. This may facilitate use without an intermediate intermixing deterring thin film between the Mo and Y layers in the stack. An Mo/Y bilayer capping layer 90 will have a fairly high reflectivity for 13.5 nm radiation. However, they do not reflect 13.5 nm radiation quite as well as Si-based mirrors. However, applicants propose, as shown in FIG. 5 to coat a Si-based high-temperature multilayer mirror with one or more, e.g., several binary capping layers 90 of Mo and Y as discussed above and shown in FIG. 5 (illustrating as an example a single binary capping layer 90) without large loss of reflectivity. Another possibility is to use a Si-free multilayer based only on, e.g., Mo and Y layers or Mo and Y layers and suitable barrier layers against lithium diffusion like ZrC, YN, ZrN or other nitrides or borides throughout the MLM 80, and utilize, e.g., the Y layer as the top capping layer, and perhaps also a coating 95 of Y2O3.
  • As noted a high-temperature multilayer mirror (e.g., with MoSi2/Si or Mo/C/Si/C or some other multilayer stack may be coated with a lithium-protective capping binary layer(s) comprising molybdenum, yttrium and perhaps also a thin diffusion barrier layer, which may serve as either an intermixing barrier layer, a lithium diffusion barrier layer or both. Either yttrium or molybdenum may be the topmost layer. The structure of the MLM 80 may comprise one or several periodic Mo—Y binary layers with (or without) thin barrier layers between Mo and Y. A thin yttrium oxide (Y2O3) layer (or a Mo-oxide layer) may form automatically after the coating process on topmost layer, e.g., when the mirror is exposed to air. In any event, yttrium, molybdenum and yttrium oxide are resistant to lithium attack. Highly oxygen-free yttrium according to aspects of an embodiment of the present invention may be used for the Y layers and the coating could be done in a coating system with very low base pressure, e.g., around <10−8 Torr, in order to, e.g., prevent coating with oxide layers during the processing, which may tend to produce higher layer roughness and thus interface roughness. To increase the thermal stability and reduce diffusion of lithium through the Mo/Y capping binary layer(s) there could be thin barrier layer between each Mo and Y layer. The thin barrier layer may increase the contrast between the Mo and Y layers, and also serve as a diffusion barrier for lithium in order to prevent penetration and diffusion of lithium to the lower layers of the multilayer stack. Good candidates for the barrier layers are certain nitrides, carbides or borides (like Si3N4, BN, ZrN, NbN, C, ZrC, NbC, SiC, B4C, ZrB2, NbB2, YN, YB6). The thin barrier layer on top of the Mo layer can be different from (or the same as) the thin barrier layer on the Y layer. The thickness of such barrier layers can be different (or equal). It could also be that there is only one barrier layer used (instead of one each), e.g., on top of either the Mo or the Y layer, i.e., between binary layers and not between every layer of the MLM stack.
  • For high reflectivity of the multilayer mirror stack at 13.5 nm, the thickness of the yttrium layers is near 4 nm, the thickness of the Mo layers is near 2.0 nm, the barrier layer thickness may be less than 1 nm, e.g., about 0.5 nm. The thickness of the yttria layer that forms on the top yttrium layer may be less than 2 nm thick if the yttrium layer itself has a very low oxygen content, otherwise the complete yttrium layer may oxidize. The topmost layer can be larger than 4 nm. The topmost layer can be any of a variety of transition metals, e.g., yttrium, zirconium, molybdenum or ruthenium. This may also be formed into and oxide, e.g., in case of yttrium it can be Y2O3, about 2 nm thick, which develops after first exposure of the MLM to air. When the LPP light source is in operation, the coated mirror may be covered by a very thin (<1 nm) layer of adsorbed lithium.
  • Yttrium may be used as the so-called spacer (in place of silicon for the normal MLMs) and molybdenum as the “absorber”, e.g., in the protective binary capping layer. Other possible spacer layers in place of yttrium could be yttrium-scandium alloys, scandium or calcium or calcium-zirconium, since these and their oxides (YScO3, CaO, Sc2O3, CaZrO) are resistant to lithium attack and still fairly transparent to 13.5 nm EUV radiation, although not quite as transparent as Y and Y2O3. Yttrium is one of the most thermodynamically stable substances against reaction by hot lithium, even at 800° C.
  • Alternatively, rather than coating a silicon-based high-temperature multilayer mirror with Mo/Z/Y/Z, where Z stands for a thin barrier layer, applicants propose according to aspects of an embodiment of the present invention to construct a lithium-compatible high-temperature MLM by just using a Mo/Z/Y/Z multilayer MLM stack, e.g., with yttrium as topmost layer, without using any silicon layers. The barrier layers Z may also be omitted. Applicants believe that in such a construction there will be little intermixing of the layers, even at elevated temperatures, thus possibly eliminating at least one need for thin intermediate layers, i.e., deterrence of such intermixing leading to thermal instability. The single-pass EUV transmission at 13.5 nm through a 1 nm-thick layer is 99.83% for Si, 99.0% for SiO2, i.e., for silicon-based materials, and 99.79% for Y, 98.97% for Y2O3, 99.57% for YB6, i.e., for yttrium-based materials.
  • According to aspects of an embodiment of the present invention, applicants propose that high-temperature multilayer mirrors and lithium-protective coatings be applied during the production process to substrates at elevated substrate temperatures. This can serve to enhance the mobility of the atoms adsorbed during deposition and thus lead to lower layer roughness. Higher mobility on the surface produces more smooth coatings and interfaces. Since the high-temperature coatings last at higher temperatures, e.g., in the range of 400° C. to 600° C. they may also be produced at these temperatures.
  • During the multilayer production (coating) process the substrate may be kept at elevated temperatures, e.g., somewhere in the range of about 300° C. to 500° C. The atom mobility during the deposition process is thereby enhanced. In addition to leading to a smoothening of the interfaces and a reduction in layer roughness, this will also produce slightly more dense layers, which are also beneficial. Applicants propose keeping the substrate at higher temperatures during the layer deposition process rather than using a later annealing at elevated temperatures after deposition at room temperature.
  • As an example a high-temperature multilayer mirror consisting of alternating MoSi2 and Si layers, which show stable reflectivity at 13.5 nm at up to 600° C., produced in the manner just described. Other types of high-temperature stable MLM coatings may also be produced in this way. For some MLMs, however, e.g., a normal Mo/Si multilayer mirror, which is not stable at such elevated temperatures, e.g., without intermediate layers, e.g., intermixing deterrence layers, deposition at these high temperatures does not help, but can lead to severe degradation of the multilayer structure (e.g., by intermixing) during the production process.
  • According to aspects of an embodiment of the present invention applicants propose to use MoSi2/Si multilayer coatings, since these multilayers have good thermal stability, at least in part because MoSi2 and Si are in phase equilibrium and thus form stable interfaces at the boundary between the two materials without intermixing. Other absorber/spacer multilayers which are also materials possessing the other qualities of MLM multilayers for EUV applications, as noted above, and are also materials that are in phase equilibrium may also be used. The Mo content in the MoSi2 may have to be increased to elevate the reflectivity. Other materials may include, e.g., Mo5Si3 which is in phase equilibrium with MoSi2 used “inside of” the MoSi2 layer, i.e., a MoSi2/Mo5Si3/MoSi2/Si as the multilayer structure absorber layer. For this system, all interface interfaces are made between layers that are in phase equilibrium, the Mo content is increased and the reflectivity is therefore higher. According to aspects of an embodiment of the present invention, Mo5Si3 is used as the main absorber in a multilayer structure where Si is the spacer. Mo5Si3 gives higher reflectivity than MoSi2 in a multilayer stack together with Si spacers. The layer structure is: Si—MoSi2—Mo5Si3—MoSi2—Si—MoSi2—Mo5Si3—MoSi2 and so on. This forms a binary (absorber/spacer) layer with an extra-absorber, e.g., Mo5Si3, which may not be compatible at the interface with the Si spacer layer, e.g., by being in phase equilibrium with the Si, sandwiched between the lesser absorber, but Si layer interface compatible MoSi2 “normal” absorber layer, i.e., “inside” the normal MoSi2 layer, thereby providing that only intermixing and diffusion compatible layers, e.g., layers in phase equilibrium are next to each other, while providing better reflectivity at the desired wavelengths. Thereby substantially preventing intermixing due to diffusion between layers at elevated temperatures results in the multilayer coating being more stable to temperatures up to about 700° C., for high-temperature application of multilayer mirrors in that part of the EUV wavelength range where normally Mo/Si mirror coatings are used. For application with a reactive source material, e.g., a lithium DPP or LPP source, a Li-compatible capping layer(s) different from SiO2 may be required as discussed above.
  • Normal Mo/Si multilayer mirrors degrade at temperatures above 100-200° C. due to interdiffusion/intermixing and are thus high temperature unstable without intermixing barrier layers that can reduce reflectivity. MoSi2/Si coatings are stable at high temperatures but due to its optical properties the MoSi2 layer does not provide enough contrast and the peak reflectivity is not so high. Use of Mo5Si3 increases this contrast in the multilayer stack since (compared to MoSi2) its optical properties are more similar to Mo. The theoretical reflectivity of Mo5Si3/Si multilayers can exceed R=70% at 13.5 nm (e.g., for N=80 layers). Thin layers of MoSi2 between the main Mo5Si3 and Si layers do not reduce this number by much.
  • According to aspects of an embodiment of the present invention, as shown in FIG. 6 an MLM 100 may be formed on a substrate 102 by the substrate 102 being coated by N successive binary layers 104 comprised of Si—MoSi2—Mo5Si3—MoSi2 where Si layer 106 is the relatively transparent (at around 13.5 nm) spacer layer and the sandwich layer 110 comprising, e.g., a Mo5Si3 layer 112 between two layers 114 which are both adjacent Si layer 106 interface compatible and adjacent Mo5Si3 layer 112 interface compatible, e.g., made of MoSi2, with the layer number N somewhere in the range of, e.g., 40-120. Similar to the usual Mo/Si multilayer the topmost layer is silicon may form a thin e.g., about 2 nm thick SiO2 capping layer 120 at the top after exposure to air. However, other capping layers as discussed herein, e.g., yttrium, molybdenum, ruthenium, rhodium or palladium and compounds and combinations thereof could also be considered to form, e.g. single capping layers or binary capping layers as discussed herein.
  • The individual layer thickness of the MLM 100 may be to be optimized for the respective wavelength of use. For optimization at 13.5 nm the thickness of the total Si—MoSi2—Mo5Si3—MoSi2 binary layer 104 may be, e.g., around 6.9 nm. The Si layer 106 thickness may be, e.g., about 4 nm. The thickness of the MoSi2 sandwiching layers 114 may be, e.g.; about 1 nm or less, typically, e.g., about 0.5 nm or even less. The thickness of the Mo5Si3 sandwiched layer may be, e.g., about 2 nm in order to give the right total thickness and absorber to spacer ratio for the selected wavelength, e.g., about 6.9 nm total thickness and about 0.4 nm absorber thickness providing an absorber to spacer thickness ratio for 13.5 nm. The thickness of the capping layer 120 should be around ca. 3-4 nm or more, if higher protection is required.
  • According to aspects of an embodiment of the present invention, if Si or Y is the capping layer, it is best deposited on top of the MoSi2—Mo5Si3 layer —MoSi2 absorber sandwich layer 104, since Si and Y are highly transparent at around 13.5 nm and serve as spacers in the multilayer stack. If, on the other hand, Mo, Ru, Rh or Pd is used as the capping layer, it is best deposited on top of the Si layer 106, since they serve as absorber layers.
  • According to aspects of an embodiment of the present invention, applicants propose to take advantage of the fact that MoRu alloy forms an amorphous layer rather than a polycrystalline layer. Amorphous layers can act much better as a diffusion blocker, e.g., deterring lithium diffusion, than polycrystalline layers, since the lithium can penetrate along grain boundaries in polycrystalline layers. A multilayer system 130, 130′ and 130″ for high reflection of 13.5 nm EUV radiation which blocks lithium diffusion, as shown in FIGS. 7, 8 and 9, can be stable against reaction with lithium and also stable at high temperatures. It can be a high-temperature multilayer coating on its own as shown in FIG. 7 or it can be a protective multilayer coating on top of (capping) such a multilayer coating as shown in FIG. 8 or on top (capping) a more reflective high-temperature multilayer coating that uses silicon and/or silicon compounds as shown, e.g., in FIG. 9.
  • Certain elements, e.g., yttrium and zirconium are compatible with lithium (do not react with lithium) and are also highly transparent to 13.5 nm radiation. An alloy of them can be used in the “spacer” layer 134 of the multilayer stack. A Y—Zr alloy forming the layer 134 is used as the “spacer” layer. Certain elements, e.g., molybdenum and ruthenium also do also not react readily and directly with lithium. An alloy of them can be used as “absorber” layer 136 materials in the multilayer stack 130, 130′ and 130″ shown in FIGS. 7-9. A Mo—Ru alloy can also is used as the “absorber” layer 136. However, oxides of the transition metals like Y, Zr, Mo, Ru-oxides are susceptible to lithium intercalation, i.e., the lithium can penetrate native oxide layers, e.g., that form on top of the multilayer stack 130, 130′, 130″ after its exposure to air. Therefore according to aspects of an embodiment of the present invention, an additional diffusion barrier capping layer(s) 138 may be applied. ZrN and YN are good candidates material for this capping barrier layer(s) 138. Since some lithium intercalation may still happen at the topmost capping barrier layer 138, according to aspects of an embodiment of the present invention applicants propose to use similar barrier intermediate layers 140 between the absorber layers 136 and spacer layers 134, in order to stop the diffusion of lithium into the lower layers 134, 136. Mo—Ru alloys will form an amorphous layer, which can also block lithium diffusion better than a polycrystalline Mo layer. The amorphous nature of the layer also reduces the roughness substantially. Some oxidized alloys of zirconium with yttrium are known as “yttria-stabilized zirconia” (“YSZ”) may be used as high-temperature barrier coatings, either as a capping layer 138 or intermediate layer 140 or both. They do not undergo any phase transitions at temperatures below about 500° C. They also form epitaxial layers on top of other thin films, which will, therefore, seal better against lithium diffusion. The layer roughness is also reduced. YSZ layers also have smaller grain sizes than pure Zr or ZrO2. The resultant reduced roughness of both absorber and spacer layers leads to higher EUV reflectivity.
  • A multilayer coating 130, 130′ and 130″, e.g., as shown in FIGS. 7-9 may be applied with, e.g., a Mo—Ru alloy absorber layer 136 which may comprise, e.g., about 40% Mo and 60% Ru. The composition is then approximately Mo4Ru6. Mo—Ru used as the absorber layer 136 may be, e.g., about 2-3 nm thick. The Y—Zr alloy may be used as the spacer layer 134 at about 4-4.5 nm thick. For YSZ, the composition is typically 20% or less yttrium and 80% or more Zr. But since yttrium is more transparent than Zr at 13.5 nm it may be preferred to have a higher relative yttrium content than 20% and a lower zirconium content than 80% in the alloy as may be utilized to achieve the maximum reflectivity. The Y—Zr alloy layer is expected to have lower grain size and interface roughness compared to a pure yttrium layer. ZrN is one embodiment of a very thin intermediate barrier layer 140 at about 0.5 nm thick, applied between the Y—Zr and Mo—Ru layers in order to block intermixing and diffusion. Other buffer layer materials can be nitrides like YN, BN, Si3N4 or carbides like ZrC, B4C, NbC or Mo2C, or carbon or borides like ZrB2, YB6, MoB2, NbB2 or boron.
  • The optimal total bilayer spacing (Y—Zr layer and Mo—Ru layer plus buffer layers) is about 7.2 nm for high normal-incidence reflectivity at 13.5 nm. The multilayer coating of alternating layers of Mo—Ru and Y—Zr, either separated by buffer layers or not, may be applied on top of the collector mirror substrate 132 as a high-temperature capping coating that is stable under lithium exposure. Or it may be applied on top of a silicon-based binary layer high-temperature multilayer coating, as discussed above, as shown in FIG. 9 as a protective coating for lithium exposure.
  • The topmost layer can be the Y—Zr alloy as shown in FIG. 7. It will form a native oxidized yttria-zirconia layer 138 upon exposure to air due to oxidation. The capping barrier layer 138 may also be formed of the same ZrN as used in the intermediate barrier layers 140, e.g., on top of a Y—Zr alloy layer as shown in FIG. 7 or on top of a Mo—Ru alloy layer 136, e.g., in order to prevent oxidation as shown in FIG. 8.
  • The capping or intermediate barrier layers may also comprise two thin layers, like ZrN at about 0.3 nm thick and Y at about 0.3 nm thick. Or the intermediate barrier layers 140 can just be made from Zr and only the topmost capping barrier layer 138 made from ZrN or YN.
  • The peak reflectivity for a multilayer mirror using a binary layer, with intermediate barrier layers, of Mo—Ru/ZrN/Y—Zr/ZrN at 13.5 nm can be on the order of R=50%, depending on the layer alloy composition. It may be higher for Y—Zr layers with high yttrium content and for Mo—Ru layers with high molybdenum content.
  • According to an aspect of an embodiment of the present invention, applicants propose the use of a MgF2 capping layer as a lithium diffusion barrier or an etchant resistant barrier, e.g., resistant to a halogen etchant provided to remove source material from the outer layer of the collector/director or other EUV optic, e.g., Br, i.e., as a barrier to the bromine etching the MLM layers under MgF2 capping layer. The mirror structure of the MLM 150 as shown in FIG. 10 may be, e.g., a plurality of binary layers 152 on a substrate 154 covered with a capping layer 156 of, e.g., a 10 nm layer of MgF2 deposited on top of, e.g., a plurality of standard MoSi2/Si binary layers 152. The MgF2 capping layer may provide a better capping layer than provided in a Ru capped MLM, e.g., on top of MoSi2/Si binary layers 152, comprising MoSi2 absorber layer 158 and Si spacer layer 160 as is known in the prior art, but which do not provide the same level of protection against lithium diffusion, and in addition may not be as temperature stable and also do not resist bromine etching.
  • Turning now to FIG. 11 there is illustrated an MLM 160 structure and composition according aspects of an embodiment of the present invention that utilizes, e.g., a dual-binary MLM stack or otherwise considered a binary layer including a sandwiched absorber layer, comprising, e.g., a yttrium spacer layer 162 and a MO absorber layer 164, and a further underlying silicon spacer layer 166 and a further underlying Mo absorber layer 164. This series of dual binary layers 170 can be repeated throughout the MLM 160 stack to the substrate 161, with the topmost Y layer 162 as a capping layer or with other single or binary capping layers as discussed herein. The structure may also be considered as a binary MLM stack layer with a sandwiched Mo/Si/Mo absorber layer 168 as part of the binary layer also comprising the Y layer 162. The dual binary capping layer 170, as shown in FIG. 11 may also form underlying repetitive dual-binary stack layers 170′, e.g., to form the entire stack or substantially the entire stack with an advantage that as the dual-binary capping layer 170 becomes degraded in performance, e.g., due to diffusion or boundary intermediate layer/region instability, intermixing or the like the next underlying dual-binary capping layer of the same construction as the original forms the next dual-binary capping layer, and so forth down the stack toward the substrate 161. The thicknesses for the exemplary dual-binary layer shown in FIG. 11 may be, e.g., 4.19 nm Y, 2.75 nm Mo, 4.16 nm Si and 2.84 nm Mo, from top to bottom in the (Y/Mo/Si/Mo)N MLM 160 as shown in FIG. 11.
  • FIG. 13 shows a graph of the reflectivity at 13.5 nm of such a system for the total number of layers, i.e., for about 100 layers, the repetitions of the four layer stack 168 would be 25. FIG. 13 also shows that this type of four layer stack remains highly reflective with the addition of further layers from, e.g., 100 to 200 and above and further does not decrease much if the stack were to be originally 200 or so layers and be reduced over the life of the mirror to 100 or so.
  • Turning now to FIG. 12 there is shown schematically and not to scale an MLM 180, on a substrate 181, according to aspects of an embodiment of the present invention. The MLM 180 may comprise a capping absorber layer 182, which may comprise, e.g., Mo and may overlay a spacer layer, e.g., comprising a Y capping spacer layer 184, together forming a binary capping layer 185. The bulk of the MLM 180 may then comprise a plurality of absorber layers 182, which may comprise, e.g., Mo, and may each overlay a spacer layer, e.g., a SiC spacer layer, e.g., with an intermixing boundary intermediate layer 192 of, e.g., a boride or nitride or the like as mentioned herein, e.g., SiB, together forming binary MLM stack layer 188. Also according to aspects of an embodiment of the present invention, a diffusion boundary binary stacking layer 190, e.g., comprised of the same materials as the binary capping and diffusion barrier layer 185, i.e., by way of example the binary capping layer 185 of an Mo absorber layer 182 and a Y spacer layer 184.
  • Those skilled in the art will understand from the above that a goal is to prevent a reactive source metal, e.g., lithium being deposited on the optical element in the EUV light source generation chamber, from the formation of a plasma from a plasma source material that produces light at the desired wavelength in the EUV range, e.g., at around 13.5 nm, from penetrating deep into the multilayer mirror stack and, e.g., reacting there, e.g., with the silicon in a layer(s) of the MLM stack, which would eventually destroy the multilayer mirror reflectivity and significantly detract from the desirable lifetime of the MLM in the operating environment. One problem is that lithium will easily diffuse through many materials, either directly through the bulk or through grain boundaries or along defects of the coating. Even though it may not react with the material of a capping layer, it could still penetrate and then react with layers below the capping layer. This can relatively rapidly destroy the multilayer structure or at least reduce the reflectance to an unacceptably small value. The fact that the mirror is heated potentially facilitates reactions further since it provides additional energy to stimulate the respective chemical reaction(s). Therefore according to aspects of an embodiment of the present invention applicants propose to use thin coatings that will not substantially react with lithium and/or that will prevent diffusion of lithium to lower layers, even at elevated temperatures of 400° C.-500° C.+. Applying principals learned from the fusion community with barrier coatings to protect the first wall, usually a vanadium alloy from the hot liquid lithium of the diverter system wherein the requirements are somewhat similar to the requirement for a lithium compatible high-temperature multilayer coating, applicants propose improvements to EUV collector/director reflective elements to better suit them for operation in the environment where a reactive source metal is used to generate the DPP or LPP plasma, and the requirements of that environment wherein EUV light is being generated and must be collected and directed to a focus, i.e., considerations such as the requirements for the effective operation of the materials selected in multilayer mirror stacks and at the desired wavelengths. The requirement of high EUV transmission and good thin film properties, low intermixing, low roughness, good layer growth, etc., all have been taken into account by applicants.
  • Another source of information is research work from the surface science community. They have studied the adsorption, reaction, diffusion and desorption of lithium layers from, generally speaking, single-crystal surfaces, e.g., for applications in semiconductor integrated circuit wafer processing materials sciences. According to aspects of an embodiment of the present invention applicants have considered aspects of, e.g., oxidation of the multilayer coatings when they are exposed to ambient air. Generally, there are two possibilities. A thin oxide layer develops which provides an effective barrier for further oxidation and the coating is approximately “sealed” by a usually thin oxide layer, e.g., in the case of silicon-layer terminated multilayer coatings, which can typically exhibit a 2 nm thick stable SiO2 layer on top and no further oxidation. The second possibility is that the oxide layer thickness keeps growing, e.g., for the case of Mo/Si multilayers, when the molybdenum is the top layer, such that due to exposure to air, the oxygen will keep penetrating a Mo layer until it is fully oxidized. The reflectivity then decreases, since MoO3 oxide absorbs the 13.5 nm EUV radiation considerably more strongly than Mo. According to aspects of embodiments of the present invention applicants have considered the ramifications of these principles to MLM's for EUV collector/director mirror and other reflector elements.
  • Lithium is less reactive with SiO2 than with bulk Si., however, at least for single-crystal surfaces the adsorption/reaction and diffusion of Li is much less strong for n-type doped Si. At elevated temperatures (e.g., greater than around 250° C., the SiO2 top layer may to react with lithium more easily. Si and Li react to form LiSi (silicide) compounds with various stochiometric mixtures. Bulk silicon has limited reactivity towards Li, but electrochemical reaction takes place at above around 400° C. For nanomaterials, however, this can happen at around room temperature, most likely due to the higher surface energy. SiO2 may be a barrier to lithium diffusion at room temperature, but likely ceases to be so at more elevated temperature. Therefore, multilayers terminated with Si/SiO2 are most likely prone to reaction with lithium and not suitable for high-temperature MLM coatings in a lithium environment. One or several protective layers, however, with perhaps also additional thin reaction barrier/interdiffusion layers are needed as protection as discussed above.
  • It may be possible to use Mo alone as a single capping layer of, e.g., a Mo/Si MLM mirror. The Mo can oxidize, however, leading to about a 10-12% loss of reflectivity. The lithium may react with the molybdenum oxide and form Li2O or lithium molybate (Li2MoO4) which may also lead to a loss of reflectivity, but may also eventually lead to stable conditions under lithium exposure.
  • Applicants also consider a ruthenium capping layer as workable, since Ru capping layers have been used successfully before in other lithium environments. However, with Ru deposited directly on top of a Si layer, there is interdiffusion and a ruthenium silicide intermixing layer can be formed, which must be addressed in EUV MLM mirror applications. In order to prevent this, the LLNL group has suggested putting a thin B4C layer below the Ru capping layer. The Ru layer has the advantage that it is oxidation resistant. However, there can be diffusion of the lithium into (and perhaps through) the ruthenium layer, at least there are studies for Li diffusion with single-crystal Ru surfaces, that suggest this is true. At 13.5 nm, ruthenium is almost as transparent as molybdenum, but not quite.
  • There are other transition metals as candidates for capping layer. Rh and Pd have less tendency for oxidation but absorb the 13.5 nm radiation more strongly. Nb and Zr will form an oxide layers but the elements themselves are more transparent. The EUV transparency increases as one goes through the periodic table from Zr to Y to Sr to Rb. However, elemental Rb is not the best choice, as it is relatively more reactive with, e.g., lithium, and also melts at low temperatures. Compounds of Rb, however, e.g., RbCl could perhaps be considered. Mo/Sr multilayer coatings have been tested by Montcalm et al from LLNL with not very encouraging results. The reflectivity decreased strongly after exposure to air, even when a protective carbon coating was applied. Due to their relatively high 13.5 nm EUV transparency, zirconium compounds. e.g., ZrC or ZrB2 are good candidates, at least for thin barrier layers to protect lower layers and to prevent Li diffusion.
  • Yttrium and yttrium compounds seem to be very promising for use in applications according to aspects of an embodiment of the present invention). Mo/Y have been made successfully by S. Baijt et al. from the LLNL group after they obtained good sputter targets and had better UHV magnetron-sputtering conditions. They made and studied these multilayers for the region of 7-12 nm, mainly at around 11.5 nm. Mo/Y MLMs are good in the fairly broad region from 6-15 nm (at least theoretically), but they do not reach the reflectivity of Mo/Si in the 12.4-15 nm range. Mo as the top layer has been found to be better than yttrium on top, and also better than a Pd capping layer, in the cases studied (for 11.5 nm MLM). If at the top, the yttrium layer apparently oxidizes completely, and perhaps some of the Mo below. The oxide background of the vacuum system during deposition and the oxide contend of the yttrium is very important for the achieved reflectivity. After annealing at elevated temperatures, e.g., 250, 380 and 480° C. there was better contrast in TEM results between the Mo and Y layers, but the reflectivity was only stable to 250° C. for the system studied. The sputter target with somewhat higher oxygen content provided higher EUV reflectivity than the Mo/Y MLM with very low oxygen containing yttrium. The oxygen could have had a smoothening effect. According to aspects of an embodiment of the present invention applicants believe that very oxygen-free yttrium used as sputter target will result in only a thin (about 2 nm thick) Y2O3 layer being formed and the yttrium below will not be attacked since it is relatively oxygen-free. This may also lead to better high-temperature stability with more oxygen-free yttrium layers (oxygen-free sputter target).
  • Other useful information comes from the fusion community. There an insulating lithium-resistant coating is needed to separate the hot liquid lithium from the vanadium alloy wall, and oxide layers used in this context include: Al2O3, MgO, AlN, BN, CaO, Y2O3, BeO, Er2O3, Sc2O3, CaZrO, YScO, Si3N4, LiAlO3, HfO2, ZrO2 and others, some of which have been shown to be effective in such applications at elevated temperatures, e.g., above 500° C. and even up to 1000° C. CaO and AlN are leading high temperature candidates, but CaO layers may have some draw-backs for EUV MLM applications, e.g., mass losses at high temperature. Y2O3 and Sc2O3 seem to be the most stable oxides for hot lithium, e.g., yttria (Y2O3) may be the most thermodynamically stable with very little corrosion. Other possible oxides are Er2O3, YScO3, and CaO. SiO2, in contrast, is only marginally stable for Sn-25Li alloys, for example. Given the density the 13.5 nm transmittance of a single layer of, e.g., 1 nm in thickness can be calculated and, e.g., single-pass transmission for Si: 99.83%, for Y: 99.79%, for Y2O3: 98.97%, for Sc2O3: 98.23%, for YB6: 99.64%, for CaO: 98.76%, to name some.) It is possible, that a YLiO2 layer can form on the yttria.
  • Yttrium or yttrium compounds have good properties with respect to lithium and show little reaction. According to aspects of an embodiment of the present invention, applicants propose yttrium compounds, e.g., yttrium hexaboride for use as the thin intermediate layer for diffusion and intermixing deterrence in multilayer stacks. According to aspects of an embodiment of the present invention, applicants also consider using a Y2O3 layer formed after exposure of yttrium to air as a capping layer. With respect to sputtering resistance by lithium ions, Y should be as good as similar transition metals and better than Si, for example.
  • According to aspects of an embodiment of the present invention applicants also consider coating a high-temperature multilayer (like MoSi2/Si) with protective layers of Mo and Y, separated, for example, by thin barrier layers to provide temperature stability (e.g., through intermixing deterrence) and a diffusion barrier for lithium. Since Mo/Y is probably not stable at above 250° C., a barrier layer might be needed. Carbon or B4C may be used, although carbon may start to diffuse at higher temperatures. Other possible barrier layers include ZrC, ZrB2, YB6, SiB6 and others. Since yttrium is a reasonably good spacer material with fairly high transmittance at 13.5 nm, it is well suited for applications in EUV collector/director and other reflective applications in a MLM stack.
  • According to aspects of an embodiment of the present invention capping layers have been considered for protection against a reactive source material, e.g., lithium. Oxidation has been considered, since some oxide layers are stable to Li at high temperatures, e.g., yttria, while some may not be. Both single protection layers and multilayer protective stacks have been proposed and the use of a SiO2 terminated high-temperature multilayer mirror with a candidate material for a protective layer is proposed.
  • According to aspects of embodiments of the present invention applicants have disclosed and the appended claims relate to plasma generated EUV reflecting optics, e.g., MLMs, using, e.g., Si layers in the multilayer stack, e.g., as a single layer in a binary layer in the multilayer stack, e.g., stacked Mo/Si binary layers or as a w/n-type doped Si in place of the Si in, e.g., an Mo/Si stack to prevent diffusion of lithium into the Si layer and prevent silicide formation and intermixing of underlying barrier layers between the MO and Si layers dopant that forms a segregated layer at the surface to prevent diffusion.
  • Applicants have also disclosed and the appended claims relate to a plasma based EUV light source reflective element comprising an MLM comprising a protective capping layer comprising itself a relatively transparent bi-layer of a metal from Groups 3-9 with periodic number 5, e.g., Ru and a layer containing a compound of a reactive plasma source material, e.g., lithium, which may comprise an oxide of the compound, e.g., LiNbO3, in place of, e.g., a plain metal, e.g., Ru top layer in a capping layer. The lithium containing layer will not be significantly impacted by Li attack. The metal and compound can form a binary capping layer with the right positioning, thickness pitch and absorber to spacer ratio and respective refractive indices to preserve the MLM period and phase shifting so that the loss in reflectivity of the MLM due to the binary capping layer is reduced if not eliminated. The materials of the layers of the binary capping layer may be chosen to be in phase equilibrium, e.g., due to the compound being stoiciometric and or the materials not being subject to substantial intermixing. According to aspects of an embodiment of the present invention applicants propose also to put a vacuum in between the binary capping layers of the MLM, e.g., between the Ru/LiNbO3 binary capping layer and an underlying binary MLM layer, e.g., containing silicon, by, e.g., forming a grid (not shown) with the silicon and then the binary capping layer above that silicon layer as the binary or single capping layer(s), with measures taken to prevent a reactive plasma source material, e.g., lithium from going along the capping layer, the grid and vacuum serving to respectively separate the layers to allow formation of the vacuum, and prevent diffusion/interaction with a reactive source material, e.g., lithium.
  • According to aspects of an embodiment of the present invention applicants also propose and the appended claims relate to MLM that have, e.g., an MLM stack with, e.g., SiC layers of alternating density and maybe also doped for electrical conductivity, which will have good thermal stability to around 700° C. This material is stiff, has low thermal expansion coefficient and good thermal conductivity, with high density and melting temperature. The density regulates the index of refraction and thus the thicknesses of the respective different density layers to form the respective binary layer of the MLM stack. SiC may for, e.g., coarse material grains that are not small w/r/t the wavelength. This embodiment forms, e.g., material that is porous and have grain properties with the grain structure that is not dense or packed enough to create multiple reflecting surfaces. For less reactive plasma source materials, e.g., tin or indium, the diffusion problem may be significantly reduced, e.g., due in part to lower temperature operation and the lower reactivity. While Li will attack Si, it is not clear how well it attacks SiC. Furthermore, suitable capping, e.g., with a capping layer of Ru or Mo could be used to protect the mirror surface, along with other capping techniques discussed in the present application or otherwise in the prior art. Other advantages of a SiC dielectric multilayer stack mirror are: low thermal expansion coefficient, very stiff, rigid material, high thermal conductivity, high density (vacuum compatible), and high melting temperature. Polishing of SiC is also possible as there are suppliers who can polish the material.
  • According to aspects of an embodiment of the present invention, applicants propose to deposit alternately porous and dense SiC layers, e.g., via a CVD process to create the multilayer mirror stack. Alternatively it is possible to deposit dense SiC and electrochemically etch or otherwise form holes it. Porous SiC will have a higher n, where denser SiC will have a lower n. A refinement of the etch process could allow varying the electrochemical potential during SiC etching to produce, e.g., a 3-D pore structure. High current density etching will produce a more porous SiC.
  • A CVD process available from Trex is able to produce materials of laminar structure based on the Trex proprietary CVD process. By, e.g., periodically altering the SiC deposition chemistry in the reactor (, e.g., modifying the feed gasses) it is possible to generate a laminar ceramic of alternating density layers.
  • Another construction concept could involve changing the CVD process by mixing in different dopants at the proper times, which can serve to tune the refractive index and conductivity of the respective layer. Basically, the final mirror would still be SiC, but with a few ceramic dopants to adjust density and electrical conductivity. This concept should eliminate concerns regarding thermal damage of 13.5 nm radiation mirrors at elevated temperatures since diffusion of Si and SiC (self diffusion) in SiC is very slow. Thermal degradation is diffusion driven and observed in Mo/Si mirrors used at or over, e.g., 300° C.-500° C., since these materials are metallic in nature (not compounds). Interlayers can be used to improve Mo/Si mirrors, e.g., for >500° C. operation and may also prove useful for an SiC stack mirror.
  • A parabola shaped reflector could be made by deposition of alternating layers and then mounted to a flange. Since SiC can be conductive, one could heat, or DC/RF bias the collector for cleaning. An SiC multilayer stack mirror can be heated resistively. Since the material is rigid, one would expect it not to melt or soften at the desired 400° C.-500° C.+ operating temperature. According to aspects of an embodiment of the present invention applicants also propose to grow a bulk SiC mirror and have the SiC stack deposited onto it after polishing the mirror. A Ru or Mo capping layer on the SiC film could be used to prevent reaction with a reactive source material, e.g., lithium.
  • According to aspects of an embodiment of the present invention applicants propose and the appended claims relate to a plasma produced EUV light source MLM comprising, e.g., yttrium oxide along with molybdenum as a top capping binary layer for the underlying EUV MLM reflecting surfaces, which may also be Y/Mo binary layers or other binary layers. Alternatively applicants propose using Mo/Y binary layers for the entire stack of the MLM, e.g., using intermediate barrier layers to allow use of Mo/Y stacks for the entire reflective stack at up to 400-500° C. and above. Also Si based stacks can be coated with the binary capping layers of Mo, Y and perhaps also a diffusion barrier layers for the binary capping layer, with Y as the topmost layer, which may then also form a Y2O3 layer when exposed to air (both Y and Y2O3 being resistant to lithium) and sufficiently transparent to EUV at around 13.5 nm to be a spacer layer in a binary capping layer and/or throughout the MLM stack. The Mo/Y and/or Mo/Yttria binary layers can have, e.g., better thermal properties than MoSi MLM with perhaps some sacrifice in total reflectivity. Use of the MoSi in lower layers and the Yttrium mirror layers on the top can lessen the loss in reflectivity. Mo/Y layers are currently producible with limited enough oxygen content to be useful for EUV MLMs. The Mo/Y based binary capping layers can be spread throughout the MLM to accommodate, e.g., the case that the top capping layer is destroyed. If lithium stays in the diffusion boundary layers of a respective binary layer there may be a resultant increase in reflectivity but also an increase the absorption of EUV. MLMs can tolerate some influx of lithium and still work, though lithium diffusion reduces the contrast because the absorption goes up and the spacing is modified with respect to the selected EUV λ and also diffusion of lithium into the intermediate barrier layer can changes the roughness, affecting reflectivity and thermal stability. A spacer already containing lithium, however, can tolerate more diffusion with less damage to mirror properties. The list of materials that may be used according to this embodiment of the present invention as such barrier layers includes fluorides like MgF, LiF SiOF, oxides, like Er2O3, HFO2, Ta2O5, refractory metal oxides, rare earth metal fluorides, nitrides and oxides, e.g., at nm thicknesses, chromium fluorides, nitrides and oxides and suicides.
  • According to aspects of an embodiment of the present invention applicants have disclosed and the appended claims relate to the following:
  • Protective layer consisting of yttrium (or yttrium-zirconium alloy) with native yttria oxide (or yttrium-zirconia) layer on top to prevent diffusion/intercalation/penetration of lithium and to protect the lower (high-temperature) multilayer mirror coating from lithium. Another good combination may be a protective layer consisting of a bilayer with (e.g. ca. 3 nm thick) ruthenium as top overcoat layer and (e.g. ca. 4 nm thick) yttrium as bottom overcoat layer. (Or Ru—Mo alloy as top overcoat layer or Mo covered by Ru as top overcoat layer above a yttrium (or yttrium-zirconium alloy) bottom overcoat layer.
  • According to aspects of an embodiment of the present invention applicants have disclosed and the appended claims relate to a SiO2 doped with N or reactively sputtered in a N2 environment to create a silicon oxy-nitride diffusion barrier layer.
  • According to aspects of an embodiment of the present invention, applicants have disclosed and the appended claims relate to heating the substrate during MLM stack layer deposition to increase the mobility of the atoms being deposited in the layer to decrease upper surface roughness, which ultimately leads to better temperature stability in the multilayer stack. The layer produced is also enhanced due to increased density of the deposition. This could allow for enhanced utilization with better reflectivity up to about 600° C. There is also a resultant decrease of the surface roughness which promotes interface barrier function and increases reflectivity. Heating with normal Mo/Si can produce more intermixing at elevated temperatures but with layer less prone to intermixing, e.g., MoSi2/Si, Mo2C/Si, Mo/SiC, Mo/SiB6 and also with barrier layers in between Mo/X/Si/X where X is C, SiC, Si nitrides and Si oxynitrides and borides and boronitrides there may be less intermixing with the heating during deposition. This may also apply, e.g, with Y and its compounds, nitrides, borides carbides and oxynitrides are good diffusion barriers and have low reflectivity and low absorption in very thin layers. These materials may be formed, e.g., by doping SiO2 as it is being formed by oxidation of silicon, with nitrogen, boron or boron nitride.
  • According to aspects of an embodiment of the present invention, the applicants have disclosed and the appended claims relate to the use of a sandwiched Mo5Si3 main absorber layer with MoSi2 sandwiching layers in a MoSi2—Mo5Si3—MoSi2—Si MLM stack to increase the amount of Mo in the main absorber layer (Si is the spacer layer) for better EUV reflectivity and also maintaining layers that are in phase equilibrium with each other bordering each other so as to enhance high temperature stability by avoiding boundary intermixing up to about 700° C.
  • According to aspects of an embodiment of the present invention applicants have disclosed and the appended claims relate to a multilayer stack of Mo—Ru and Y—Zr alloy layers with or without a barrier layer which barrier layer may be ZrN or other nitrides carbides or borides and with either the ZrN or other nitrides or carbides or borides or Y—Zr as the topmost layer of the capping binary layer and if ZrN is the topmost layer with the Mo—Ru absorber layer of the underlying binary layer as the interface to the capping layer. Also the diffusion barrier layers may be amorphous, e.g., to reduce lithium diffusion. The Y—Zr spacer alloy, yttrium stabilized zerconium can act as a very good stabilizer barrier layer.
  • Applicants have also proposed the use of MgF2 or LiF as a lithium diffusion and MLM halogen etching barrier capping layer as an improvement over Ruthenium. As noted in the above referenced co-pending patent applications assigned to the common assignee of the present applications various debris management tactics are available for protection of the EUV source chamber collector optics, e.g., reflectors, which can subject, e.g., an MLM collector to harsh environments, one example of which is the used of halogen contained in the chamber to etch plasma formed debris, e.g., debris source material or perhaps more importantly compounds of debris source materials and like compounds that may be more difficult to remove by other mentioned techniques. For this reason, applicants propose capping layers for an MLM that can both resist plasma source material diffusion and also resist etching by an etchant, e.g., a halogen used to etch plasma debris from the MLM outer capping layer. As noted these may include halogen containing coatings, e.g., fluorine containing coatings, e.g., MgF2 or LiF.
  • It will be understood by those skilled in the art that the aspects of embodiments of the present invention disclosed above are intended to be preferred embodiments only and not to limit the disclosure of the present invention(s) in any way and particularly not to a specific preferred embodiment alone. Many changes and modification can be made to the disclosed aspects of embodiments of the disclosed invention(s) that will be understood and appreciated by those skilled in the art. The appended claims are intended in scope and meaning to cover not only the disclosed aspects of embodiments of the present invention(s) but also such equivalents and other modifications and changes that would be apparent to those skilled in the art. In additions to changes and modifications to the disclosed and claimed aspects of embodiments of the present invention(s) noted above the following could be implemented. By in large binary layers, whether described in the present application as capping layers or stack layers or periodic binary diffusion blocking layers as selected of materials having the appropriate properties for constituting MLM layers and particularly absorber and spacer layers in combination and applicants have provided some exemplary dimensions, however, those skilled in the art will understand that the dimensions, e.g., thicknesses may vary and will understand how to appropriately determine such dimensions for appropriate reflectivity and also that the reflectivities may well be understood to be achievable for target center wavelengths in the EUV range other than for 13.5 nm. These may vary as well for the same materials, e.g., depending on the manner of deposition of the coatings forming the various layers, e.g., in ways noted above that impact density of the material in the deposited layer, and other ways in which the index of refraction might be modified, including changing dopants, changing crystal structure, e.g., from amorphous to crystalline, etc. Those skilled in the art will also know that, as mentioned herein, often times when a particular material is mentioned as useful as an example of a material for a layer in an MLM, compounds and alloys of the material will be understood by those skilled in the art to often possess the same or similar enough properties for EUV source chamber reflective optic multilayer reflectors and also therefore be substitutes for the material specifically disclosed or recited in an appended claim. It will also be understood that binary layer as used in the present application including in the appended claims, as explained above with regard to aspects of at least one embodiment, is intended to cover, where appropriate, as will be understood by those skilled in the art, to cover “sandwiched” and other multiple layers as components of a binary layer, e.g., the sandwiched absorber layer discussed above used, e.g., to take advantage of better interface phase equilibrium as discussed above.

Claims (1)

1. A plasma produced EUV light source reflector element comprising:
a multilayer mirror stack comprising a plurality of binary layers each comprising a spacer layer comprising a spacer material alloy and an absorber layer comprising an absorber material alloy; and
a top diffusion barrier layer comprising a material selected from the group comprising nitrides, borides and carbides; and
the top diffusion layer lying on top of the absorber material alloy;
a plasma produced EUV light source reflector element comprising:
a multilayer mirror stack comprising a plurality of binary layers and a capping layer comprising a lithium diffusion barrier layer and a halogen etching barrier layer;
the capping layer comprising a material comprising lithium.
US11/725,940 2004-03-10 2007-03-19 EUV light source optical elements Granted US20070170378A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/725,940 US20070170378A1 (en) 2004-03-10 2007-03-19 EUV light source optical elements

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/798,740 US7217940B2 (en) 2003-04-08 2004-03-10 Collector for EUV light source
US10/803,526 US7087914B2 (en) 2004-03-17 2004-03-17 High repetition rate laser produced plasma EUV light source
US10/900,839 US7164144B2 (en) 2004-03-10 2004-07-27 EUV light source
US10/979,945 US8075732B2 (en) 2004-11-01 2004-11-01 EUV collector debris management
US11/021,261 US7193228B2 (en) 2004-03-10 2004-12-22 EUV light source optical elements
US11/725,940 US20070170378A1 (en) 2004-03-10 2007-03-19 EUV light source optical elements

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/021,261 Continuation US7193228B2 (en) 2004-02-18 2004-12-22 EUV light source optical elements

Publications (1)

Publication Number Publication Date
US20070170378A1 true US20070170378A1 (en) 2007-07-26

Family

ID=35056666

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/021,261 Expired - Lifetime US7193228B2 (en) 2004-02-18 2004-12-22 EUV light source optical elements
US11/725,940 Granted US20070170378A1 (en) 2004-03-10 2007-03-19 EUV light source optical elements

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/021,261 Expired - Lifetime US7193228B2 (en) 2004-02-18 2004-12-22 EUV light source optical elements

Country Status (6)

Country Link
US (2) US7193228B2 (en)
EP (1) EP1723472A4 (en)
JP (1) JP2007528608A (en)
KR (1) KR20070006805A (en)
TW (1) TWI286871B (en)
WO (1) WO2005091887A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080043321A1 (en) * 2006-08-16 2008-02-21 Cymer, Inc. EUV optics
US20090009858A1 (en) * 2006-02-10 2009-01-08 Torsten Feigl Thermally Stable Multilayer Mirror for the EUV Spectral Range
US20110070665A1 (en) * 2009-09-23 2011-03-24 Tokyo Electron Limited DC and RF Hybrid Processing System
US20160054488A1 (en) * 2013-05-09 2016-02-25 Nikon Corporation Optical element, projection optical system, exposure apparatus, and device manufacturing method
DE102019212736A1 (en) * 2019-08-26 2021-03-04 Carl Zeiss Smt Gmbh Optical element for reflecting EUV radiation and EUV lithography system
US11614572B2 (en) 2017-10-20 2023-03-28 Gigaphoton Inc. Mirror for extreme ultraviolet light and extreme ultraviolet light generating apparatus

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10150874A1 (en) * 2001-10-04 2003-04-30 Zeiss Carl Optical element and method for its production as well as a lithography device and a method for the production of a semiconductor component
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP2005235959A (en) * 2004-02-18 2005-09-02 Canon Inc Light emitting device and aligner
DE102004062289B4 (en) * 2004-12-23 2007-07-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermally stable multilayer mirror for the EUV spectral range
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US7750326B2 (en) * 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
JP4703353B2 (en) * 2005-10-14 2011-06-15 Hoya株式会社 SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, ITS MANUFACTURING METHOD, REFLECTIVE MASK BLANK AND REFLECTIVE MASK
JP4703354B2 (en) * 2005-10-14 2011-06-15 Hoya株式会社 SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, ITS MANUFACTURING METHOD, REFLECTIVE MASK BLANK AND REFLECTIVE MASK
JP2010506224A (en) * 2006-10-13 2010-02-25 メディア ラリオ ソシエタ ア レスポンサビリタ リミタータ Coated mirror and its manufacture
TWI427334B (en) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Reflective optical element for euv lithography devices
EP1965229A3 (en) * 2007-02-28 2008-12-10 Corning Incorporated Engineered fluoride-coated elements for laser systems
US7960701B2 (en) 2007-12-20 2011-06-14 Cymer, Inc. EUV light source components and methods for producing, using and refurbishing same
DE102008002403A1 (en) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Method for producing a multilayer coating, optical element and optical arrangement
WO2010004482A1 (en) * 2008-07-07 2010-01-14 Philips Intellectual Property & Standards Gmbh Extreme uv radiation reflecting element comprising a sputter-resistant material
DE102008040265A1 (en) 2008-07-09 2010-01-14 Carl Zeiss Smt Ag Reflective optical element and method for its production
WO2010020337A1 (en) 2008-08-21 2010-02-25 Asml Holding Nv Euv reticle substrates with high thermal conductivity
US7641349B1 (en) 2008-09-22 2010-01-05 Cymer, Inc. Systems and methods for collector mirror temperature control using direct contact heat transfer
US8284815B2 (en) * 2008-10-21 2012-10-09 Cymer, Inc. Very high power laser chamber optical improvements
DE102009017095A1 (en) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Mirror for the EUV wavelength range, projection objective for microlithography with such a mirror and projection exposure apparatus for microlithography with such a projection objective
CN102414622A (en) 2009-04-27 2012-04-11 Asml荷兰有限公司 Lithographic apparatus and detector apparatus
US8050380B2 (en) * 2009-05-05 2011-11-01 Media Lario, S.R.L. Zone-optimized mirrors and optical systems using same
CN102621815B (en) 2011-01-26 2016-12-21 Asml荷兰有限公司 Reflection optics and device making method for lithographic equipment
JP2012222349A (en) * 2011-04-05 2012-11-12 Asml Netherlands Bv Multilayer mirror and lithographic apparatus
JP5951010B2 (en) * 2011-06-15 2016-07-13 エーエスエムエル ネザーランズ ビー.ブイ. Multilayer mirror, method for producing multilayer mirror and lithographic apparatus
DE102011083461A1 (en) * 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh A method of forming a top layer of silicon oxide on an EUV mirror
DE102012203633A1 (en) * 2012-03-08 2013-09-12 Carl Zeiss Smt Gmbh Mirror for the EUV wavelength range, manufacturing method for such a mirror and projection exposure apparatus with such a mirror
DE102012207141A1 (en) * 2012-04-27 2013-10-31 Carl Zeiss Laser Optics Gmbh Method for repairing optical elements and optical element
US10185234B2 (en) * 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
WO2014124769A1 (en) * 2013-02-15 2014-08-21 Asml Netherlands B.V. Radiation source-collector and method for manufacture
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612521B2 (en) * 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
DE102013107192A1 (en) 2013-07-08 2015-01-08 Carl Zeiss Laser Optics Gmbh Reflective optical element for grazing incidence in the EUV wavelength range
DE102013215541A1 (en) * 2013-08-07 2015-02-12 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
FR3010074B1 (en) * 2013-09-05 2019-08-02 Saint-Gobain Glass France METHOD FOR MANUFACTURING A MATERIAL COMPRISING A SUBSTRATE HAVING A FUNCTIONAL LAYER BASED ON TIN OXIDE AND INDIUM
DE102013221550A1 (en) * 2013-10-23 2015-04-23 Carl Zeiss Smt Gmbh Multilayer structure for EUV mirrors
US9696467B2 (en) * 2014-01-31 2017-07-04 Corning Incorporated UV and DUV expanded cold mirrors
EP2905637A1 (en) * 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
EP4120291A3 (en) * 2015-06-30 2023-04-05 Jaiswal, Supriya Coatings for extreme ultraviolet and soft x-ray optics
KR20220032055A (en) * 2019-07-16 2022-03-15 에이에스엠엘 네델란즈 비.브이. Oxygen Loss Resistant Top Coating for Optical Elements
KR20210016142A (en) 2019-07-31 2021-02-15 삼성전자주식회사 method for inspecting Extreme Ultraviolet light reticle, reticle manufacturing method and manufacturing method of semiconductor device including the same
US20210057864A1 (en) * 2019-08-19 2021-02-25 Iradion Laser, Inc. Enhanced waveguide surface in gas lasers
US20230400716A1 (en) * 2022-06-13 2023-12-14 HyperLight Corporation Diffusion barrier layer in lithium niobate-containing photonic devices

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2759106A (en) * 1951-05-25 1956-08-14 Wolter Hans Optical image-forming mirror system providing for grazing incidence of rays
US3150483A (en) * 1962-05-10 1964-09-29 Aerospace Corp Plasma generator and accelerator
US3232046A (en) * 1962-06-06 1966-02-01 Aerospace Corp Plasma generator and propulsion exhaust system
US3279176A (en) * 1959-07-31 1966-10-18 North American Aviation Inc Ion rocket engine
US3961197A (en) * 1974-08-21 1976-06-01 The United States Of America As Represented By The United States Energy Research And Development Administration X-ray generator
US3960473A (en) * 1975-02-06 1976-06-01 The Glastic Corporation Die structure for forming a serrated rod
US3969628A (en) * 1974-04-04 1976-07-13 The United States Of America As Represented By The Secretary Of The Army Intense, energetic electron beam assisted X-ray generator
US4042848A (en) * 1974-05-17 1977-08-16 Ja Hyun Lee Hypocycloidal pinch device
US4088966A (en) * 1974-06-13 1978-05-09 Samis Michael A Non-equilibrium plasma glow jet
US4143278A (en) * 1977-05-16 1979-03-06 Geo. Koch Sons, Inc. Radiation cure reactor
US4162160A (en) * 1977-08-25 1979-07-24 Fansteel Inc. Electrical contact material and method for making the same
US4203393A (en) * 1979-01-04 1980-05-20 Ford Motor Company Plasma jet ignition engine and method
US4369758A (en) * 1980-09-18 1983-01-25 Nissan Motor Company, Limited Plasma ignition system
US4460417A (en) * 1981-10-27 1984-07-17 Nippon Telegraph & Telephone Public Corporation Method of manufacturing insulating film and electric device utilizing the same
US4504964A (en) * 1982-09-20 1985-03-12 Eaton Corporation Laser beam plasma pinch X-ray system
US4507588A (en) * 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
US4536884A (en) * 1982-09-20 1985-08-20 Eaton Corporation Plasma pinch X-ray apparatus
US4538291A (en) * 1981-11-09 1985-08-27 Kabushiki Kaisha Suwa Seikosha X-ray source
US4596030A (en) * 1983-09-10 1986-06-17 Carl Zeiss Stiftung Apparatus for generating a source of plasma with high radiation intensity in the X-ray region
US4618971A (en) * 1982-09-20 1986-10-21 Eaton Corporation X-ray lithography system
US4635282A (en) * 1984-02-14 1987-01-06 Nippon Telegraph & Telephone Public Corp. X-ray source and X-ray lithography method
US4751723A (en) * 1985-10-03 1988-06-14 Canadian Patents And Development Ltd. Multiple vacuum arc derived plasma pinch x-ray source
US4752946A (en) * 1985-10-03 1988-06-21 Canadian Patents And Development Ltd. Gas discharge derived annular plasma pinch x-ray source
US4774914A (en) * 1985-09-24 1988-10-04 Combustion Electromagnetics, Inc. Electromagnetic ignition--an ignition system producing a large size and intense capacitive and inductive spark with an intense electromagnetic field feeding the spark
US4837794A (en) * 1984-10-12 1989-06-06 Maxwell Laboratories Inc. Filter apparatus for use with an x-ray source
US4914500A (en) * 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US4928020A (en) * 1988-04-05 1990-05-22 The United States Of America As Represented By The United States Department Of Energy Saturable inductor and transformer structures for magnetic pulse compression
US5023897A (en) * 1989-08-17 1991-06-11 Carl-Zeiss-Stiftung Device for generating X-radiation with a plasma source
US5027076A (en) * 1990-01-29 1991-06-25 Ball Corporation Open cage density sensor
US5102776A (en) * 1989-11-09 1992-04-07 Cornell Research Foundation, Inc. Method and apparatus for microlithography using x-pinch x-ray source
US5126638A (en) * 1991-05-13 1992-06-30 Maxwell Laboratories, Inc. Coaxial pseudospark discharge switch
US5142166A (en) * 1991-10-16 1992-08-25 Science Research Laboratory, Inc. High voltage pulsed power source
US5226948A (en) * 1990-08-30 1993-07-13 University Of Southern California Method and apparatus for droplet stream manufacturing
US5313481A (en) * 1993-09-29 1994-05-17 The United States Of America As Represented By The United States Department Of Energy Copper laser modulator driving assembly including a magnetic compression laser
US5319695A (en) * 1992-04-21 1994-06-07 Japan Aviation Electronics Industry Limited Multilayer film reflector for soft X-rays
US5411224A (en) * 1993-04-08 1995-05-02 Dearman; Raymond M. Guard for jet engine
US5448580A (en) * 1994-07-05 1995-09-05 The United States Of America As Represented By The United States Department Of Energy Air and water cooled modulator
US5504785A (en) * 1993-05-28 1996-04-02 Tv/Com Technologies, Inc. Digital receiver for variable symbol rate communications
US5709745A (en) * 1993-01-25 1998-01-20 Ohio Aerospace Institute Compound semi-conductors and controlled doping thereof
US5729562A (en) * 1995-02-17 1998-03-17 Cymer, Inc. Pulse power generating circuit with energy recovery
US5763930A (en) * 1997-05-12 1998-06-09 Cymer, Inc. Plasma focus high energy photon source
US5861321A (en) * 1995-11-21 1999-01-19 Texas Instruments Incorporated Method for doping epitaxial layers using doped substrate material
US5866871A (en) * 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US5894985A (en) * 1995-09-25 1999-04-20 Rapid Analysis Development Company Jet soldering system and method
US5894980A (en) * 1995-09-25 1999-04-20 Rapid Analysis Development Comapny Jet soldering system and method
US5936988A (en) * 1997-12-15 1999-08-10 Cymer, Inc. High pulse rate pulse power system
US5938102A (en) * 1995-09-25 1999-08-17 Muntz; Eric Phillip High speed jet soldering system
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
US6031598A (en) * 1998-09-25 2000-02-29 Euv Llc Extreme ultraviolet lithography machine
US6039850A (en) * 1995-12-05 2000-03-21 Minnesota Mining And Manufacturing Company Sputtering of lithium
US6119086A (en) * 1998-04-28 2000-09-12 International Business Machines Corporation Speech coding via speech recognition and synthesis based on pre-enrolled phonetic tokens
US6172324B1 (en) * 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US6186192B1 (en) * 1995-09-25 2001-02-13 Rapid Analysis And Development Company Jet soldering system and method
US6195272B1 (en) * 2000-03-16 2001-02-27 Joseph E. Pascente Pulsed high voltage power supply radiography system having a one to one correspondence between low voltage input pulses and high voltage output pulses
US6224180B1 (en) * 1997-02-21 2001-05-01 Gerald Pham-Van-Diep High speed jet soldering system
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
US6276589B1 (en) * 1995-09-25 2001-08-21 Speedline Technologies, Inc. Jet soldering system and method
US6285743B1 (en) * 1998-09-14 2001-09-04 Nikon Corporation Method and apparatus for soft X-ray generation
US6377651B1 (en) * 1999-10-11 2002-04-23 University Of Central Florida Laser plasma source for extreme ultraviolet lithography using a water droplet target
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US6449086B1 (en) * 1999-07-02 2002-09-10 Asml Netherlands B.V. Multilayer extreme ultraviolet mirrors with enhanced reflectivity
US6452199B1 (en) * 1997-05-12 2002-09-17 Cymer, Inc. Plasma focus high energy photon source with blast shield
US6452194B2 (en) * 1999-12-17 2002-09-17 Asml Netherlands B.V. Radiation source for use in lithographic projection apparatus
US6522716B1 (en) * 1999-10-08 2003-02-18 Nikon Corporation Multilayer-film reflective mirrors, extreme UV microlithography apparatus comprising same, and microelectronic-device manufacturing methods utilizing same
US6562099B2 (en) * 2000-05-22 2003-05-13 The Regents Of The University Of California High-speed fabrication of highly uniform metallic microspheres
US6566667B1 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with improved pulse power system
US6566668B2 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with tandem ellipsoidal mirror units
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
US6580517B2 (en) * 2000-03-01 2003-06-17 Lambda Physik Ag Absolute wavelength calibration of lithography laser using multiple element or tandem see through hollow cathode lamp
US6583068B2 (en) * 2001-03-30 2003-06-24 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US6586757B2 (en) * 1997-05-12 2003-07-01 Cymer, Inc. Plasma focus light source with active and buffer gas control
US6590959B2 (en) * 2000-06-23 2003-07-08 Nikon Corporation High-intensity sources of short-wavelength electromagnetic radiation for microlithography and other uses
US6624441B2 (en) * 2002-02-07 2003-09-23 Eagle-Picher Technologies, Llc Homoepitaxial layers of p-type zinc oxide and the fabrication thereof
US6725562B2 (en) * 2001-06-14 2004-04-27 Matsushita Electric Works, Ltd. Hairdryer
US6744060B2 (en) * 1997-05-12 2004-06-01 Cymer, Inc. Pulse power system for extreme ultraviolet and x-ray sources
US6746870B1 (en) * 1999-07-23 2004-06-08 The Regents Of The University Of California DNA recombination in eukaryotic cells by the bacteriophage PHIC31 recombination system
US20040121134A1 (en) * 2000-03-31 2004-06-24 Frederik Bijkerk Multilayer system with protecting layer system and production method
US6780496B2 (en) * 2001-07-03 2004-08-24 Euv Llc Optimized capping layers for EUV multilayers
US20040192063A1 (en) * 2003-03-27 2004-09-30 Hoya Corporation Method of producing a glass substrate for a mask blank and method of producing a mask blank
US20040192171A1 (en) * 2003-03-28 2004-09-30 Hoya Corporation Method of producing a glass substrate for a mask blank and method of producing a mask blank
US6855984B1 (en) * 2003-10-30 2005-02-15 Texas Instruments Incorporated Process to reduce gate edge drain leakage in semiconductor devices
US6865255B2 (en) * 2000-10-20 2005-03-08 University Of Central Florida EUV, XUV, and X-ray wavelength sources created from laser plasma produced from liquid metal solutions, and nano-size particles in solutions
US6867420B2 (en) * 2002-06-03 2005-03-15 The Regents Of The University Of California Solid-state detector and optical system for microchip analyzers
US6894298B2 (en) * 2001-10-10 2005-05-17 Xtreme Technologies Gmbh Arrangement for generating extreme ultraviolet (EUV) radiation based on a gas discharge
US6897140B2 (en) * 2001-02-05 2005-05-24 Quantiscript, Inc. Fabrication of structures of metal/semiconductor compound by X-ray/EUV projection lithography
US20050128571A1 (en) * 2002-02-25 2005-06-16 Torsten Feigl Monochromator mirror for the euv-spectral range
US20050199830A1 (en) * 2004-03-10 2005-09-15 Bowering Norbert R. EUV light source optical elements
US6986971B2 (en) * 2002-11-08 2006-01-17 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
US7053988B2 (en) * 2001-05-22 2006-05-30 Carl Zeiss Smt Ag. Optically polarizing retardation arrangement, and microlithography projection exposure machine
US7087914B2 (en) * 2004-03-17 2006-08-08 Cymer, Inc High repetition rate laser produced plasma EUV light source
US7164144B2 (en) * 2004-03-10 2007-01-16 Cymer Inc. EUV light source
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3746870A (en) 1970-12-21 1973-07-17 Gen Electric Coated light conduit
US4143275A (en) 1977-09-28 1979-03-06 Battelle Memorial Institute Applying radiation
US4364342A (en) 1980-10-01 1982-12-21 Ford Motor Company Ignition system employing plasma spray
USRE34806E (en) 1980-11-25 1994-12-13 Celestech, Inc. Magnetoplasmadynamic processor, applications thereof and methods
US4633492A (en) 1982-09-20 1986-12-30 Eaton Corporation Plasma pinch X-ray method
US4561406A (en) 1984-05-25 1985-12-31 Combustion Electromagnetics, Inc. Winged reentrant electromagnetic combustion chamber
US4626193A (en) 1985-08-02 1986-12-02 Itt Corporation Direct spark ignition system
US5171360A (en) 1990-08-30 1992-12-15 University Of Southern California Method for droplet stream manufacturing
US5259593A (en) 1990-08-30 1993-11-09 University Of Southern California Apparatus for droplet stream manufacturing
US5175755A (en) 1990-10-31 1992-12-29 X-Ray Optical System, Inc. Use of a kumakhov lens for x-ray lithography
US5521031A (en) * 1994-10-20 1996-05-28 At&T Corp. Pattern delineating apparatus for use in the EUV spectrum
US5504795A (en) 1995-02-06 1996-04-02 Plex Corporation Plasma X-ray source
US5963616A (en) 1997-03-11 1999-10-05 University Of Central Florida Configurations, materials and wavelengths for EUV lithium plasma discharge lamps
JP3385898B2 (en) 1997-03-24 2003-03-10 安藤電気株式会社 Tunable semiconductor laser light source
US6815700B2 (en) 1997-05-12 2004-11-09 Cymer, Inc. Plasma focus light source with improved pulse power system
US6064072A (en) 1997-05-12 2000-05-16 Cymer, Inc. Plasma focus high energy photon source
JPH1138192A (en) * 1997-07-17 1999-02-12 Nikon Corp Multiple layer film reflection mirror
JP2000091096A (en) 1998-09-14 2000-03-31 Nikon Corp X-ray generator
AU1454100A (en) 1998-10-27 2000-05-15 Jmar Research, Inc. Shaped source of soft x-ray, extreme ultraviolet and ultraviolet radiation
US6317448B1 (en) 1999-09-23 2001-11-13 Cymer, Inc. Bandwidth estimating technique for narrow band laser
US6493423B1 (en) 1999-12-24 2002-12-10 Koninklijke Philips Electronics N.V. Method of generating extremely short-wave radiation, method of manufacturing a device by means of said radiation, extremely short-wave radiation source unit and lithographic projection apparatus provided with such a radiation source unit
DE10016008A1 (en) 2000-03-31 2001-10-11 Zeiss Carl Village system and its manufacture
US6647086B2 (en) 2000-05-19 2003-11-11 Canon Kabushiki Kaisha X-ray exposure apparatus
US6520402B2 (en) 2000-05-22 2003-02-18 The Regents Of The University Of California High-speed direct writing with metallic microspheres
US6491737B2 (en) 2000-05-22 2002-12-10 The Regents Of The University Of California High-speed fabrication of highly uniform ultra-small metallic microspheres
US6904073B2 (en) 2001-01-29 2005-06-07 Cymer, Inc. High power deep ultraviolet laser with long life optics
US6804327B2 (en) * 2001-04-03 2004-10-12 Lambda Physik Ag Method and apparatus for generating high output power gas discharge based source of extreme ultraviolet radiation and/or soft x-rays
US6806006B2 (en) * 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7129010B2 (en) * 2002-08-02 2006-10-31 Schott Ag Substrates for in particular microlithography

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2759106A (en) * 1951-05-25 1956-08-14 Wolter Hans Optical image-forming mirror system providing for grazing incidence of rays
US3279176A (en) * 1959-07-31 1966-10-18 North American Aviation Inc Ion rocket engine
US3150483A (en) * 1962-05-10 1964-09-29 Aerospace Corp Plasma generator and accelerator
US3232046A (en) * 1962-06-06 1966-02-01 Aerospace Corp Plasma generator and propulsion exhaust system
US3969628A (en) * 1974-04-04 1976-07-13 The United States Of America As Represented By The Secretary Of The Army Intense, energetic electron beam assisted X-ray generator
US4042848A (en) * 1974-05-17 1977-08-16 Ja Hyun Lee Hypocycloidal pinch device
US4088966A (en) * 1974-06-13 1978-05-09 Samis Michael A Non-equilibrium plasma glow jet
US3961197A (en) * 1974-08-21 1976-06-01 The United States Of America As Represented By The United States Energy Research And Development Administration X-ray generator
US3960473A (en) * 1975-02-06 1976-06-01 The Glastic Corporation Die structure for forming a serrated rod
US4143278A (en) * 1977-05-16 1979-03-06 Geo. Koch Sons, Inc. Radiation cure reactor
US4162160A (en) * 1977-08-25 1979-07-24 Fansteel Inc. Electrical contact material and method for making the same
US4203393A (en) * 1979-01-04 1980-05-20 Ford Motor Company Plasma jet ignition engine and method
US4369758A (en) * 1980-09-18 1983-01-25 Nissan Motor Company, Limited Plasma ignition system
US4460417A (en) * 1981-10-27 1984-07-17 Nippon Telegraph & Telephone Public Corporation Method of manufacturing insulating film and electric device utilizing the same
US4538291A (en) * 1981-11-09 1985-08-27 Kabushiki Kaisha Suwa Seikosha X-ray source
US4504964A (en) * 1982-09-20 1985-03-12 Eaton Corporation Laser beam plasma pinch X-ray system
US4536884A (en) * 1982-09-20 1985-08-20 Eaton Corporation Plasma pinch X-ray apparatus
US4618971A (en) * 1982-09-20 1986-10-21 Eaton Corporation X-ray lithography system
US4507588A (en) * 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
US4596030A (en) * 1983-09-10 1986-06-17 Carl Zeiss Stiftung Apparatus for generating a source of plasma with high radiation intensity in the X-ray region
US4635282A (en) * 1984-02-14 1987-01-06 Nippon Telegraph & Telephone Public Corp. X-ray source and X-ray lithography method
US4837794A (en) * 1984-10-12 1989-06-06 Maxwell Laboratories Inc. Filter apparatus for use with an x-ray source
US4774914A (en) * 1985-09-24 1988-10-04 Combustion Electromagnetics, Inc. Electromagnetic ignition--an ignition system producing a large size and intense capacitive and inductive spark with an intense electromagnetic field feeding the spark
US4751723A (en) * 1985-10-03 1988-06-14 Canadian Patents And Development Ltd. Multiple vacuum arc derived plasma pinch x-ray source
US4752946A (en) * 1985-10-03 1988-06-21 Canadian Patents And Development Ltd. Gas discharge derived annular plasma pinch x-ray source
US4914500A (en) * 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US4928020A (en) * 1988-04-05 1990-05-22 The United States Of America As Represented By The United States Department Of Energy Saturable inductor and transformer structures for magnetic pulse compression
US5023897A (en) * 1989-08-17 1991-06-11 Carl-Zeiss-Stiftung Device for generating X-radiation with a plasma source
US5102776A (en) * 1989-11-09 1992-04-07 Cornell Research Foundation, Inc. Method and apparatus for microlithography using x-pinch x-ray source
US5027076A (en) * 1990-01-29 1991-06-25 Ball Corporation Open cage density sensor
US5340090A (en) * 1990-08-30 1994-08-23 University Of Southern California Method and apparatus for droplet stream manufacturing
US5226948A (en) * 1990-08-30 1993-07-13 University Of Southern California Method and apparatus for droplet stream manufacturing
US5126638A (en) * 1991-05-13 1992-06-30 Maxwell Laboratories, Inc. Coaxial pseudospark discharge switch
US5142166A (en) * 1991-10-16 1992-08-25 Science Research Laboratory, Inc. High voltage pulsed power source
US5319695A (en) * 1992-04-21 1994-06-07 Japan Aviation Electronics Industry Limited Multilayer film reflector for soft X-rays
US5709745A (en) * 1993-01-25 1998-01-20 Ohio Aerospace Institute Compound semi-conductors and controlled doping thereof
US5411224A (en) * 1993-04-08 1995-05-02 Dearman; Raymond M. Guard for jet engine
US5504785A (en) * 1993-05-28 1996-04-02 Tv/Com Technologies, Inc. Digital receiver for variable symbol rate communications
US5313481A (en) * 1993-09-29 1994-05-17 The United States Of America As Represented By The United States Department Of Energy Copper laser modulator driving assembly including a magnetic compression laser
US5448580A (en) * 1994-07-05 1995-09-05 The United States Of America As Represented By The United States Department Of Energy Air and water cooled modulator
US5729562A (en) * 1995-02-17 1998-03-17 Cymer, Inc. Pulse power generating circuit with energy recovery
US6264090B1 (en) * 1995-09-25 2001-07-24 Speedline Technologies, Inc. High speed jet soldering system
US6276589B1 (en) * 1995-09-25 2001-08-21 Speedline Technologies, Inc. Jet soldering system and method
US5894985A (en) * 1995-09-25 1999-04-20 Rapid Analysis Development Company Jet soldering system and method
US5894980A (en) * 1995-09-25 1999-04-20 Rapid Analysis Development Comapny Jet soldering system and method
US5938102A (en) * 1995-09-25 1999-08-17 Muntz; Eric Phillip High speed jet soldering system
US6186192B1 (en) * 1995-09-25 2001-02-13 Rapid Analysis And Development Company Jet soldering system and method
US5861321A (en) * 1995-11-21 1999-01-19 Texas Instruments Incorporated Method for doping epitaxial layers using doped substrate material
US6039850A (en) * 1995-12-05 2000-03-21 Minnesota Mining And Manufacturing Company Sputtering of lithium
US6224180B1 (en) * 1997-02-21 2001-05-01 Gerald Pham-Van-Diep High speed jet soldering system
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
US6172324B1 (en) * 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US5866871A (en) * 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6566668B2 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with tandem ellipsoidal mirror units
US6566667B1 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with improved pulse power system
US6586757B2 (en) * 1997-05-12 2003-07-01 Cymer, Inc. Plasma focus light source with active and buffer gas control
US6744060B2 (en) * 1997-05-12 2004-06-01 Cymer, Inc. Pulse power system for extreme ultraviolet and x-ray sources
US5763930A (en) * 1997-05-12 1998-06-09 Cymer, Inc. Plasma focus high energy photon source
US6452199B1 (en) * 1997-05-12 2002-09-17 Cymer, Inc. Plasma focus high energy photon source with blast shield
US6051841A (en) * 1997-05-12 2000-04-18 Cymer, Inc. Plasma focus high energy photon source
US5936988A (en) * 1997-12-15 1999-08-10 Cymer, Inc. High pulse rate pulse power system
US6119086A (en) * 1998-04-28 2000-09-12 International Business Machines Corporation Speech coding via speech recognition and synthesis based on pre-enrolled phonetic tokens
US6285743B1 (en) * 1998-09-14 2001-09-04 Nikon Corporation Method and apparatus for soft X-ray generation
US6031598A (en) * 1998-09-25 2000-02-29 Euv Llc Extreme ultraviolet lithography machine
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
US6449086B1 (en) * 1999-07-02 2002-09-10 Asml Netherlands B.V. Multilayer extreme ultraviolet mirrors with enhanced reflectivity
US6746870B1 (en) * 1999-07-23 2004-06-08 The Regents Of The University Of California DNA recombination in eukaryotic cells by the bacteriophage PHIC31 recombination system
US6522716B1 (en) * 1999-10-08 2003-02-18 Nikon Corporation Multilayer-film reflective mirrors, extreme UV microlithography apparatus comprising same, and microelectronic-device manufacturing methods utilizing same
US6377651B1 (en) * 1999-10-11 2002-04-23 University Of Central Florida Laser plasma source for extreme ultraviolet lithography using a water droplet target
US6452194B2 (en) * 1999-12-17 2002-09-17 Asml Netherlands B.V. Radiation source for use in lithographic projection apparatus
US6580517B2 (en) * 2000-03-01 2003-06-17 Lambda Physik Ag Absolute wavelength calibration of lithography laser using multiple element or tandem see through hollow cathode lamp
US6195272B1 (en) * 2000-03-16 2001-02-27 Joseph E. Pascente Pulsed high voltage power supply radiography system having a one to one correspondence between low voltage input pulses and high voltage output pulses
US20040121134A1 (en) * 2000-03-31 2004-06-24 Frederik Bijkerk Multilayer system with protecting layer system and production method
US6562099B2 (en) * 2000-05-22 2003-05-13 The Regents Of The University Of California High-speed fabrication of highly uniform metallic microspheres
US7029624B2 (en) * 2000-05-22 2006-04-18 The Regents Of The University Of California High-speed fabrication of highly uniform metallic microspheres
US6590959B2 (en) * 2000-06-23 2003-07-08 Nikon Corporation High-intensity sources of short-wavelength electromagnetic radiation for microlithography and other uses
US6865255B2 (en) * 2000-10-20 2005-03-08 University Of Central Florida EUV, XUV, and X-ray wavelength sources created from laser plasma produced from liquid metal solutions, and nano-size particles in solutions
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
US6897140B2 (en) * 2001-02-05 2005-05-24 Quantiscript, Inc. Fabrication of structures of metal/semiconductor compound by X-ray/EUV projection lithography
US6583068B2 (en) * 2001-03-30 2003-06-24 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US7053988B2 (en) * 2001-05-22 2006-05-30 Carl Zeiss Smt Ag. Optically polarizing retardation arrangement, and microlithography projection exposure machine
US6725562B2 (en) * 2001-06-14 2004-04-27 Matsushita Electric Works, Ltd. Hairdryer
US6780496B2 (en) * 2001-07-03 2004-08-24 Euv Llc Optimized capping layers for EUV multilayers
US6894298B2 (en) * 2001-10-10 2005-05-17 Xtreme Technologies Gmbh Arrangement for generating extreme ultraviolet (EUV) radiation based on a gas discharge
US6624441B2 (en) * 2002-02-07 2003-09-23 Eagle-Picher Technologies, Llc Homoepitaxial layers of p-type zinc oxide and the fabrication thereof
US7173759B2 (en) * 2002-02-25 2007-02-06 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Monochromator mirror for the EUV-spectral range
US20050128571A1 (en) * 2002-02-25 2005-06-16 Torsten Feigl Monochromator mirror for the euv-spectral range
US6867420B2 (en) * 2002-06-03 2005-03-15 The Regents Of The University Of California Solid-state detector and optical system for microchip analyzers
US6986971B2 (en) * 2002-11-08 2006-01-17 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
US20040192063A1 (en) * 2003-03-27 2004-09-30 Hoya Corporation Method of producing a glass substrate for a mask blank and method of producing a mask blank
US20040192171A1 (en) * 2003-03-28 2004-09-30 Hoya Corporation Method of producing a glass substrate for a mask blank and method of producing a mask blank
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US6855984B1 (en) * 2003-10-30 2005-02-15 Texas Instruments Incorporated Process to reduce gate edge drain leakage in semiconductor devices
US20050199830A1 (en) * 2004-03-10 2005-09-15 Bowering Norbert R. EUV light source optical elements
US7164144B2 (en) * 2004-03-10 2007-01-16 Cymer Inc. EUV light source
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7087914B2 (en) * 2004-03-17 2006-08-08 Cymer, Inc High repetition rate laser produced plasma EUV light source

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090009858A1 (en) * 2006-02-10 2009-01-08 Torsten Feigl Thermally Stable Multilayer Mirror for the EUV Spectral Range
US7986455B2 (en) 2006-02-10 2011-07-26 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Thermally stable multilayer mirror for the EUV spectral range
US20080043321A1 (en) * 2006-08-16 2008-02-21 Cymer, Inc. EUV optics
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US20110070665A1 (en) * 2009-09-23 2011-03-24 Tokyo Electron Limited DC and RF Hybrid Processing System
US7993937B2 (en) 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US20160054488A1 (en) * 2013-05-09 2016-02-25 Nikon Corporation Optical element, projection optical system, exposure apparatus, and device manufacturing method
US10353120B2 (en) * 2013-05-09 2019-07-16 Nikon Corporation Optical element, projection optical system, exposure apparatus, and device manufacturing method
US11614572B2 (en) 2017-10-20 2023-03-28 Gigaphoton Inc. Mirror for extreme ultraviolet light and extreme ultraviolet light generating apparatus
DE102019212736A1 (en) * 2019-08-26 2021-03-04 Carl Zeiss Smt Gmbh Optical element for reflecting EUV radiation and EUV lithography system

Also Published As

Publication number Publication date
KR20070006805A (en) 2007-01-11
WO2005091887A2 (en) 2005-10-06
JP2007528608A (en) 2007-10-11
TW200536218A (en) 2005-11-01
TWI286871B (en) 2007-09-11
EP1723472A2 (en) 2006-11-22
US7193228B2 (en) 2007-03-20
US20050199830A1 (en) 2005-09-15
WO2005091887A3 (en) 2005-12-29
EP1723472A4 (en) 2010-08-18

Similar Documents

Publication Publication Date Title
US7193228B2 (en) EUV light source optical elements
US20210109438A1 (en) Membrane for euv lithography
US6396900B1 (en) Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US6780496B2 (en) Optimized capping layers for EUV multilayers
JP4460284B2 (en) Optical element and method for forming the same
JP2883100B2 (en) Half mirror or beam splitter for soft X-ray and vacuum ultraviolet
JP2017027077A (en) Excimer laser element with improved endurance
JP2008026093A (en) Multilayer film reflection mirror and method for manufacturing it
US20040101710A1 (en) Oxidation preventitive capping layer for deep ultra-violet and soft x-ray multilayers
JP2585300B2 (en) Multilayer reflector for X-ray or vacuum ultraviolet
TW202246879A (en) Extreme ultraviolet mask blank structure
TW202303259A (en) Extreme ultraviolet mask absorber materials
JP2006162362A (en) Reflecting member by multilayer film
TW202026752A (en) Extreme ultraviolet mask with backside coating
JPH0636080B2 (en) Multi-layer film mirror for X-ray

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION