US20070175396A1 - Film-forming apparatus - Google Patents

Film-forming apparatus Download PDF

Info

Publication number
US20070175396A1
US20070175396A1 US11/727,485 US72748507A US2007175396A1 US 20070175396 A1 US20070175396 A1 US 20070175396A1 US 72748507 A US72748507 A US 72748507A US 2007175396 A1 US2007175396 A1 US 2007175396A1
Authority
US
United States
Prior art keywords
showerhead
film
gas
forming apparatus
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/727,485
Inventor
Shigeru Kasai
Takashi Kakegawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/727,485 priority Critical patent/US20070175396A1/en
Publication of US20070175396A1 publication Critical patent/US20070175396A1/en
Priority to US12/404,878 priority patent/US8128751B2/en
Priority to US12/652,942 priority patent/US20100107977A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Definitions

  • This invention relates to a film-forming apparatus that forms a predetermined thin film onto a substrate to be processed by means of chemical vapor deposition process (CVD).
  • CVD chemical vapor deposition process
  • a metal such as Ti, Al or Cu and/or a metal compound such as WSi, TiN or TiSi is deposited to form a thin film.
  • PVD physical vapor deposition process
  • a pedestal in which a heater is embedded and onto which a semiconductor wafer is placed, is arranged in a chamber having another heater.
  • a showerhead for discharging a process gas is provided above and opposite to the pedestal.
  • the chamber is heated to a predetermined temperature, and the inside of the chamber is vacuumed to a predetermined vacuum level.
  • the semiconductor wafer placed on the pedestal is heated to a predetermined temperature, while the process gas such as TiCl 4 , H 2 and the like is supplied from the showerhead.
  • a high-frequency electric power is applied to the showerhead, so that the process gas is changed to plasma thereof. Then, the film-forming process is conducted.
  • the semiconductor wafer starts to be enlarged to 300 mm.
  • the film-forming apparatus has to be enlarged correspondingly. Therefore, the following problems appear manifestly.
  • the showerhead When the temperature of the heater embedded in the pedestal rises up, the showerhead provided opposed to the pedestal is heated by radiant heat thereof. However, when the unit is enlarged, the showerhead is also enlarged, that is, heat capacity thereof becomes larger, so that it takes a longer time for the temperature to become stable when the showerhead is heated. That is, the throughput is deteriorated. If the temperature of the showerhead, that is, the surface temperature of the showerhead is not stable during a process, the process is not uniformly conducted.
  • the conventional showerhead has a structure with high heat-insulating properties, in order to secure temperature stability during a process. Thus, if the showerhead is enlarged, it takes also a longer time to lower the temperature to a predetermined temperature, for example for a cleaning process. If the cleaning process is conducted under a high-temperature state, the showerhead member may be damaged.
  • the temperature of the pedestal has to be set higher than that during the process, in order to maintain the temperature of the showerhead at a predetermined temperature.
  • the temperatures of members in the chamber are raised by the plasma.
  • the surface temperature of the showerhead tends to be raised because it has a large area opposed to the wafer surface and exposed to the plasma.
  • a film-forming rate for the first wafer is low. It is thought that the reason is that the temperature of the showerhead is low. That is, the temperature thereof is about 500° C. during a normal film-forming process, but it is thought that the temperature falls down by about 20 to 30° C. In order to prevent this, during the idling state or the cleaning process, the temperature of the pedestal had to be set higher than the film-forming temperature.
  • an upper lid including the showerhead is opened by a degree not larger than 90 degrees, and then the showerhead is removed or the like.
  • the film-forming apparatus is enlarged, when the showerhead is also bulked or enlarged, it is difficult to conduct the maintenance process of the showerhead in accordance with the conventional method.
  • the object of this invention is to provide a film-forming apparatus that can lead a showerhead to a predetermined temperature within a short time and wherein temperature stability of the showerhead is high, and to provide a film-forming apparatus wherein maintenance of the showerhead can be easily conducted.
  • This invention is a film-forming apparatus comprising: a processing container that defines a chamber; a pedestal arranged in the chamber, on which a substrate to be processed can be placed; a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes; a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead; and a showerhead-temperature controlling unit that controls a temperature of the showerhead.
  • the showerhead since the showerhead is provided with the temperature controlling unit, the showerhead can be actively controlled to a desired temperature, when the showerhead is heated. Thus, even if the film-forming apparatus is larger, the temperature of the showerhead can be raised and lowered within a short time. In addition, by actively controlling the temperature of the showerhead, temperature stability of the showerhead can be enhanced.
  • the film is also formed (deposited) on a surface of the showerhead.
  • Ticl x which is generated by an intermediate reaction, has to be volatilized.
  • the showerhead has to be heated over 425° C., in particular over 500° C.
  • the showerhead can be controlled to a desired temperature during a film-forming process or a pre-coating process, so that a stable film can be surely formed on the showerhead. Therefore, the first film-forming process can be stably conducted.
  • the processing container is formed in such a manner that the processing container can be vacuumed.
  • the film-forming apparatus further comprises a heating unit that heats the pedestal.
  • the showerhead has: a chamber-inside part that includes a surface in which the large number of gas-discharging holes appear; and an atmosphere-side part that contacts with atmospheric air outside the chamber; and the showerhead-temperature controlling unit is provided in the atmosphere-side part.
  • the showerhead-temperature controlling unit can be handled in the atmospheric air.
  • the film-forming apparatus further comprises a second heating unit that heats the chamber.
  • the showerhead-temperature controlling unit includes: a heating mechanism that heats the showerhead; a cooling mechanism that cools the showerhead; a temperature-detecting mechanism that detects a temperature of the showerhead; and a controller that controls at least the heating mechanism, based on a result detected by the temperature-detecting mechanism.
  • the showerhead can be rapidly controlled to a desired temperature when the showerhead is both heated and cooled.
  • the heating mechanism has: an inside heater that heats an inside portion of the showerhead; and an outside heater that heats an outside portion of the showerhead; and the temperature detecting mechanism has: an inside-temperature detecting part that detects a temperature of the inside portion; and an outside-temperature detecting part that detects a temperature of the outside portion.
  • the controller is adapted to control the inside heater in such a manner that a value detected by the inside-temperature detecting part coincides with a set temperature, and to control the outside heater in such a manner that a difference between a value detected by the outside-temperature detecting part and the value detected by the inside-temperature detecting part coincides with zero.
  • a thermal-insulating member is arranged on a surface of the showerhead reverse to the chamber.
  • the showerhead has: a showerhead body; and a circular supporting part continued upward from on an outside periphery of the showerhead body; and the supporting part has a rib structure.
  • an insulating member is arranged on the showerhead body and inside the supporting part.
  • a circular infilling member and a fixing member for fixing the infilling member to the showerhead or the processing container are arranged between the showerhead and the processing container.
  • a resilient member is interposed between the infilling member and the fixing member.
  • quartz, ceramics and so on it can be prevented that the infilling member is damaged.
  • the resilient member by means of the resilient member, the interval between the infilling member and the fixing member can be made uniform.
  • the film-forming apparatus further comprises a plasma-generating unit for generating plasma of the process gas in the chamber.
  • the film-forming apparatus further comprises an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
  • the showerhead is turned outwardly from the chamber, and thus inverted, so that the showerhead can be taken out from the chamber substantially completely.
  • maintenance of the showerhead can be conducted very easily.
  • this invention is a film-forming apparatus comprising: a processing container that defines a chamber; a pedestal arranged in the chamber, on which a substrate to be processed can be placed; a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes; a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead; and an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
  • the showerhead is turned outwardly from the chamber, and thus inverted, so that the showerhead can be taken out from the chamber substantially completely.
  • maintenance of the showerhead can be conducted very easily.
  • a circular infilling member and a fixing member for fixing the infilling member to the showerhead or the processing container are arranged between the showerhead and the processing container.
  • a resilient member is interposed between the infilling member and the fixing member.
  • quartz, ceramics and so on it can be prevented that the infilling member is damaged.
  • the clearance between the infilling member and the fixing member can be made uniform.
  • the fixing member is outwardly removable in a state wherein the showerhead is inverted, and the infilling member is upwardly removable in a state wherein the fixing member has been outwardly removed.
  • FIG. 1 is a sectional view showing a CVD film-forming apparatus of an embodiment according to the present invention
  • FIG. 2 is a plan view showing an upper portion of the showerhead of the CVD film-forming apparatus of the embodiment according to the present invention
  • FIG. 3 is an enlarged sectional view showing a filler portion of the apparatus of FIG. 1 ;
  • FIG. 4 is a schematic view showing a portion corresponding to a heating mechanism in a temperature-controlling unit of the apparatus of FIG. 1 ;
  • FIG. 5 is a schematic view showing a preferable control manner in heating and controlling by means of the temperature-controlling unit of the apparatus of FIG. 1 ;
  • FIG. 6 is a sectional view showing a state wherein a showerhead of the apparatus of FIG. 1 is inverted by an inverting mechanism;
  • FIG. 7 is an enlarged view of the showerhead of the apparatus of FIG. 1 ;
  • FIG. 8 is a sectional view taken along A-A line of FIG. 7 ;
  • FIG. 9 is a sectional view taken along B-B line of FIG. 7 ;
  • FIG. 10 is a plan view showing a lower plate wherein a gas-diffusion-promoting pipe is provided
  • FIG. 11 is a sectional view of the lower plate and a middle plate wherein the gas-diffusion-promoting pipe of FIG. 10 is attached;
  • FIG. 12 is a schematic view showing a variant of the portion corresponding to a heating mechanism of FIG. 4 ;
  • FIG. 13 is a schematic view showing a variant of the control manner of FIG. 5 ;
  • FIG. 14 is a sectional view showing a CVD film-forming apparatus of another embodiment according to the present invention.
  • FIG. 15 is a sectional view showing a variant of the filler member of FIG. 3 .
  • FIG. 1 is a sectional view showing the CVD film-forming apparatus for forming a Ti thin film according to the embodiment of the present invention.
  • FIG. 2 is a plan view showing an upper portion of a showerhead of the CVD film-forming apparatus of FIG. 1 .
  • the film-forming apparatus 1 has a sealed chamber 2 of a substantially cylindrical shape or a box-like shape.
  • a pedestal 3 on which a semiconductor wafer W as an object to be processed is placed horizontally, is provided in the chamber 2 .
  • a pedestal supporting member 7 that protrudes downward is attached at a central bottom of the chamber 2 via a sealing ring.
  • a cylindrical supporting member 4 joined to a bottom surface of the pedestal 3 is fixed to the pedestal supporting member 7 .
  • the chamber 2 and the pedestal supporting member 7 have heating mechanisms not shown.
  • An electric power source not shown supplies electric power to the heating mechanisms, so that the chamber 2 and the pedestal supporting member 7 are heated to respective predetermined temperatures.
  • a ring 5 for stabilizing generation of plasma is provided at an outside peripheral portion of the pedestal 3 .
  • a heater 6 is embedded in the pedestal 3 .
  • An electric power source not shown supplies electric power to the heater 6 , so that the semiconductor wafer W placed on the pedestal 3 as an object to be processed is heated to a predetermined temperature.
  • a showerhead 10 is arranged opposite to the pedestal 3 at an upper portion of the chamber 2 .
  • the showerhead 10 has an upper plate 10 a, a middle plate 10 b and a lower plate 10 c.
  • the plane shape of the showerhead 10 is a circle.
  • the upper plate 10 a has a horizontal portion 10 d that forms a showerhead body together with the middle plate 10 b and the lower plate 10 c, and a circular supporting portion 10 e continued upward from on an outside periphery of the horizontal portion 10 d.
  • the upper plate 10 a is generally concave.
  • ribs 10 f are arranged toward the center of the showerhead 10 at regular distance. As the ribs 10 f are formed, while strength against heat deformation of the supporting portion 10 e and supporting strength of the supporting portion 10 e are enhanced, the other portion of the supporting portion 10 e can be made thin. Thus, heat dissipation from the showerhead 10 can be inhibited.
  • each rib 10 f protrudes toward the center by 5 mm or more, in particular 10 mm or more.
  • a width of each rib 10 f is 2 mm or more, in particular 5 mm or more.
  • the ribs 10 f are arranged at regular distance.
  • the upper plate 10 a serves as a base member.
  • An upper portion of an outside periphery of the circular concave middle plate 10 b is fixed to a lower portion of an outside periphery of the horizontal portion 10 d of the upper plate 10 a by means of screws.
  • An upper surface of the lower plate 10 c is fixed to a lower surface of the middle plate 10 b by means of screws.
  • a space 11 a is hermetically formed between a lower surface of the horizontal portion 10 d of the upper plate 10 a and an upper surface of the middle plate 10 b having a concave portion.
  • a plurality of grooves are formed radially and uniformly in the lower surface of the middle plate 10 b.
  • the middle plate 10 b and the lower plate 10 c are hermetically joined.
  • a space 11 b is formed between the plurality of grooves formed in the lower surface of the middle plate 10 b and the upper surface of the lower plate 10 c.
  • a large number of first gas-passages 12 a which run from the space 11 a toward the lower plate 10 c through a plurality of holes formed in the middle plate 10 b, and a second gas-passage 12 b, which communicates not with the space 11 a but with the space 11 b, are formed.
  • first gas-discharging-holes 13 a which communicate with the first gas-passages 12 a
  • second gas-discharging-holes 13 b which communicates with the space 11 b
  • each first gas-passage 12 a formed in the middle plate 10 b is for example 0.5 to 3 mm, preferably 1.0 to 2.0 mm.
  • the inside diameter of each first gas-discharging-hole 13 a formed in the lower plate 10 c has a two-tier structure, wherein the diameter is for example ⁇ 1.0 to 3.5 mm, preferably ⁇ 1.2 to 2.3 mm, at a portion on the side of the space 11 a and for example ⁇ 0.3 to 1.0 mm, preferably ⁇ 0.5 to 0.7 mm, at the other portion on the side of the lower opening.
  • a first gas-introducing-pipe 14 a and a second gas-introducing-pipe 14 b are connected to an upper surface of the upper plate 10 a.
  • the first gas-introducing-pipe 14 a communicates with the space 11 a.
  • the second gas-introducing-pipe 14 b communicates with the second gas-way 12 b of the middle plate 10 b and the space 11 b.
  • a gas introduced from the first gas-introducing-pipe 14 a is discharged out from the first gas-discharging-holes 13 a through the space 11 a and the first gas-passages 12 a.
  • a gas introduced from the second gas-introducing-pipe 14 b is introduced into the space 11 b through the second gas-passage 12 b and then discharged out from the second gas-discharging-holes 13 b.
  • the showerhead 10 is a postmix type wherein the gas supplied from the first gas-introducing-pipe 14 a and the gas supplied from the second gas-introducing-pipe 14 b are independently supplied into the chamber 2 . That is, the gas supplied from the first gas-introducing-pipe 14 a and the gas supplied from the second gas-introducing-pipe 14 b are not mixed in the showerhead 10 , and supplied separately.
  • FIG. 7 is an enlarged view of the showerhead of FIG. 1 .
  • a sealing ring 10 h can be interposed between a lower surface of a portion of the upper plate 10 a surrounding a connecting portion with the second gas-introducing-pipe 14 b, which introduces the second process gas, and a flange 10 g at a portion of the middle plate 10 b forming the second gas-passage 12 b.
  • a sealing ring 10 h can be interposed between a lower surface of a portion of the upper plate 10 a surrounding a connecting portion with the second gas-introducing-pipe 14 b, which introduces the second process gas, and a flange 10 g at a portion of the middle plate 10 b forming the second gas-passage 12 b.
  • FIG. 8 is a sectional view taken along A-A line of FIG. 7
  • FIG. 9 is a sectional view taken along B-B line of FIG. 7
  • a numeral sign 101 indicates bolts.
  • the bolts 101 fasten the middle plate 10 b and the lower plate 10 c.
  • Arrows in FIG. 9 indicate flow directions of gas supplied from the second gas-passage 12 b into the space 11 b.
  • slits 212 b as gas-discharging-holes are formed on right and left sides at a lower end of the second gas-passage 12 b.
  • the direction in which the slits 212 b are formed may be not only a right and left direction but also a vertical direction or a diagonal direction.
  • discharging holes may be formed.
  • the diameter of each discharging hole is preferably 1.0 to 3.0 mm, in particular 2.0 mm.
  • the number of the discharging holes is optional.
  • a flange 14 is commonly welded to respective base ends of the first gas-introducing-pipe 14 a and the second gas-introducing-pipe 14 b, which are connected to the upper plate 10 a.
  • An insulating member 24 including a first gas-passage 24 a and a second gas-passage 24 b is connected to the flange 14 .
  • a gas introducing member 26 including a first gas-passage 26 a and a second gas-passage 26 b is connected to the other end of the insulating-member 24 . Then, the gas introducing member 26 is connected to an upper surface of the lid member 15 .
  • the lid member 15 and the chamber 2 have, respectively, a first gas-passage 15 a, 2 a and a second gas-passage 15 b, 2 b.
  • the first gas-passages 24 a, 26 a, 15 a and 2 a and the second gas-passages 24 b, 26 b, 15 b and 2 b from the flange 14 to the chamber 2 are, respectively, communicated in series, and sealing rings such as O-rings are interposed at connecting portions thereof.
  • a first gas pipe 25 a is connected to the first gas-passage 2 a in the chamber 2
  • a second gas pipe 25 b is connected to the second gas-passage 2 b.
  • the respective base ends of the gas pipes 25 a and 25 b are connected to a gas supplying part 30 .
  • the gas supplying part 30 has: a ClF 3 gas source 31 that supplies ClF 3 gas, which is a cleaning gas; a TiCl 4 gas source 32 that supplies TiCl 4 gas, which is a film-forming gas; an Ar gas source 33 that supplies Ar gas, which is a carrier gas; a H 2 gas source 34 that supplies H 2 gas, which is a reduction gas; and a NH 3 gas source 35 that supplies NH 3 gas, which is used for nitriding a Ti film.
  • the ClF 3 gas source 31 , the TiCl 4 gas source 32 and the Ar gas source 33 are respectively connected to gas pipes 36 , 37 and 38 .
  • the gas pipes 36 , 37 and 38 are connected to the second gas pipe 25 b.
  • the H 2 gas source 34 and the NH 3 gas source 35 are respectively connected to gas pipes 39 , 40 .
  • the gas pipes 39 and 40 are connected to the first gas pipe 25 a.
  • the respective gases from the ClF 3 gas source 31 , the TiCl 4 gas source 32 and the Ar gas source 33 arrive in the second gas-passage 12 b of the middle plate 10 b of the showerhead 10 , through the gas pipe 25 b, the second gas-passages 2 b, 15 b, 26 b and 24 b of the above respective members and the gas-introducing-pipe 14 b. Then, the respective gases are introduced into the space 11 b, and discharged out from the second gas-discharging-holes 13 b of the lower plate 10 c.
  • the respective gases from the H 2 gas source 34 and the NH 3 gas source 35 are introduced in the space 11 a of the showerhead 10 , through the gas pipe 25 a, the first gas-passages 2 a, 15 a, 26 a and 24 a of the above respective members and the gas-introducing-pipe 14 a. Then, the respective gases are discharged out from the first gas-discharging-holes 13 a of the lower plate 10 c through the first gas-passages 12 a of the middle plate 10 b.
  • the TiCl 4 gas and the H 2 gas are not mixed with each other on the way to be supplied, but mixed after discharged into the chamber 2 .
  • Plasma is generated, a predetermined reaction is produced, and a Ti film is deposited on the semiconductor wafer W.
  • a mass-flow controller 41 and a pair of opening/closing valves 42 and 43 , between which the mass-flow controller 41 is sandwiched, are provided in each gas pipe 36 , 37 , 38 , 39 , 40 from each gas source.
  • the gas supplying part 30 includes an N 2 gas source, another pipe, and another opening/closing valve and so on, which are not shown.
  • the gases supplied into the spaces 11 a and 11 b may be changed by changing the gas sources connected to the first gas-passage 26 a and the second gas-passage 26 b, which are formed in the gas introducing member 26 .
  • a lid member 15 having an opening is mounted on an upper side of the chamber 2 .
  • a circular insulating member 16 is mounted on an inside peripheral portion of the lid member 15 .
  • the supporting portion 10 e of the upper plate 10 a is supported by the insulating member 16 .
  • An upper portion of the supporting portion 10 e is covered by a circular insulating member 21 for the purpose of heat insulation.
  • the insulating member 21 is supported by the lid member 15 .
  • the insulating member 16 has an effect of electrical insulation between the showerhead 10 and the chamber 2 and an effect of heat (thermal) insulation. Sealing rings such as O-rings are respectively interposed between the chamber 2 and the lid member 15 , between the lid member 15 and the insulating member 16 , and between the insulating member 16 and the supporting portion 10 e. Thus, a sealed state is formed.
  • An inside heater 17 is arranged on an upper surface of the horizontal portion 10 d of the upper plate 10 a, correspondingly to the whole surface of the semiconductor wafer W placed on the pedestal 3 .
  • the inside heater 17 may be formed by sandwiching a thin plate-like heater member between mica insulating plates.
  • a circular (doughnut-like) outside heater 18 is fitted so as to surround an outside periphery of the inside heater 17 .
  • FIG. 14 shows a structure wherein the same heater as the inside heater 17 is arranged as an outside heater.)
  • These heaters function as elements of a showerhead-temperature controlling unit, which is explained below.
  • a space 19 is provided above the inside heater 17 .
  • a heat insulating member 20 is arranged above the space 19 .
  • the heat insulating member 20 may be a ceramics resin such as A 1 2 O 3 or the like.
  • the heat insulating member 20 has a cooling-gas passage 20 a and a discharging port 20 b.
  • a dry-air supplying pipe 61 a for cooling an inside portion is connected to an upper portion of the cooling-gas passage 20 a.
  • a dry-air supplying pipe 61 b for cooling an outside portion is arranged above the supporting portion 10 e of the upper plate 10 a.
  • the pipe 61 b has a pipe portion 61 c along an inside periphery of the insulating member 21 .
  • a large number of jetting-holes for jetting out dry air are uniformly and downwardly provided at the pipe portion 61 c.
  • the jetted dry air is supplied into a gap between the insulating member 16 and the heat insulating member 20 and its vicinity, so as to cool the outside heater and its vicinity.
  • a power supply line 45 is connected to an upper surface of the upper plate 10 a of the showerhead 10 .
  • the power supply line 45 is connected to a high-frequency electric power source 47 via a matching unit 46 . Then, a high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10 . Thus, a high-frequency electric field is formed, the process gas supplied into the chamber 2 is made plasma, and the film-forming reaction is promoted.
  • a circular filler 48 made of quartz is provided so as to prevent that plasma is generated around a lower portion of the showerhead 10 , especially in a space surrounded by lateral surfaces of the upper plate 10 a, the middle plate 10 b and the lower plate 10 c, a lower surface of the insulating member 16 , a lower surface of the lid member 15 and a side wall of the chamber 2 .
  • the filler 48 has a concave portion 48 a at an outside portion thereof. Convex portions 49 a of a plurality of supporting members 49 fastened to the lid member 15 by means of screws are fitted in the concave portion 48 a to support the filler 48 .
  • An elastic (resilient) member 50 such as a fluoro rubber is interposed between a lateral surface of the concave portion 48 a of the filler 48 and a lateral surface of each convex portion 49 a of each supporting member 49 . Because of the elastic member 50 , centering of the showerhead 10 can be easily achieved and the filler 48 can be simply attached and removed. In addition, breakage of the filler 48 caused by thermal expansion and contraction can be prevented.
  • An elastic (resilient) member 51 is interposed between the filler 48 and the lid member 15 . The elastic member 51 also has a function of preventing the breakage of the filler 48 .
  • An exhaust pipe 52 is connected to a side wall at a base portion of the cylindrical pedestal supporting member 7 attached at a base portion of the chamber 2 .
  • An exhaust unit 53 is connected to the exhausting pipe 52 .
  • the chamber 2 can be evacuated.
  • a unit that traps unreacted materials and/or by-products is not shown but provided on an upstream side with respect to the exhausting unit 53 .
  • the chamber 2 can be vacuumed to a predetermined vacuum level by driving the exhausting unit 53 .
  • a sealed box 23 is provided over the lid member 15 .
  • An exhausting port 54 is provided at an upper portion of the sealed box 23 . Inside heated dry air and outside heated dry air in the sealed box 23 are adapted to be exhausted from the exhausting port 54 .
  • the CVD film-forming apparatus 1 has a showerhead-temperature controlling unit 60 that controls a temperature of the showerhead 10 .
  • the showerhead-temperature controlling unit 60 is explained hereinafter.
  • the showerhead-temperature controlling unit 60 has: the inside heater 17 and the outside heater 18 , which are described above as a heating mechanism; the dry-air supplying pipes 61 a and 61 b for supplying dry air as a cooling mechanism; a temperature-detecting mechanism consisting of thermocouples 65 a, 65 b, 66 a and 66 b that monitor temperatures of the inside heater 17 , the outside heater 18 and the lower plate 10 d of the showerhead 10 ; and a controller 62 that controls the above elements.
  • an electric power source 63 is connected to the inside heater 17
  • an electric power source 64 is connected to the outside heater 18 .
  • the thermocouple 65 a for detecting the temperature contacts with an insulating sheet 131 of high thermal conductivity on the upper plate, and the thermocouple 65 b contacts with the inside of the lower plate.
  • thermocouple 66 a for detecting the temperature of the outside portion of the upper plate 10 a contacts with the inside of the upper plate and the thermocouple 66 b for detecting the temperature of the outside portion of the lower plate 10 c contacts with the inside of the lower plate.
  • Each thermocouple 65 a, 65 b, 66 a, 66 b may be a plurality of thermocouples.
  • an inside-temperature controller 67 that controls the temperature by means of a PID control to the output of the inside heater 17 , based on an instruction of the controller 62 and a signal detected by the thermocouple 65 a or 65 b
  • an outside-temperature controller 68 that controls the temperature by means of a PID control to the output of the outside heater 18 or the like, based on an instruction of the controller 62 and a signal detected by the thermocouple 66 a or 66 b.
  • the dry air supplied from the dry-air supplying pipe 61 a is introduced into the space 19 through the cooling-gas passage 20 a of the heat insulating member 20 , as a cooling material.
  • the dry air takes heat emitted from the inside heater 17 into the space 19 , flows through the exhausting port 20 b, and is exhausted from the exhausting port 54 of the sealed box 23 provided on the upper portion of the lid member 15 .
  • the dry air supplied from the dry-air supplying pipe 61 b is discharged out from the discharging-holes on the lower side of the pipe, takes heat in the outside portion of the showerhead including the outside heater 18 or the like, and is exhausted from the exhausting port 54 of the sealed box 23 .
  • Air operation valves 69 a and 69 b are respectively provided in the dry-air supplying pipes 61 a and 61 b.
  • the air operation valves 69 a and 69 b are controlled by the controller 62 .
  • a preferable temperature control can be achieved in accordance with a control shown in FIG. 5 .
  • a set temperature is set at the controller 62 .
  • the temperature controller 67 controls the output of the inside heater 17 in such a manner that a temperature detected by the thermocouple 65 a or 65 b coincides with the set temperature.
  • the value detected by the thermocouple 65 a or 65 b is also outputted to the temperature controller 68 via the controller 62 .
  • the temperature controller 68 controls the output of the outside heater 18 in such a manner that the difference between a temperature detected by the thermocouple 66 a or 66 b at the position corresponding to the outside heater 18 and a temperature detected by the thermocouple 65 a or 65 b at the position corresponding to the inside heater 17 coincides with zero. Therefore, the temperature of the outside portion of the showerhead 10 and the temperature of the inside portion of the showerhead 10 are controlled to be substantially the same.
  • thermocouples 65 b and 66 b of the showerhead-temperature controlling unit 60 are arranged in the showerhead, which can be a vacuum. However, the other elements are arranged in the atmospheric air.
  • the showerhead 10 can be inverted outwardly from the chamber 2 by an inverting mechanism 80 having a hinge mechanism.
  • the showerhead 10 can be positioned substantially completely outside the chamber 2 in such a manner that the gas-discharging surface is directed upward.
  • maintenance of the showerhead 10 can be very easily conducted.
  • the plurality of supporting members 49 can be easily taken out outwardly by removing the fastening screws (arrow ( 1 )). After the supporting members 49 are taken out, the filler 48 can be easily taken out upwardly (arrow ( 2 )). Then, after the filler 48 is taken out, maintenance of the showerhead 10 itself can be conducted.
  • the lower plate 10 c and the middle plate 10 b can be easily taken out upwardly (arrow ( 3 )).
  • the showerhead 10 is held at a position inverted by 180 degrees. It is sufficient that the inverted degrees are around 180 degrees. In order to hold the showerhead 10 at such position, a gas spring or the like can be used.
  • a processing operation of the CVD film-forming apparatus 1 as structured above is explained.
  • a pre-coated film is formed on the surfaces of the showerhead 10 and the pedestal 3 and so on in accordance with the following steps.
  • the chamber 2 is exhausted by the discharging unit 53 , a predetermined gas is introduced into the chamber 2 at a predetermined flow rate, and the inside of the chamber 2 becomes a predetermined pressure.
  • a film-forming gas which includes H 2 gas, Ticl 4 gas and other gases, is introduced into the chamber 2 at a predetermined flow rate, and a high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10 , so that plasma is generated in the chamber 2 .
  • a Ti film is deposited on the showerhead 10 and the pedestal 3 and so on.
  • the supply of the electric power from the high-frequency electric power source 47 and the supply of the TiCl 4 gas are stopped.
  • NH 3 gas and other gases are supplied at predetermined flow rates, and again the high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10 , so that plasma is generated.
  • a surface of the deposited Ti film is nitrided, so that a stable pre-coated film is formed on the showerhead 10 and pedestal 3 and so on.
  • a gate valve not shown is opened, and a semiconductor wafer W is conveyed into the chamber 2 and placed onto the pedestal 3 . Then, the H 2 gas, the Ticl 4 gas and the other gases are supplied at predetermined flow rates, and a high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10 , so that plasma is generated in the chamber 2 . Thus, a Ti film is deposited on the semiconductor wafer W. Then, the supply of the electric power from the high-frequency electric power source 47 and the supply of the TiCl 4 gas are stopped.
  • the NH 3 gas and the other gases are supplied at predetermined flow rates, and again the high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10 , so that plasma is generated.
  • the Ti film deposited on the semiconductor wafer W is nitrided.
  • the supply of the electric power from the high-frequency electric power source 47 and the supply of the NH 3 gas are stopped.
  • the film-forming process is completed as described above, the processed semiconductor wafer W is conveyed out from the chamber 2 , another semiconductor wafer W to be successively processed is conveyed into the chamber, and the same film-forming process is conducted to the latter semiconductor wafer W.
  • the pedestal 3 and the showerhead 10 are cooled to a predetermined temperature, and ClF 3 gas as a cleaning gas is supplied into the chamber 2 in order to conduct a cleaning process.
  • the following effects can be achieved because the showerhead 10 is provided with the showerhead-temperature controlling unit 60 .
  • the TiCl x has to be volatilized in order to form a stable film on the showerhead.
  • a temperature not lower than 425° C. preferably not lower than 500° C., is necessary.
  • the conventional showerhead is passively heated by the heater in the pedestal, there is no certification of that the conventional showerhead is heated to or over 425° C.
  • a stable pre-coated film may not be formed on the showerhead.
  • the showerhead 10 is provided with the showerhead-temperature controlling unit 60 , so that the showerhead 10 can be actively heated to or over 425° C.
  • a gas including the NH 3 gas so as to reduce and nitride TiCl x , a stable pre-coated film can be surely formed on the showerhead 10 .
  • the showerhead 10 when the inside of the chamber 2 is heated to a film-forming temperature, if the showerhead 10 is heated only by radiant heat from the pedestal 3 like a conventional manner, it takes a long time for the temperature of the showerhead 10 to become stable at a predetermined heating temperature.
  • the showerhead 10 in addition to being passively heated by the heater 6 of the pedestal 3 , the showerhead 10 is in advance actively heated by the heaters 17 and 18 that are elements of the showerhead-temperature controlling unit 60 .
  • the whole showerhead 10 is heated, so that the temperature of a surface of the lower plate of the showerhead 10 can be stabilized to a constant temperature.
  • the temperature in the chamber 2 can be stabilized to a predetermined temperature within a short time.
  • the temperature of the showerhead 10 is controlled uniformly, the Ti film can be formed uniformly on the semiconductor wafer W. Especially, when a semiconductor wafer is enlarged to 300 mm and thus the apparatus is also enlarged, the above effect is remarkable.
  • the high-frequency electric power source is turned off.
  • the temperature of the heater in the pedestal was set higher.
  • the temperature of the showerhead 10 is controlled by the showerhead-temperature controlling unit 60 , the temperature of the showerhead 10 can be maintained and stabilized at a predetermined temperature, even during an idling state.
  • the temperature of the showerhead 10 has to be lowered from the film-forming temperature to a cleaning temperature of 200 to 300° C.
  • heat-radiating performance of the showerhead was so poor that it took a long time for the temperature to fall down.
  • dry air as a cooling medium is supplied to the upper portion of the showerhead 10 through the dry-air supplying pipes 61 a and 61 b by the showerhead-temperature controlling unit 60 , in order to cool the showerhead.
  • the inside temperature of the chamber 2 can be fast lowered to a cleaning temperature.
  • the upper part of the upper plate 10 a of the showerhead 10 is exposed to atmospheric air.
  • almost all the elements of the showerhead-temperature controlling unit 60 can be disposed inside atmosphere. Therefore, it is easy to handle the showerhead-temperature controlling unit 60 .
  • the inside heater 17 and the outside heater 18 are provided as a heating mechanism of the showerhead-temperature controlling unit 60 , in order to achieve a two-zone control. Then, as shown in FIG. 4 , the output of the inside heater 17 is controlled by the temperature controller 67 in such a manner that a temperature detected by the thermocouple 65 a or 65 b coincides with a set temperature, and the output of the outside heater 18 is controlled by the temperature controller 68 in such a manner that the difference between a temperature detected by the thermocouple 66 a or 66 b located correspondingly to the outside heater 18 and the temperature detected by the thermocouple 65 a or 65 b located correspondingly to the inside heater 17 coincides with zero, so that the inside portion and the outside portion of the showerhead 10 are controlled to be always at the same temperature.
  • heat dissipation from the outside portion of the showerhead 10 can be inhibited, so that temperature controlling performance can be enhanced.
  • the above two-zone control is more effective.
  • the showerhead 10 is inverted outwardly from the chamber 2 by the inverting mechanism 8 .
  • the maintenance of the showerhead 10 can be conducted while the gas-discharging surface of the showerhead 10 is directed upward. That is, the maintenance of the showerhead 10 can be conducted very easily.
  • the plurality of supporting members 49 are taken out outwardly.
  • the filler 48 is taken out upwardly.
  • the lower plate 10 c and the middle plate 10 b of the showerhead 10 are taken out upwardly.
  • each operation for taking-out each element is so easy that the maintenance of the showerhead 10 can be conducted very easily.
  • This invention is not limited to the above embodiment, but may be variably modified within a scope of spirit of the invention.
  • the film-forming process of a Ti film is explained in the above embodiment, this invention is not limited thereto, but applicable to a CVD film-forming process of another film such as a TiN film.
  • the plasma is not necessary.
  • the showerhead-temperature controlling unit is also not limited to the above structure.
  • the controlling method is also not limited to the above method.
  • the dry air is used as a cooling medium, another gas such as Ar or N 2 can be also used. If plasma is not used, liquid such as water or coolant can be used as a cooling medium.
  • the process to the semiconductor wafer is explained, this invention is not limited thereto, but also applicable to a process to another substrate such as a Liquid-Crystal-Display glass substrate.
  • the second gas-supplying portion 12 communicating with the second gas-passage 12 b is arranged in the substantially central portion of the space 11 b formed below the middle plate.
  • the openings 12 c are formed on the lateral sides of the gas-supplying portion 12 .
  • the gas supplied through the second gas-passage 12 b may not be sufficiently uniformly diffused into the space 11 b of the middle plate 10 c.
  • one or more gas-diffusion promoting pipes are connected to the openings 12 c of the second gas-discharging portion 12 arranged in the substantially central portion of the space 11 b.
  • a substantially H-shaped gas-diffusion promoting pipe 110 is arranged in the space 11 b below the middle plate 10 c in order to uniformly diffuse the second gas.
  • the central portion of the substantially H-shaped gas-diffusion promoting pipe 110 is connected to and fitted in the second gas-discharging portion 12 .
  • Gas-discharging holes 110 a are formed at four tip portions of the gas-diffusion promoting pipe 110 .
  • the gas-diffusion promoting pipe 110 is formed integratedly by welding.
  • Supporting pillars 110 b that supports the gas-diffusion promoting pipe 110 are fixed to the middle plate 10 b and the upper surface of the lower plate 10 c, in order to prevent motion of the gas-diffusion promoting pipe 110 .
  • the gas-discharging holes 110 a formed at the respective tip portions are open toward the upper plate, so that the gas supplied through the second gas-discharging portion 12 can be sufficiently uniformly diffused into the space 11 b.
  • Arrows in FIG. 10 schematically show flows of the gas supplied from the gas-discharging holes 110 a into the space 11 b.
  • the shape, the orientation and the position of the gas-diffusion promoting pipe 110 , the number of gas-discharging holes 110 a and the manner of openings are not limited, if the gas supplied through the second gas-passage 12 b can be diffused sufficiently uniformly into the space 11 b.
  • the gas-discharging holes 110 a may be formed to open to a lateral direction.
  • the gas-discharging holes 110 a may be formed uniformly on the way to the tip ends of the pipe, preferably uniformly in the space 11 b.
  • FIG. 11 shows a sectional view of the middle plate 10 b attached to the lower plate 10 c and the gas-diffusion promoting pipe 110 shown in FIG. 10 .
  • FIG. 11 shows a section piercing a central pipe 110 c of the gas-diffusion promoting pipe 110 basically, but shows a section piercing a gas-discharging hole 110 a at a right-end portion of the gas-diffusion promoting pipe 110 .
  • FIGS. 12 and 13 show a variant regarding the control system.
  • FIG. 12 is a schematic view showing the variant at a portion corresponding to the heating mechanism of FIG. 4
  • FIG. 13 is a view showing the variant of the controlling manner of FIG. 5 .
  • noise filters 120 are provided between the control system and the respective thermocouples 65 a, 65 b, 66 a and 66 b, and between the control system and the respective heaters 17 and 18 . Preferably, they are arranged nearer to the control system. Providing the noise filters 120 like this is effective in removing noises from the high-frequency electric power source 47 to improve the controlling performance.
  • a flat doughnut-like outside heater 118 is provided instead of the circular outside heater 18 having a circular section.
  • the shape of the heater is not limited in particular.
  • an insulating sheet 131 is formed between the inside heater 17 and the upper plate 10 a, and an insulating sheet 132 is similarly formed between the outside heater 118 and the upper plate 10 a.
  • the thickness of the respective insulating sheets 131 is a degree not affected by noises, for example 0.5 mm to 1.0 mm.
  • the upper plate 10 a functions as an electrode for generating plasma, so that the insulating sheets 131 and 132 are preferably thick in order to inhibit effects of the noises that the heaters receive.
  • the insulating sheets 131 and 132 have to have high heat conductivity and high heat resistance.
  • ceramics such as aluminum nitride is suitable as a material of the insulating sheets 131 and 132 .
  • a corrosion-resisting metal spring for example an elastic member 150 made of a Ni-alloy such as inconel, is provided.
  • an elastic member 150 made of a Ni-alloy such as inconel.
  • the manner of an elastic member interposed between the lateral surface of the concave portion 48 a of the filler 48 and the lateral surface of the convex portion 49 a of the supporting member 49 is not limited in particular.
  • Temperature of Temperature of showerhead pedestal Operation Prior art 470 ⁇ 480° C. 640 ⁇ 650° C. Temperature of pedestal has to be raised not lower than film-forming temperature Invention 500° C. 640° C. Temperature of showerhead is directly controlled

Abstract

A film-forming apparatus of the invention is a film-forming apparatus that includes: a processing container that defines a chamber, a pedestal arranged in the chamber, on which a substrate to be processed can be placed, a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes, a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead, and a showerhead-temperature controlling unit that controls a temperature of the showerhead.

Description

    FIELD OF THE INVENTION
  • This invention relates to a film-forming apparatus that forms a predetermined thin film onto a substrate to be processed by means of chemical vapor deposition process (CVD).
  • BACKGROUND ART
  • In a semiconductor-device manufacturing process, in order to fill holes between electric wirings formed on a semiconductor wafer as an object to be processed, or in order to provide barrier layers, a metal such as Ti, Al or Cu and/or a metal compound such as WSi, TiN or TiSi is deposited to form a thin film.
  • Conventionally, such thin film of the metal or metal compound is deposited by means of physical vapor deposition process (PVD). However, recently, it is requested to make devices micro and highly integrated, so that design-rule is especially severe. Thus, it is difficult to obtain sufficient properties by PVD, which is inferior in filling performance. Then, such thin film starts to be deposited by CVD, which we can expect forms a film of better quality.
  • As a conventional CVD film-forming apparatus, an apparatus for forming a Ti film is explained as an example. In the CVD film-forming apparatus for forming a Ti film, a pedestal, in which a heater is embedded and onto which a semiconductor wafer is placed, is arranged in a chamber having another heater. A showerhead for discharging a process gas is provided above and opposite to the pedestal. The chamber is heated to a predetermined temperature, and the inside of the chamber is vacuumed to a predetermined vacuum level. Then, the semiconductor wafer placed on the pedestal is heated to a predetermined temperature, while the process gas such as TiCl4, H2 and the like is supplied from the showerhead. In addition, a high-frequency electric power is applied to the showerhead, so that the process gas is changed to plasma thereof. Then, the film-forming process is conducted.
  • However, recently, the semiconductor wafer starts to be enlarged to 300 mm. Thus, the film-forming apparatus has to be enlarged correspondingly. Therefore, the following problems appear manifestly.
  • When the temperature of the heater embedded in the pedestal rises up, the showerhead provided opposed to the pedestal is heated by radiant heat thereof. However, when the unit is enlarged, the showerhead is also enlarged, that is, heat capacity thereof becomes larger, so that it takes a longer time for the temperature to become stable when the showerhead is heated. That is, the throughput is deteriorated. If the temperature of the showerhead, that is, the surface temperature of the showerhead is not stable during a process, the process is not uniformly conducted. In addition, the conventional showerhead has a structure with high heat-insulating properties, in order to secure temperature stability during a process. Thus, if the showerhead is enlarged, it takes also a longer time to lower the temperature to a predetermined temperature, for example for a cleaning process. If the cleaning process is conducted under a high-temperature state, the showerhead member may be damaged.
  • In addition, during an idling state, the temperature of the pedestal has to be set higher than that during the process, in order to maintain the temperature of the showerhead at a predetermined temperature. This is explained in detail. Conventionally, during the plasma process, the temperatures of members in the chamber are raised by the plasma. Especially, the surface temperature of the showerhead tends to be raised because it has a large area opposed to the wafer surface and exposed to the plasma. However, when a film-forming process is conducted after an idling state or a cleaning process, it is possible that a film-forming rate for the first wafer is low. It is thought that the reason is that the temperature of the showerhead is low. That is, the temperature thereof is about 500° C. during a normal film-forming process, but it is thought that the temperature falls down by about 20 to 30° C. In order to prevent this, during the idling state or the cleaning process, the temperature of the pedestal had to be set higher than the film-forming temperature.
  • Furthermore, conventionally, at a maintenance process of the showerhead, an upper lid including the showerhead is opened by a degree not larger than 90 degrees, and then the showerhead is removed or the like. However, as the film-forming apparatus is enlarged, when the showerhead is also bulked or enlarged, it is difficult to conduct the maintenance process of the showerhead in accordance with the conventional method.
  • SUMMARY OF THE INVENTION
  • This invention is intended to solve the above problems. The object of this invention is to provide a film-forming apparatus that can lead a showerhead to a predetermined temperature within a short time and wherein temperature stability of the showerhead is high, and to provide a film-forming apparatus wherein maintenance of the showerhead can be easily conducted.
  • This invention is a film-forming apparatus comprising: a processing container that defines a chamber; a pedestal arranged in the chamber, on which a substrate to be processed can be placed; a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes; a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead; and a showerhead-temperature controlling unit that controls a temperature of the showerhead.
  • According to the invention, since the showerhead is provided with the temperature controlling unit, the showerhead can be actively controlled to a desired temperature, when the showerhead is heated. Thus, even if the film-forming apparatus is larger, the temperature of the showerhead can be raised and lowered within a short time. In addition, by actively controlling the temperature of the showerhead, temperature stability of the showerhead can be enhanced.
  • Furthermore, for example in a case of Ti-film-forming apparatus, when a pre-coated film is formed on the showerhead or the like before a process to the substrate to be processed, or when a Ti film is formed on the substrate to be processed, the film is also formed (deposited) on a surface of the showerhead. At that time, in order to form a stable film on the surface of the showerhead, Ticlx, which is generated by an intermediate reaction, has to be volatilized. Thus, the showerhead has to be heated over 425° C., in particular over 500° C. In a conventional art, it takes a long time to heat the showerhead, and it is uncertain whether the showerhead is at a desired temperature, so that such a stable film may not be generated. However, by providing the temperature-controlling unit in the showerhead, the showerhead can be controlled to a desired temperature during a film-forming process or a pre-coating process, so that a stable film can be surely formed on the showerhead. Therefore, the first film-forming process can be stably conducted.
  • Preferably, the processing container is formed in such a manner that the processing container can be vacuumed.
  • In addition, preferably, the film-forming apparatus further comprises a heating unit that heats the pedestal.
  • In addition, preferably, the showerhead has: a chamber-inside part that includes a surface in which the large number of gas-discharging holes appear; and an atmosphere-side part that contacts with atmospheric air outside the chamber; and the showerhead-temperature controlling unit is provided in the atmosphere-side part.
  • In the case, the showerhead-temperature controlling unit can be handled in the atmospheric air.
  • In addition, preferably, the film-forming apparatus further comprises a second heating unit that heats the chamber.
  • In addition, preferably, the showerhead-temperature controlling unit includes: a heating mechanism that heats the showerhead; a cooling mechanism that cools the showerhead; a temperature-detecting mechanism that detects a temperature of the showerhead; and a controller that controls at least the heating mechanism, based on a result detected by the temperature-detecting mechanism.
  • In the case, the showerhead can be rapidly controlled to a desired temperature when the showerhead is both heated and cooled.
  • In addition, in the case, more preferably, the heating mechanism has: an inside heater that heats an inside portion of the showerhead; and an outside heater that heats an outside portion of the showerhead; and the temperature detecting mechanism has: an inside-temperature detecting part that detects a temperature of the inside portion; and an outside-temperature detecting part that detects a temperature of the outside portion.
  • In the case, more preferably, the controller is adapted to control the inside heater in such a manner that a value detected by the inside-temperature detecting part coincides with a set temperature, and to control the outside heater in such a manner that a difference between a value detected by the outside-temperature detecting part and the value detected by the inside-temperature detecting part coincides with zero.
  • In the case, heat dissipation from the outside portion of the showerhead can be inhibited, so that more accurate temperature control can be achieved.
  • In addition, preferably, a thermal-insulating member is arranged on a surface of the showerhead reverse to the chamber.
  • In the case, during the process, heat dissipation from the showerhead can be effectively inhibited.
  • In addition, preferably, the showerhead has: a showerhead body; and a circular supporting part continued upward from on an outside periphery of the showerhead body; and the supporting part has a rib structure.
  • In the case, since the portion of the supporting part other than the rib structure can be made thin, heat dissipation from the supporting part can be reduced. Thus, temperature controlling performance can be more enhanced.
  • In the case, more preferably, an insulating member is arranged on the showerhead body and inside the supporting part.
  • In addition, preferably, a circular infilling member and a fixing member for fixing the infilling member to the showerhead or the processing container are arranged between the showerhead and the processing container.
  • In the case, more preferably, a resilient member is interposed between the infilling member and the fixing member. In the case, even when quartz, ceramics and so on is used as the infilling member, it can be prevented that the infilling member is damaged. In addition, by means of the resilient member, the interval between the infilling member and the fixing member can be made uniform.
  • In addition, preferably, the film-forming apparatus further comprises a plasma-generating unit for generating plasma of the process gas in the chamber.
  • In addition, preferably, the film-forming apparatus further comprises an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
  • In the case, the showerhead is turned outwardly from the chamber, and thus inverted, so that the showerhead can be taken out from the chamber substantially completely. Thus, maintenance of the showerhead can be conducted very easily.
  • In addition, this invention is a film-forming apparatus comprising: a processing container that defines a chamber; a pedestal arranged in the chamber, on which a substrate to be processed can be placed; a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes; a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead; and an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
  • According to the invention, the showerhead is turned outwardly from the chamber, and thus inverted, so that the showerhead can be taken out from the chamber substantially completely. Thus, maintenance of the showerhead can be conducted very easily.
  • Preferably, a circular infilling member and a fixing member for fixing the infilling member to the showerhead or the processing container are arranged between the showerhead and the processing container.
  • In the case, more preferably, a resilient member is interposed between the infilling member and the fixing member. In the case, even when quartz, ceramics and so on is used as the infilling member, it can be prevented that the infilling member is damaged. In addition, by means of the resilient member, the clearance between the infilling member and the fixing member can be made uniform.
  • More preferably, the fixing member is outwardly removable in a state wherein the showerhead is inverted, and the infilling member is upwardly removable in a state wherein the fixing member has been outwardly removed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a sectional view showing a CVD film-forming apparatus of an embodiment according to the present invention;
  • FIG. 2 is a plan view showing an upper portion of the showerhead of the CVD film-forming apparatus of the embodiment according to the present invention;
  • FIG. 3 is an enlarged sectional view showing a filler portion of the apparatus of FIG. 1;
  • FIG. 4 is a schematic view showing a portion corresponding to a heating mechanism in a temperature-controlling unit of the apparatus of FIG. 1;
  • FIG. 5 is a schematic view showing a preferable control manner in heating and controlling by means of the temperature-controlling unit of the apparatus of FIG. 1;
  • FIG. 6 is a sectional view showing a state wherein a showerhead of the apparatus of FIG. 1 is inverted by an inverting mechanism;
  • FIG. 7 is an enlarged view of the showerhead of the apparatus of FIG. 1;
  • FIG. 8 is a sectional view taken along A-A line of FIG. 7;
  • FIG. 9 is a sectional view taken along B-B line of FIG. 7;
  • FIG. 10 is a plan view showing a lower plate wherein a gas-diffusion-promoting pipe is provided;
  • FIG. 11 is a sectional view of the lower plate and a middle plate wherein the gas-diffusion-promoting pipe of FIG. 10 is attached;
  • FIG. 12 is a schematic view showing a variant of the portion corresponding to a heating mechanism of FIG. 4;
  • FIG. 13 is a schematic view showing a variant of the control manner of FIG. 5;
  • FIG. 14 is a sectional view showing a CVD film-forming apparatus of another embodiment according to the present invention; and
  • FIG. 15 is a sectional view showing a variant of the filler member of FIG. 3.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Hereinafter, a CVD film-forming apparatus for forming a Ti thin film according to an embodiment of the present invention is explained concretely.
  • FIG. 1 is a sectional view showing the CVD film-forming apparatus for forming a Ti thin film according to the embodiment of the present invention. FIG. 2 is a plan view showing an upper portion of a showerhead of the CVD film-forming apparatus of FIG. 1. The film-forming apparatus 1 has a sealed chamber 2 of a substantially cylindrical shape or a box-like shape. A pedestal 3, on which a semiconductor wafer W as an object to be processed is placed horizontally, is provided in the chamber 2. A pedestal supporting member 7 that protrudes downward is attached at a central bottom of the chamber 2 via a sealing ring. A cylindrical supporting member 4 joined to a bottom surface of the pedestal 3 is fixed to the pedestal supporting member 7. The chamber 2 and the pedestal supporting member 7 have heating mechanisms not shown. An electric power source not shown supplies electric power to the heating mechanisms, so that the chamber 2 and the pedestal supporting member 7 are heated to respective predetermined temperatures.
  • A ring 5 for stabilizing generation of plasma is provided at an outside peripheral portion of the pedestal 3. In addition, a heater 6 is embedded in the pedestal 3. An electric power source not shown supplies electric power to the heater 6, so that the semiconductor wafer W placed on the pedestal 3 as an object to be processed is heated to a predetermined temperature.
  • A showerhead 10 is arranged opposite to the pedestal 3 at an upper portion of the chamber 2. The showerhead 10 has an upper plate 10 a, a middle plate 10 b and a lower plate 10 c. The plane shape of the showerhead 10 is a circle.
  • The upper plate 10 a has a horizontal portion 10 d that forms a showerhead body together with the middle plate 10 b and the lower plate 10 c, and a circular supporting portion 10 e continued upward from on an outside periphery of the horizontal portion 10 d. The upper plate 10 a is generally concave. As shown in FIGS. 1 and 2, inside the supporting portion 10 e, ribs 10 f are arranged toward the center of the showerhead 10 at regular distance. As the ribs 10 f are formed, while strength against heat deformation of the supporting portion 10 e and supporting strength of the supporting portion 10 e are enhanced, the other portion of the supporting portion 10 e can be made thin. Thus, heat dissipation from the showerhead 10 can be inhibited.
  • Preferably, each rib 10 f protrudes toward the center by 5 mm or more, in particular 10 mm or more. In addition, preferably, a width of each rib 10 f is 2 mm or more, in particular 5 mm or more. In addition, preferably, the ribs 10 f are arranged at regular distance.
  • The upper plate 10 a serves as a base member. An upper portion of an outside periphery of the circular concave middle plate 10 b is fixed to a lower portion of an outside periphery of the horizontal portion 10 d of the upper plate 10 a by means of screws. An upper surface of the lower plate 10 c is fixed to a lower surface of the middle plate 10 b by means of screws. A space 11 a is hermetically formed between a lower surface of the horizontal portion 10 d of the upper plate 10 a and an upper surface of the middle plate 10 b having a concave portion. A plurality of grooves are formed radially and uniformly in the lower surface of the middle plate 10 b. The middle plate 10 b and the lower plate 10 c are hermetically joined. A space 11 b is formed between the plurality of grooves formed in the lower surface of the middle plate 10 b and the upper surface of the lower plate 10 c. In the middle plate 10 b, a large number of first gas-passages 12 a, which run from the space 11 a toward the lower plate 10 c through a plurality of holes formed in the middle plate 10 b, and a second gas-passage 12 b, which communicates not with the space 11 a but with the space 11 b, are formed. In the lower plate 10 c, a large number of first gas-discharging-holes 13 a, which communicate with the first gas-passages 12 a, and a large number of second gas-discharging-holes 13 b, which communicates with the space 11 b, are formed.
  • Herein, the inside diameter of each first gas-passage 12 a formed in the middle plate 10 b is for example 0.5 to 3 mm, preferably 1.0 to 2.0 mm. The inside diameter of each first gas-discharging-hole 13 a formed in the lower plate 10 c has a two-tier structure, wherein the diameter is for example φ1.0 to 3.5 mm, preferably φ1.2 to 2.3 mm, at a portion on the side of the space 11 a and for example φ0.3 to 1.0 mm, preferably φ0.5 to 0.7 mm, at the other portion on the side of the lower opening.
  • A first gas-introducing-pipe 14 a and a second gas-introducing-pipe 14 b are connected to an upper surface of the upper plate 10 a. The first gas-introducing-pipe 14 a communicates with the space 11 a. The second gas-introducing-pipe 14 b communicates with the second gas-way 12 b of the middle plate 10 b and the space 11 b. Thus, a gas introduced from the first gas-introducing-pipe 14 a is discharged out from the first gas-discharging-holes 13 a through the space 11 a and the first gas-passages 12 a. On the other hand, a gas introduced from the second gas-introducing-pipe 14 b is introduced into the space 11 b through the second gas-passage 12 b and then discharged out from the second gas-discharging-holes 13 b. That is, the showerhead 10 is a postmix type wherein the gas supplied from the first gas-introducing-pipe 14 a and the gas supplied from the second gas-introducing-pipe 14 b are independently supplied into the chamber 2. That is, the gas supplied from the first gas-introducing-pipe 14 a and the gas supplied from the second gas-introducing-pipe 14 b are not mixed in the showerhead 10, and supplied separately.
  • Herein, FIG. 7 is an enlarged view of the showerhead of FIG. 1. As shown in FIGS. 1 and 7, a sealing ring 10 h can be interposed between a lower surface of a portion of the upper plate 10 a surrounding a connecting portion with the second gas-introducing-pipe 14 b, which introduces the second process gas, and a flange 10 g at a portion of the middle plate 10 b forming the second gas-passage 12 b. Thus, it can be prevented more surely that the respective gases supplied from the first gas-introducing-pipe 14 a and the second gas-introducing-pipe 14 b mix with each other.
  • FIG. 8 is a sectional view taken along A-A line of FIG. 7, and FIG. 9 is a sectional view taken along B-B line of FIG. 7. In FIGS. 7 and 8, a numeral sign 101 indicates bolts. The bolts 101 fasten the middle plate 10 b and the lower plate 10c. Arrows in FIG. 9 indicate flow directions of gas supplied from the second gas-passage 12 b into the space 11 b.
  • As shown in FIGS. 7 and 9, slits 212 b as gas-discharging-holes are formed on right and left sides at a lower end of the second gas-passage 12 b. The direction in which the slits 212 b are formed may be not only a right and left direction but also a vertical direction or a diagonal direction. Instead of the slits 212 b, discharging holes may be formed. The diameter of each discharging hole is preferably 1.0 to 3.0 mm, in particular 2.0 mm. The number of the discharging holes is optional.
  • On the other hand, as shown in FIG. 1, a flange 14 is commonly welded to respective base ends of the first gas-introducing-pipe 14 a and the second gas-introducing-pipe 14 b, which are connected to the upper plate 10 a. An insulating member 24 including a first gas-passage 24 a and a second gas-passage 24 b is connected to the flange 14. A gas introducing member 26 including a first gas-passage 26 a and a second gas-passage 26 b is connected to the other end of the insulating-member 24. Then, the gas introducing member 26 is connected to an upper surface of the lid member 15. The lid member 15 and the chamber 2 have, respectively, a first gas- passage 15 a, 2 a and a second gas- passage 15 b, 2 b. The first gas- passages 24 a, 26 a, 15 a and 2 a and the second gas- passages 24 b, 26 b, 15 b and 2 b from the flange 14 to the chamber 2 are, respectively, communicated in series, and sealing rings such as O-rings are interposed at connecting portions thereof. In addition, a first gas pipe 25 a is connected to the first gas-passage 2 a in the chamber 2, and a second gas pipe 25 b is connected to the second gas-passage 2 b. And the respective base ends of the gas pipes 25 a and 25 b are connected to a gas supplying part 30.
  • The gas supplying part 30 has: a ClF3 gas source 31 that supplies ClF3 gas, which is a cleaning gas; a TiCl4 gas source 32 that supplies TiCl4 gas, which is a film-forming gas; an Ar gas source 33 that supplies Ar gas, which is a carrier gas; a H2 gas source 34 that supplies H2 gas, which is a reduction gas; and a NH3 gas source 35 that supplies NH3 gas, which is used for nitriding a Ti film. The ClF3 gas source 31, the TiCl4 gas source 32 and the Ar gas source 33 are respectively connected to gas pipes 36, 37 and 38. The gas pipes 36, 37 and 38 are connected to the second gas pipe 25 b. The H2 gas source 34 and the NH3 gas source 35 are respectively connected to gas pipes 39, 40. The gas pipes 39 and 40 are connected to the first gas pipe 25 a.
  • Thus, the respective gases from the ClF3 gas source 31, the TiCl4 gas source 32 and the Ar gas source 33 arrive in the second gas-passage 12 b of the middle plate 10 b of the showerhead 10, through the gas pipe 25 b, the second gas- passages 2 b, 15 b, 26 b and 24 b of the above respective members and the gas-introducing-pipe 14 b. Then, the respective gases are introduced into the space 11 b, and discharged out from the second gas-discharging-holes 13 b of the lower plate 10 c.
  • The respective gases from the H2 gas source 34 and the NH3 gas source 35 are introduced in the space 11 a of the showerhead 10, through the gas pipe 25 a, the first gas- passages 2 a, 15 a, 26 a and 24 a of the above respective members and the gas-introducing-pipe 14 a. Then, the respective gases are discharged out from the first gas-discharging-holes 13 a of the lower plate 10 c through the first gas-passages 12 a of the middle plate 10 b.
  • Therefore, during a film-forming process, the TiCl4 gas and the H2 gas are not mixed with each other on the way to be supplied, but mixed after discharged into the chamber 2. Plasma is generated, a predetermined reaction is produced, and a Ti film is deposited on the semiconductor wafer W. A mass-flow controller 41 and a pair of opening/ closing valves 42 and 43, between which the mass-flow controller 41 is sandwiched, are provided in each gas pipe 36, 37, 38, 39, 40 from each gas source. The gas supplying part 30 includes an N2 gas source, another pipe, and another opening/closing valve and so on, which are not shown. In addition, for example, the gases supplied into the spaces 11 a and 11 b may be changed by changing the gas sources connected to the first gas-passage 26 a and the second gas-passage 26 b,which are formed in the gas introducing member 26.
  • A lid member 15 having an opening is mounted on an upper side of the chamber 2. A circular insulating member 16 is mounted on an inside peripheral portion of the lid member 15. Then, the supporting portion 10 e of the upper plate 10 a is supported by the insulating member 16. An upper portion of the supporting portion 10 e is covered by a circular insulating member 21 for the purpose of heat insulation. The insulating member 21 is supported by the lid member 15. The insulating member 16 has an effect of electrical insulation between the showerhead 10 and the chamber 2 and an effect of heat (thermal) insulation. Sealing rings such as O-rings are respectively interposed between the chamber 2 and the lid member 15, between the lid member 15 and the insulating member 16, and between the insulating member 16 and the supporting portion 10 e. Thus, a sealed state is formed.
  • An inside heater 17 is arranged on an upper surface of the horizontal portion 10 d of the upper plate 10 a,correspondingly to the whole surface of the semiconductor wafer W placed on the pedestal 3. For example, the inside heater 17 may be formed by sandwiching a thin plate-like heater member between mica insulating plates. A circular (doughnut-like) outside heater 18, for example a sheath heater, is fitted so as to surround an outside periphery of the inside heater 17. (FIG. 14 shows a structure wherein the same heater as the inside heater 17 is arranged as an outside heater.) These heaters function as elements of a showerhead-temperature controlling unit, which is explained below.
  • A space 19 is provided above the inside heater 17. A heat insulating member 20 is arranged above the space 19. The heat insulating member 20 may be a ceramics resin such as A1 2O3 or the like. The heat insulating member 20 has a cooling-gas passage 20 a and a discharging port 20 b. A dry-air supplying pipe 61 a for cooling an inside portion is connected to an upper portion of the cooling-gas passage 20 a. A dry-air supplying pipe 61 b for cooling an outside portion is arranged above the supporting portion 10 e of the upper plate 10 a. The pipe 61 b has a pipe portion 61 c along an inside periphery of the insulating member 21. A large number of jetting-holes for jetting out dry air are uniformly and downwardly provided at the pipe portion 61 c. The jetted dry air is supplied into a gap between the insulating member 16 and the heat insulating member 20 and its vicinity, so as to cool the outside heater and its vicinity.
  • A power supply line 45 is connected to an upper surface of the upper plate 10 a of the showerhead 10. The power supply line 45 is connected to a high-frequency electric power source 47 via a matching unit 46. Then, a high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10. Thus, a high-frequency electric field is formed, the process gas supplied into the chamber 2 is made plasma, and the film-forming reaction is promoted.
  • A circular filler 48 made of quartz is provided so as to prevent that plasma is generated around a lower portion of the showerhead 10, especially in a space surrounded by lateral surfaces of the upper plate 10 a, the middle plate 10 b and the lower plate 10 c, a lower surface of the insulating member 16, a lower surface of the lid member 15 and a side wall of the chamber 2. As shown in FIG. 3, the filler 48 has a concave portion 48 a at an outside portion thereof. Convex portions 49 a of a plurality of supporting members 49 fastened to the lid member 15 by means of screws are fitted in the concave portion 48 a to support the filler 48. An elastic (resilient) member 50 such as a fluoro rubber is interposed between a lateral surface of the concave portion 48 a of the filler 48 and a lateral surface of each convex portion 49 a of each supporting member 49. Because of the elastic member 50, centering of the showerhead 10 can be easily achieved and the filler 48 can be simply attached and removed. In addition, breakage of the filler 48 caused by thermal expansion and contraction can be prevented. An elastic (resilient) member 51 is interposed between the filler 48 and the lid member 15. The elastic member 51 also has a function of preventing the breakage of the filler 48.
  • An exhaust pipe 52 is connected to a side wall at a base portion of the cylindrical pedestal supporting member 7 attached at a base portion of the chamber 2. An exhaust unit 53 is connected to the exhausting pipe 52. Thus, the chamber 2 can be evacuated. A unit that traps unreacted materials and/or by-products is not shown but provided on an upstream side with respect to the exhausting unit 53. The chamber 2 can be vacuumed to a predetermined vacuum level by driving the exhausting unit 53. In addition, a sealed box 23 is provided over the lid member 15. An exhausting port 54 is provided at an upper portion of the sealed box 23. Inside heated dry air and outside heated dry air in the sealed box 23 are adapted to be exhausted from the exhausting port 54.
  • The CVD film-forming apparatus 1 according to the embodiment has a showerhead-temperature controlling unit 60 that controls a temperature of the showerhead 10. The showerhead-temperature controlling unit 60 is explained hereinafter.
  • As main elements, the showerhead-temperature controlling unit 60 has: the inside heater 17 and the outside heater 18, which are described above as a heating mechanism; the dry- air supplying pipes 61 a and 61 b for supplying dry air as a cooling mechanism; a temperature-detecting mechanism consisting of thermocouples 65 a, 65 b, 66 a and 66 b that monitor temperatures of the inside heater 17, the outside heater 18 and the lower plate 10 d of the showerhead 10; and a controller 62 that controls the above elements.
  • As enlargedly shown in FIG. 4, an electric power source 63 is connected to the inside heater 17, and an electric power source 64 is connected to the outside heater 18. At a position corresponding to the inside heater 17 arranged at the inside portion on the upper plate 10 a of the showerhead 10, the thermocouple 65 a for detecting the temperature contacts with an insulating sheet 131 of high thermal conductivity on the upper plate, and the thermocouple 65 b contacts with the inside of the lower plate. At a position corresponding to the outside heater 18 arranged at the outside portion on the upper plate 10 a, the thermocouple 66 a for detecting the temperature of the outside portion of the upper plate 10 a contacts with the inside of the upper plate and the thermocouple 66 b for detecting the temperature of the outside portion of the lower plate 10 c contacts with the inside of the lower plate. Each thermocouple 65 a, 65 b, 66 a, 66 b may be a plurality of thermocouples. In addition, provided is an inside-temperature controller 67 that controls the temperature by means of a PID control to the output of the inside heater 17, based on an instruction of the controller 62 and a signal detected by the thermocouple 65 a or 65 b, and provided is an outside-temperature controller 68 that controls the temperature by means of a PID control to the output of the outside heater 18 or the like, based on an instruction of the controller 62 and a signal detected by the thermocouple 66 a or 66 b. Thus, when the showerhead 10 is heated, temperature control of the showerhead 10 can be achieved by the temperature controllers 67 and 68.
  • On the other hand, the dry air supplied from the dry-air supplying pipe 61 a is introduced into the space 19 through the cooling-gas passage 20 a of the heat insulating member 20, as a cooling material. The dry air takes heat emitted from the inside heater 17 into the space 19, flows through the exhausting port 20 b, and is exhausted from the exhausting port 54 of the sealed box 23 provided on the upper portion of the lid member 15. The dry air supplied from the dry-air supplying pipe 61 b is discharged out from the discharging-holes on the lower side of the pipe, takes heat in the outside portion of the showerhead including the outside heater 18 or the like, and is exhausted from the exhausting port 54 of the sealed box 23. Air operation valves 69 a and 69 b are respectively provided in the dry- air supplying pipes 61 a and 61 b. The air operation valves 69 a and 69 b are controlled by the controller 62.
  • When the showerhead is heated while the showerhead controlling unit 60 is used, a preferable temperature control can be achieved in accordance with a control shown in FIG. 5. In the control shown in FIG. 5, a set temperature is set at the controller 62. Then, the temperature controller 67 controls the output of the inside heater 17 in such a manner that a temperature detected by the thermocouple 65 a or 65 b coincides with the set temperature. The value detected by the thermocouple 65 a or 65 b is also outputted to the temperature controller 68 via the controller 62. Then, the temperature controller 68 controls the output of the outside heater 18 in such a manner that the difference between a temperature detected by the thermocouple 66 a or 66 b at the position corresponding to the outside heater 18 and a temperature detected by the thermocouple 65 a or 65 b at the position corresponding to the inside heater 17 coincides with zero. Therefore, the temperature of the outside portion of the showerhead 10 and the temperature of the inside portion of the showerhead 10 are controlled to be substantially the same.
  • The upper surface of the upper plate 10 a of the showerhead 10 and a portion above it are exposed to atmospheric air. The thermocouples 65 b and 66 b of the showerhead-temperature controlling unit 60 are arranged in the showerhead, which can be a vacuum. However, the other elements are arranged in the atmospheric air.
  • In addition, as shown in FIG. 2, the showerhead 10 can be inverted outwardly from the chamber 2 by an inverting mechanism 80 having a hinge mechanism. Thus, as shown in FIG. 6, the showerhead 10 can be positioned substantially completely outside the chamber 2 in such a manner that the gas-discharging surface is directed upward. Thus, maintenance of the showerhead 10 can be very easily conducted. Concretely, from the state shown in FIG. 6, the plurality of supporting members 49 can be easily taken out outwardly by removing the fastening screws (arrow (1)). After the supporting members 49 are taken out, the filler 48 can be easily taken out upwardly (arrow (2)). Then, after the filler 48 is taken out, maintenance of the showerhead 10 itself can be conducted. For example, the lower plate 10 c and the middle plate 10 b can be easily taken out upwardly (arrow (3)). After the showerhead 10 is inverted, it is preferable that the showerhead 10 is held at a position inverted by 180 degrees. It is sufficient that the inverted degrees are around 180 degrees. In order to hold the showerhead 10 at such position, a gas spring or the like can be used.
  • Next, a processing operation of the CVD film-forming apparatus 1 as structured above is explained. At first, before a Ti thin film is formed on a semiconductor wafer W, a pre-coated film is formed on the surfaces of the showerhead 10 and the pedestal 3 and so on in accordance with the following steps. First, environs of the chamber 2, the heater 6 of the pedestal 3, and the inside and outside heaters 17 and 18 of the showerhead 10 are heated. Then, the chamber 2 is exhausted by the discharging unit 53, a predetermined gas is introduced into the chamber 2 at a predetermined flow rate, and the inside of the chamber 2 becomes a predetermined pressure. Then, a film-forming gas, which includes H2 gas, Ticl4 gas and other gases, is introduced into the chamber 2 at a predetermined flow rate, and a high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10, so that plasma is generated in the chamber 2. Thus, a Ti film is deposited on the showerhead 10 and the pedestal 3 and so on. Then, the supply of the electric power from the high-frequency electric power source 47 and the supply of the TiCl4 gas are stopped. Then, NH3 gas and other gases are supplied at predetermined flow rates, and again the high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10, so that plasma is generated. Thus, a surface of the deposited Ti film is nitrided, so that a stable pre-coated film is formed on the showerhead 10 and pedestal 3 and so on. After the nitriding process is completed, the supply of the electric power from the high-frequency electric power source 47 and the supply of the NH3 gas are stopped.
  • After the pre-coating process is completed, a gate valve not shown is opened, and a semiconductor wafer W is conveyed into the chamber 2 and placed onto the pedestal 3. Then, the H2 gas, the Ticl4 gas and the other gases are supplied at predetermined flow rates, and a high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10, so that plasma is generated in the chamber 2. Thus, a Ti film is deposited on the semiconductor wafer W. Then, the supply of the electric power from the high-frequency electric power source 47 and the supply of the TiCl4 gas are stopped. Then, the NH3 gas and the other gases are supplied at predetermined flow rates, and again the high-frequency electric power is supplied from the high-frequency electric power source 47 to the showerhead 10, so that plasma is generated. Thus, the Ti film deposited on the semiconductor wafer W is nitrided. After the nitriding process is completed, the supply of the electric power from the high-frequency electric power source 47 and the supply of the NH3 gas are stopped. After the film-forming process is completed as described above, the processed semiconductor wafer W is conveyed out from the chamber 2, another semiconductor wafer W to be successively processed is conveyed into the chamber, and the same film-forming process is conducted to the latter semiconductor wafer W.
  • After the film-forming process is conducted to a predetermined number of semiconductor wafers W, the pedestal 3 and the showerhead 10 are cooled to a predetermined temperature, and ClF3 gas as a cleaning gas is supplied into the chamber 2 in order to conduct a cleaning process.
  • In the series of processes, in accordance with the embodiment, the following effects can be achieved because the showerhead 10 is provided with the showerhead-temperature controlling unit 60.
  • In the pre-coating process and the film-forming process, unreacted products TiClx (x=1, 2, 3) may be formed. The TiClx has to be volatilized in order to form a stable film on the showerhead. For that purpose, a temperature not lower than 425° C., preferably not lower than 500° C., is necessary. As the conventional showerhead is passively heated by the heater in the pedestal, there is no certification of that the conventional showerhead is heated to or over 425° C. Thus, conventionally, there were possibilities that a stable pre-coated film may not be formed on the showerhead. However, in the embodiment, the showerhead 10 is provided with the showerhead-temperature controlling unit 60, so that the showerhead 10 can be actively heated to or over 425° C. In addition, by supplying a gas including the NH3 gas so as to reduce and nitride TiClx, a stable pre-coated film can be surely formed on the showerhead 10.
  • In addition, when the inside of the chamber 2 is heated to a film-forming temperature, if the showerhead 10 is heated only by radiant heat from the pedestal 3 like a conventional manner, it takes a long time for the temperature of the showerhead 10 to become stable at a predetermined heating temperature. However, according to the embodiment, in addition to being passively heated by the heater 6 of the pedestal 3, the showerhead 10 is in advance actively heated by the heaters 17 and 18 that are elements of the showerhead-temperature controlling unit 60. Thus, within a shorter time, the whole showerhead 10 is heated, so that the temperature of a surface of the lower plate of the showerhead 10 can be stabilized to a constant temperature. Thus, the temperature in the chamber 2 can be stabilized to a predetermined temperature within a short time. As described above, as the temperature of the showerhead 10 is controlled uniformly, the Ti film can be formed uniformly on the semiconductor wafer W. Especially, when a semiconductor wafer is enlarged to 300 mm and thus the apparatus is also enlarged, the above effect is remarkable.
  • During an idling state, the high-frequency electric power source is turned off. Thus, conventionally, in order to maintain the temperature of the showerhead 10 at a predetermined temperature, the temperature of the heater in the pedestal was set higher. On the other hand, according to the embodiment, as the temperature of the showerhead 10 is controlled by the showerhead-temperature controlling unit 60, the temperature of the showerhead 10 can be maintained and stabilized at a predetermined temperature, even during an idling state.
  • For a cleaning process, the temperature of the showerhead 10 has to be lowered from the film-forming temperature to a cleaning temperature of 200 to 300° C. Conventionally, heat-radiating performance of the showerhead was so poor that it took a long time for the temperature to fall down. However, according to the embodiment, dry air as a cooling medium is supplied to the upper portion of the showerhead 10 through the dry- air supplying pipes 61 a and 61 b by the showerhead-temperature controlling unit 60, in order to cool the showerhead. Thus, the inside temperature of the chamber 2 can be fast lowered to a cleaning temperature.
  • In the unit of the embodiment, the upper part of the upper plate 10 a of the showerhead 10 is exposed to atmospheric air. Thus, almost all the elements of the showerhead-temperature controlling unit 60 can be disposed inside atmosphere. Therefore, it is easy to handle the showerhead-temperature controlling unit 60.
  • In addition, in the embodiment, the inside heater 17 and the outside heater 18, are provided as a heating mechanism of the showerhead-temperature controlling unit 60, in order to achieve a two-zone control. Then, as shown in FIG. 4, the output of the inside heater 17 is controlled by the temperature controller 67 in such a manner that a temperature detected by the thermocouple 65 a or 65 b coincides with a set temperature, and the output of the outside heater 18 is controlled by the temperature controller 68 in such a manner that the difference between a temperature detected by the thermocouple 66 a or 66 b located correspondingly to the outside heater 18 and the temperature detected by the thermocouple 65 a or 65 b located correspondingly to the inside heater 17 coincides with zero, so that the inside portion and the outside portion of the showerhead 10 are controlled to be always at the same temperature. Thus, heat dissipation from the outside portion of the showerhead 10 can be inhibited, so that temperature controlling performance can be enhanced. Especially, when the size of a semiconductor wafer is enlarged to 300 mm, as heat tends to be dissipated from the outside portion of the showerhead 10, the above two-zone control is more effective.
  • At the maintenance of the showerhead 10, the showerhead 10 is inverted outwardly from the chamber 2 by the inverting mechanism 8. Thus, as shown in FIG. 6, the maintenance of the showerhead 10 can be conducted while the gas-discharging surface of the showerhead 10 is directed upward. That is, the maintenance of the showerhead 10 can be conducted very easily. Concretely, from the state shown in FIG. 6, the plurality of supporting members 49 are taken out outwardly. Then, the filler 48 is taken out upwardly. Then, the lower plate 10 c and the middle plate 10 b of the showerhead 10 are taken out upwardly. As described above, each operation for taking-out each element is so easy that the maintenance of the showerhead 10 can be conducted very easily.
  • This invention is not limited to the above embodiment, but may be variably modified within a scope of spirit of the invention. For example, although the film-forming process of a Ti film is explained in the above embodiment, this invention is not limited thereto, but applicable to a CVD film-forming process of another film such as a TiN film. In addition, although the case wherein the plasma is generated is explained, the plasma is not necessary. The showerhead-temperature controlling unit is also not limited to the above structure. The controlling method is also not limited to the above method. For example, although the dry air is used as a cooling medium, another gas such as Ar or N2 can be also used. If plasma is not used, liquid such as water or coolant can be used as a cooling medium. In addition, although the process to the semiconductor wafer is explained, this invention is not limited thereto, but also applicable to a process to another substrate such as a Liquid-Crystal-Display glass substrate.
  • Next, a variant of the above embodiment is explained in detail.
  • As shown in FIG. 9, in the above embodiment, the second gas-supplying portion 12 communicating with the second gas-passage 12 b is arranged in the substantially central portion of the space 11 b formed below the middle plate. The openings 12 c are formed on the lateral sides of the gas-supplying portion 12. Thus, the gas supplied through the second gas-passage 12 b, which communicates with the second gas-supplying pipe 14 b and is formed above the middle plate, is discharged from the openings 12 c of the gas-discharging portion 12 and directly diffused into the space 11 b.
  • However, according to that manner, the gas supplied through the second gas-passage 12 b may not be sufficiently uniformly diffused into the space 11 b of the middle plate 10 c.
  • Then, it is preferable that one or more gas-diffusion promoting pipes are connected to the openings 12 c of the second gas-discharging portion 12 arranged in the substantially central portion of the space 11 b.
  • In the case of the middle plate 10 c shown in FIG. 10, a substantially H-shaped gas-diffusion promoting pipe 110 is arranged in the space 11 b below the middle plate 10 c in order to uniformly diffuse the second gas. The central portion of the substantially H-shaped gas-diffusion promoting pipe 110 is connected to and fitted in the second gas-discharging portion 12. Gas-discharging holes 110 a are formed at four tip portions of the gas-diffusion promoting pipe 110. The gas-diffusion promoting pipe 110 is formed integratedly by welding. Supporting pillars 110 b that supports the gas-diffusion promoting pipe 110 are fixed to the middle plate 10 b and the upper surface of the lower plate 10 c, in order to prevent motion of the gas-diffusion promoting pipe 110.
  • In this case, the gas-discharging holes 110 a formed at the respective tip portions are open toward the upper plate, so that the gas supplied through the second gas-discharging portion 12 can be sufficiently uniformly diffused into the space 11 b. Arrows in FIG. 10 schematically show flows of the gas supplied from the gas-discharging holes 110 a into the space 11 b. The shape, the orientation and the position of the gas-diffusion promoting pipe 110, the number of gas-discharging holes 110 a and the manner of openings are not limited, if the gas supplied through the second gas-passage 12 b can be diffused sufficiently uniformly into the space 11 b. For example, the gas-discharging holes 110 a may be formed to open to a lateral direction. The gas-discharging holes 110 a may be formed uniformly on the way to the tip ends of the pipe, preferably uniformly in the space 11 b.
  • FIG. 11 shows a sectional view of the middle plate 10 b attached to the lower plate 10 c and the gas-diffusion promoting pipe 110 shown in FIG. 10. FIG. 11 shows a section piercing a central pipe 110 c of the gas-diffusion promoting pipe 110 basically, but shows a section piercing a gas-discharging hole 110 a at a right-end portion of the gas-diffusion promoting pipe 110.
  • FIGS. 12 and 13 show a variant regarding the control system. FIG. 12 is a schematic view showing the variant at a portion corresponding to the heating mechanism of FIG. 4, and FIG. 13 is a view showing the variant of the controlling manner of FIG. 5.
  • In the case shown in FIGS. 12 and 13, noise filters 120 are provided between the control system and the respective thermocouples 65 a, 65 b, 66 a and 66 b, and between the control system and the respective heaters 17 and 18. Preferably, they are arranged nearer to the control system. Providing the noise filters 120 like this is effective in removing noises from the high-frequency electric power source 47 to improve the controlling performance.
  • In a variant shown in FIG. 14, instead of the circular outside heater 18 having a circular section, a flat doughnut-like outside heater 118 is provided. Like this, the shape of the heater is not limited in particular.
  • In the variant shown in FIG. 14, an insulating sheet 131 is formed between the inside heater 17 and the upper plate 10 a, and an insulating sheet 132 is similarly formed between the outside heater 118 and the upper plate 10 a. The thickness of the respective insulating sheets 131 is a degree not affected by noises, for example 0.5 mm to 1.0 mm. The upper plate 10 a functions as an electrode for generating plasma, so that the insulating sheets 131 and 132 are preferably thick in order to inhibit effects of the noises that the heaters receive. Herein, the insulating sheets 131 and 132 have to have high heat conductivity and high heat resistance. Thus, ceramics such as aluminum nitride is suitable as a material of the insulating sheets 131 and 132.
  • In a variant shown in FIG. 15, instead of the elastic member 50 made of a fluorine rubber or the like, a corrosion-resisting metal spring, for example an elastic member 150 made of a Ni-alloy such as inconel, is provided. Like this, the manner of an elastic member interposed between the lateral surface of the concave portion 48 a of the filler 48 and the lateral surface of the convex portion 49 a of the supporting member 49 is not limited in particular.
  • Herein, regarding during the idling state and during the cleaning process, the respective features of temperature control according to this invention and prior art are shown in the following table.
    Temperature of Temperature of
    showerhead pedestal Operation
    Prior art 470˜480° C. 640˜650° C. Temperature of pedestal has
    to be raised not lower than
    film-forming temperature
    Invention 500° C. 640° C. Temperature of showerhead
    is directly controlled

Claims (32)

1. A film-forming apparatus comprising:
a processing container that defines a chamber,
a stage arranged in the chamber, on which a substrate to be processed can be placed,
a showerhead provided opposite to the stage, which has a large number of gas-discharging holes,
a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead, and
a showerhead-temperature controlling unit that controls a temperature of the showerhead, wherein
an infilling member and a fixing member for fixing the infilling member to the showerhead or the processing container are arranged between the showerhead and the processing container.
2-19. (canceled)
20. A film-forming apparatus according to claim 1, wherein
the showerhead-temperature controlling unit includes:
a heating mechanism that heats the showerhead,
a cooling mechanism that cools the showerhead,
a temperature-detecting mechanism that detects a temperature of the showerhead, and
a controller that controls at least the heating mechanism, based on a result detected by the temperature-detecting mechanism.
21. A film-forming apparatus according to claim 1, further comprising:
a plasma-generating unit for generating plasma of the process gas in the chamber.
22. A film-forming apparatus according to claim 1, further comprising
an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
23. A film-forming apparatus according to claim 1, wherein
the showerhead has:
an upper plate,
a middle plate, and
a lower plate.
24. A film-forming apparatus according to claim 20, further comprising
a plasma-generating unit for generating plasma of the process gas in the chamber.
25. A film-forming apparatus according to claim 20, further comprising
an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
26. A film-forming apparatus according to claim 20, wherein
the showerhead has:
an upper plate,
a middle plate, and
a lower plate.
27. A film-forming apparatus according to claim 21, further comprising
an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
28. A film-forming apparatus according to claim 21, wherein
the showerhead has
an upper plate,
a middle plate, and
a lower plate.
29. A film-forming apparatus according to claim 22, wherein
the showerhead has
an upper plate,
a middle plate, and
a lower plate.
30. A film-forming apparatus according to claim 24, further comprising
an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
31. A film-forming apparatus according to claim 24, wherein
the showerhead has
an upper plate,
a middle plate, and
a lower plate.
32. A film-forming apparatus according to claim 27, wherein
the showerhead has
an upper plate,
a middle plate, and
a lower plate.
33. A film-forming apparatus according to claim 25, wherein
the showerhead has
an upper plate,
a middle plate, and
a lower plate.
34. A Ti-film-forming apparatus comprising:
a processing container that defines a chamber,
a stage arranged in the chamber, on which a substrate to be processed can be placed,
a showerhead provided opposite to the stage, which has a large number of gas-discharging holes,
a gas-supplying mechanism that supplies a Ti-including gas and a reduction gas into the chamber through the showerhead,
a showerhead-temperature controlling unit that controls a temperature of the showerhead, and
a plasma-generating unit for generating plasma of the Ti-including gas and the reduction gas in the chamber,
wherein
an infilling member and a fixing member for fixing the infilling member to the showerhead or the processing container are arranged between the showerhead and the processing container.
35. A Ti-film-forming apparatus according to claim 34, wherein
the showerhead-temperature controlling unit includes:
a heating mechanism that heats the showerhead,
a cooling mechanism that cools the showerhead,
a temperature-detecting mechanism that detects a temperature of the showerhead, and
a controller that controls at least the heating mechanism, based on a result detected by the temperature-detecting mechanism.
36. A Ti-film-forming apparatus according to claim 34, further comprising
an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
37. A Ti-film-forming apparatus according to claim 34, wherein
the showerhead has:
an upper plate,
a middle plate, and
a lower plate.
38. A Ti-film-forming apparatus according to claim 35, further comprising
an inverting mechanism that inverts the showerhead by turning the showerhead outwardly from the chamber.
39. A Ti-film-forming apparatus according to claim 35, wherein
the showerhead has:
an upper plate,
a middle plate, and
a lower plate.
40. A Ti-film-forming apparatus according to claim 36, wherein
the showerhead has:
an upper plate,
a middle plate, and
a lower plate.
41. A film-forming apparatus according to claim 1, wherein the infilling member consists of quartz.
42. A Ti-film-forming apparatus according to claim 34, wherein the infilling member consists of quartz.
43. A film-forming apparatus according to claim 21, wherein
an insulating member for insulating the showerhead from the processing container is arranged between the showerhead and the processing container.
44. A film-forming apparatus according to claim 21, wherein
a heater for heating the substrate to be processed is arranged in the stage, and
an electrode is arranged above the heater.
45. A film-forming apparatus according to claim 34, wherein
the showerhead has a concave shape.
46. A film-forming apparatus according to claim 34, wherein
the showerhead is controlled at a temperature not lower than 425° C.
47. A film-forming apparatus according to claim 34, wherein
the gas-supplying mechanism includes a cleaning-gas source of a cleaning gas for cleaning a Ti film formed in the processing container.
48. A film-forming apparatus according to claim 34, wherein the reduction gas is an H2 gas.
49. A film-forming apparatus according to claim 34, wherein the Ti-including gas is a TiCl4 gas.
US11/727,485 2001-02-09 2007-03-27 Film-forming apparatus Abandoned US20070175396A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/727,485 US20070175396A1 (en) 2001-02-09 2007-03-27 Film-forming apparatus
US12/404,878 US8128751B2 (en) 2001-02-09 2009-03-16 Film-forming apparatus
US12/652,942 US20100107977A1 (en) 2001-02-09 2010-01-06 Film forming apparatus

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2001034520 2001-02-09
JP2001-034520 2001-02-09
PCT/JP2002/001110 WO2002063065A1 (en) 2001-02-09 2002-02-08 Film forming device
US10/467,293 US7661386B2 (en) 2001-02-09 2002-02-08 Film forming device
US11/727,485 US20070175396A1 (en) 2001-02-09 2007-03-27 Film-forming apparatus

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
PCT/JP2002/001110 Division WO2002063065A1 (en) 2001-02-09 2002-02-08 Film forming device
US10/467,293 Division US7661386B2 (en) 2001-02-09 2002-02-08 Film forming device
US10467293 Division 2002-02-08

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/404,878 Continuation US8128751B2 (en) 2001-02-09 2009-03-16 Film-forming apparatus

Publications (1)

Publication Number Publication Date
US20070175396A1 true US20070175396A1 (en) 2007-08-02

Family

ID=18898113

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/467,293 Expired - Fee Related US7661386B2 (en) 2001-02-09 2002-02-08 Film forming device
US11/727,485 Abandoned US20070175396A1 (en) 2001-02-09 2007-03-27 Film-forming apparatus
US12/404,878 Expired - Fee Related US8128751B2 (en) 2001-02-09 2009-03-16 Film-forming apparatus
US12/652,942 Abandoned US20100107977A1 (en) 2001-02-09 2010-01-06 Film forming apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/467,293 Expired - Fee Related US7661386B2 (en) 2001-02-09 2002-02-08 Film forming device

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/404,878 Expired - Fee Related US8128751B2 (en) 2001-02-09 2009-03-16 Film-forming apparatus
US12/652,942 Abandoned US20100107977A1 (en) 2001-02-09 2010-01-06 Film forming apparatus

Country Status (5)

Country Link
US (4) US7661386B2 (en)
EP (1) EP1371751B1 (en)
JP (1) JP4889683B2 (en)
KR (12) KR100776843B1 (en)
WO (1) WO2002063065A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050233093A1 (en) * 2002-12-05 2005-10-20 Kunihiro Tada Film formation method and apparatus utilizing plasma CVD
US20090178614A1 (en) * 2001-02-09 2009-07-16 Tokyo Electron Limited Film-forming apparatus
US20100200545A1 (en) * 2009-02-11 2010-08-12 Applied Materials, Inc. Non-contact substrate processing
WO2018130516A1 (en) * 2017-01-16 2018-07-19 Kobus Sas Treatment chamber for a chemical vapor deposition (cvd) reactor and thermalization process carried out in this chamber
US10774420B2 (en) 2016-09-12 2020-09-15 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus
US11183371B2 (en) * 2018-12-27 2021-11-23 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
TWI775132B (en) * 2019-09-20 2022-08-21 大陸商中微半導體設備(上海)股份有限公司 Heater, heating method and plasma processor

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP4121269B2 (en) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
KR100455430B1 (en) * 2002-03-29 2004-11-06 주식회사 엘지이아이 Cooling apparatus for surface treatment device of heat exchanger and manufacturing method thereof
US20040237889A1 (en) * 2003-05-28 2004-12-02 Winbond Electronics Corporation Chemical gas deposition process and dry etching process and apparatus of same
KR100527048B1 (en) * 2003-08-29 2005-11-09 주식회사 아이피에스 Method for depositing thin film on wafer
WO2005024928A1 (en) * 2003-09-03 2005-03-17 Tokyo Electron Limited Gas treatment device and heat readiting method
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
JP4513329B2 (en) 2004-01-16 2010-07-28 東京エレクトロン株式会社 Processing equipment
CN1669796B (en) * 2004-02-23 2012-05-23 周星工程股份有限公司 Device for manufacturing display basic board and blow head combination assemblaging therein
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
ES2373915T3 (en) * 2005-03-07 2012-02-10 Sharp Kabushiki Kaisha APPLIANCE FOR PLASMA TREATMENT AND SEMI-CONDUCTING GAS FILM MANUFACTURE METHOD USING THE SAME.
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US20060237556A1 (en) * 2005-04-26 2006-10-26 Spraying Systems Co. System and method for monitoring performance of a spraying device
US20070210182A1 (en) * 2005-04-26 2007-09-13 Spraying Systems Co. System and Method for Monitoring Performance of a Spraying Device
JP5044931B2 (en) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 Gas supply apparatus and substrate processing apparatus
JP2007146252A (en) * 2005-11-29 2007-06-14 Tokyo Electron Ltd Heat treatment method, heat treatment device, and storage medium
JP5020230B2 (en) * 2006-02-24 2012-09-05 東京エレクトロン株式会社 Ti-based film forming method and storage medium
KR100755753B1 (en) * 2006-03-30 2007-09-05 주식회사 아이피에스 A heating unit and thin film deposition apparatus having the same
JP5045000B2 (en) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, film forming method, and storage medium
KR101206725B1 (en) 2006-07-26 2012-11-30 주성엔지니어링(주) Substrate processing apparatus in which buffer insulator is insulted in gap between different potential surfaces
KR101339201B1 (en) * 2006-08-14 2013-12-09 주식회사 원익아이피에스 Unit for measuring temperature and Apparatus using the same
KR100791010B1 (en) * 2007-01-12 2008-01-03 삼성전자주식회사 Apparatus for fabricating semiconductor products and method of processing semiconductor substrates using the same
DE112008000169T5 (en) * 2007-01-12 2010-01-14 Veeco Instruments Inc. Gas Conditioning Systems
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US20080237184A1 (en) * 2007-03-30 2008-10-02 Mamoru Yakushiji Method and apparatus for plasma processing
US20080236614A1 (en) * 2007-03-30 2008-10-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US8419854B2 (en) * 2007-04-17 2013-04-16 Ulvac, Inc. Film-forming apparatus
KR100920417B1 (en) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 Sensing unit and substrate processing unit including the same
JP5141155B2 (en) * 2007-09-21 2013-02-13 東京エレクトロン株式会社 Deposition equipment
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5039576B2 (en) * 2008-01-11 2012-10-03 シャープ株式会社 Plasma processing equipment
JP5223377B2 (en) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 Electrode for plasma processing apparatus, plasma processing apparatus and plasma processing method
JP4558810B2 (en) * 2008-02-29 2010-10-06 富士フイルム株式会社 Deposition equipment
FR2930561B1 (en) * 2008-04-28 2011-01-14 Altatech Semiconductor DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE.
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US20100086703A1 (en) * 2008-10-03 2010-04-08 Veeco Compound Semiconductor, Inc. Vapor Phase Epitaxy System
WO2010114118A1 (en) * 2009-04-03 2010-10-07 東京エレクトロン株式会社 Deposition head and film forming apparatus
KR101036454B1 (en) 2009-06-08 2011-05-24 주식회사 테스 Gas injection apparatus for large processing chamber
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN103201828B (en) * 2010-11-05 2016-06-29 夏普株式会社 Oxidizing annealing processes the manufacture method of the thin film transistor (TFT) of device and use oxidizing annealing process
CN106884157B (en) * 2011-03-04 2019-06-21 诺发系统公司 Mixed type ceramic showerhead
TWI534291B (en) * 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
JP5762798B2 (en) * 2011-03-31 2015-08-12 東京エレクトロン株式会社 Ceiling electrode plate and substrate processing placement
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9748121B2 (en) * 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
TWI627305B (en) * 2013-03-15 2018-06-21 應用材料股份有限公司 Atmospheric lid with rigid plate for carousel processing chambers
KR20150046966A (en) * 2013-10-23 2015-05-04 삼성디스플레이 주식회사 Plasma processing apparatus and plasma processing method
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
JP6379550B2 (en) 2014-03-18 2018-08-29 東京エレクトロン株式会社 Deposition equipment
KR102263827B1 (en) * 2014-03-21 2021-06-14 삼성디스플레이 주식회사 Oxide semiconductor depositing apparatus and method of manufacturing oxide semiconductor using the same
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
KR101613864B1 (en) 2014-10-13 2016-04-20 주식회사 테스 Metal organic chemical vapour deposition reaction apparatus
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10487401B2 (en) * 2015-10-02 2019-11-26 Applied Materials, Inc. Diffuser temperature control
KR101941488B1 (en) * 2016-07-04 2019-01-23 세메스 주식회사 Shower head unit and Apparatus for treating substrate with the unit
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10867812B2 (en) 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
JP6926233B2 (en) * 2017-12-01 2021-08-25 東京エレクトロン株式会社 Substrate liquid processing equipment
US11084694B2 (en) 2018-03-27 2021-08-10 Samsung Electronics Co., Ltd. Jacking tool and semiconductor process apparatus having the same
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
CN111785604A (en) * 2019-04-04 2020-10-16 中微半导体设备(上海)股份有限公司 Gas spray header, manufacturing method and plasma device comprising gas spray header
KR20220018591A (en) * 2019-06-07 2022-02-15 램 리써치 코포레이션 Independently tunable flow path conductance in multi-station semiconductor processing
KR102268559B1 (en) * 2019-07-03 2021-06-22 세메스 주식회사 Shower head unit and system for treating substrate with the shower head unit
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN114503246A (en) * 2019-09-13 2022-05-13 应用材料公司 Semiconductor processing chamber
KR102235672B1 (en) * 2019-12-19 2021-04-01 광운대학교 산학협력단 Atmospheric Pressure Plasma Generation System and Method for Large Area
CN111321463B (en) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 Reaction chamber
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
CN114334700A (en) * 2020-09-29 2022-04-12 长鑫存储技术有限公司 Installation jig of semiconductor equipment electrode plate
JP7317083B2 (en) * 2021-09-01 2023-07-28 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, program, and substrate processing method

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US6056823A (en) * 1997-09-11 2000-05-02 Applied Materials, Inc. Temperature controlled gas feedthrough
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6631692B1 (en) * 1999-03-18 2003-10-14 Asm Japan K.K. Plasma CVD film-forming device

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3283272B2 (en) * 1991-04-30 2002-05-20 株式会社ニチレイ Enhancer nucleic acid base sequence
US5447568A (en) * 1991-12-26 1995-09-05 Canon Kabushiki Kaisha Chemical vapor deposition method and apparatus making use of liquid starting material
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3190165B2 (en) * 1993-04-13 2001-07-23 東京エレクトロン株式会社 Vertical heat treatment apparatus and heat treatment method
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07230956A (en) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd Plasma cvd device
JP2840026B2 (en) 1994-05-02 1998-12-24 日本エー・エス・エム株式会社 Air-cooled processing apparatus and method for continuously processing an object to be processed using the apparatus
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JPH0930893A (en) * 1995-05-16 1997-02-04 Hitachi Electron Eng Co Ltd Vapor growth device
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
JPH0987090A (en) * 1995-09-26 1997-03-31 Toshiba Corp Vapor growth method and device therefor
KR100267418B1 (en) 1995-12-28 2000-10-16 엔도 마코토 Plasma treatment and plasma treating device
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
JP3310171B2 (en) 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
JP3649267B2 (en) * 1996-10-11 2005-05-18 株式会社荏原製作所 Reactive gas injection head
JPH10280149A (en) * 1997-04-04 1998-10-20 Ebara Corp Gas injection device
KR19980071012A (en) 1997-01-24 1998-10-26 조셉 제이. 스위니 Method and apparatus for depositing high temperature and high deposition rate titanium films
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
JPH1167693A (en) 1997-06-11 1999-03-09 Tokyo Electron Ltd Device and method for cvd film-formation
JP2001523889A (en) * 1997-11-17 2001-11-27 シメトリックス・コーポレーション Method and apparatus for performing mist deposition of thin films
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JPH11302850A (en) 1998-04-17 1999-11-02 Ebara Corp Gas injection device
KR100267885B1 (en) * 1998-05-18 2000-11-01 서성기 Deposition apparatus
JP3461120B2 (en) 1998-06-29 2003-10-27 日立化成工業株式会社 Electrode plate for plasma etching and plasma etching apparatus
JP2000073376A (en) * 1998-08-31 2000-03-07 Misawa Homes Co Ltd Sheath pipe
KR100280519B1 (en) 1998-11-17 2001-03-02 김영환 Gas injection device for semiconductor organic metal chemical vapor deposition equipment
US20020179245A1 (en) * 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
JP3363405B2 (en) 1999-03-17 2003-01-08 株式会社日立製作所 Plasma processing apparatus and plasma processing apparatus system
JP3205312B2 (en) 1999-03-17 2001-09-04 株式会社日立製作所 Plasma processing apparatus and maintenance method for plasma processing apparatus
JP2000315658A (en) 1999-04-30 2000-11-14 Tokyo Electron Ltd Thermal treatment equipment
JP4467667B2 (en) 1999-05-21 2010-05-26 東京エレクトロン株式会社 Plasma processing equipment
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
JP4307628B2 (en) 1999-05-19 2009-08-05 キヤノンアネルバ株式会社 Flat plate gas introduction device for CCP reaction vessel
JP2001021039A (en) 1999-07-07 2001-01-26 Hitachi Kokusai Electric Inc Semiconductor manufacturing device
WO2001007677A1 (en) * 1999-07-26 2001-02-01 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device
JP4220075B2 (en) * 1999-08-20 2009-02-04 東京エレクトロン株式会社 Film forming method and film forming apparatus
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
WO2001088971A1 (en) * 2000-05-17 2001-11-22 Tokyo Electron Limited Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism
KR100776843B1 (en) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 FILM FORMING DEVICE AND Ti-FILM FILM FORMING DEVICE
US6602800B2 (en) * 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6901808B1 (en) * 2002-02-12 2005-06-07 Lam Research Corporation Capacitive manometer having reduced process drift
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6838329B2 (en) * 2003-03-31 2005-01-04 Intel Corporation High concentration indium fluorine retrograde wells
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US6056823A (en) * 1997-09-11 2000-05-02 Applied Materials, Inc. Temperature controlled gas feedthrough
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6631692B1 (en) * 1999-03-18 2003-10-14 Asm Japan K.K. Plasma CVD film-forming device
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090178614A1 (en) * 2001-02-09 2009-07-16 Tokyo Electron Limited Film-forming apparatus
US20100107977A1 (en) * 2001-02-09 2010-05-06 Tokyo Electron Limited Film forming apparatus
US8128751B2 (en) * 2001-02-09 2012-03-06 Tokyo Electron Limited Film-forming apparatus
US20100240216A1 (en) * 2002-12-05 2010-09-23 Kunihiro Tada Film formation method and apparatus utilizing plasma cvd
US20050233093A1 (en) * 2002-12-05 2005-10-20 Kunihiro Tada Film formation method and apparatus utilizing plasma CVD
US10074555B2 (en) 2009-02-11 2018-09-11 Applied Materials, Inc. Non-contact substrate processing
US20100200545A1 (en) * 2009-02-11 2010-08-12 Applied Materials, Inc. Non-contact substrate processing
WO2010093568A2 (en) * 2009-02-11 2010-08-19 Applied Materials, Inc. Non-contact substrate processing
WO2010093568A3 (en) * 2009-02-11 2010-12-02 Applied Materials, Inc. Non-contact substrate processing
US8388853B2 (en) 2009-02-11 2013-03-05 Applied Materials, Inc. Non-contact substrate processing
US10774420B2 (en) 2016-09-12 2020-09-15 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus
WO2018130516A1 (en) * 2017-01-16 2018-07-19 Kobus Sas Treatment chamber for a chemical vapor deposition (cvd) reactor and thermalization process carried out in this chamber
FR3061914A1 (en) * 2017-01-16 2018-07-20 Kobus Sas TREATMENT CHAMBER FOR A CHEMICAL VAPOR DEPOSITION REACTOR (CVD) AND METHOD OF THERMALIZATION IMPLEMENTED IN THIS CHAMBER
US11680321B2 (en) * 2018-12-13 2023-06-20 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US20220178030A1 (en) * 2018-12-13 2022-06-09 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11183371B2 (en) * 2018-12-27 2021-11-23 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11862436B2 (en) 2018-12-27 2024-01-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
TWI821482B (en) * 2018-12-27 2023-11-11 日商東京威力科創股份有限公司 Plasma processing apparatus and plasma processing method
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
TWI775132B (en) * 2019-09-20 2022-08-21 大陸商中微半導體設備(上海)股份有限公司 Heater, heating method and plasma processor
US11697877B2 (en) * 2020-06-17 2023-07-11 Applied Materials, Inc. High temperature face plate for deposition application
US20220119950A1 (en) * 2020-06-17 2022-04-21 Applied Materials, Inc. High temperature face plate for deposition application
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application

Also Published As

Publication number Publication date
KR100676979B1 (en) 2007-02-01
KR101004199B1 (en) 2010-12-24
KR20090024306A (en) 2009-03-06
EP1371751A1 (en) 2003-12-17
KR20070004126A (en) 2007-01-05
KR20090082520A (en) 2009-07-30
KR100749377B1 (en) 2007-08-14
KR20060037470A (en) 2006-05-03
KR101004173B1 (en) 2010-12-24
KR101004222B1 (en) 2010-12-24
US20100107977A1 (en) 2010-05-06
KR100756107B1 (en) 2007-09-05
KR20060103287A (en) 2006-09-28
KR100945320B1 (en) 2010-03-08
KR20090024307A (en) 2009-03-06
KR100922241B1 (en) 2009-10-15
KR100776843B1 (en) 2007-11-16
US20090178614A1 (en) 2009-07-16
KR20060038481A (en) 2006-05-03
KR20090083490A (en) 2009-08-03
JP2008214763A (en) 2008-09-18
KR20050101567A (en) 2005-10-24
KR101004192B1 (en) 2010-12-24
KR20100067693A (en) 2010-06-21
EP1371751B1 (en) 2011-08-17
KR20100065403A (en) 2010-06-16
US7661386B2 (en) 2010-02-16
KR100735932B1 (en) 2007-07-06
KR100945321B1 (en) 2010-03-08
EP1371751A4 (en) 2005-09-07
JP4889683B2 (en) 2012-03-07
US8128751B2 (en) 2012-03-06
US20050000423A1 (en) 2005-01-06
KR20030076667A (en) 2003-09-26
WO2002063065A1 (en) 2002-08-15

Similar Documents

Publication Publication Date Title
US8128751B2 (en) Film-forming apparatus
US7618493B2 (en) Device and method for manufacturing thin films
KR101089977B1 (en) Film forming apparatus and method, gas supply device and storage medium
US20060137607A1 (en) Combination of showerhead and temperature control means for controlling the temperature of the showerhead, and deposition apparatus having the same
US8262798B2 (en) Shower head, device and method for manufacturing thin films
JP4260404B2 (en) Deposition equipment
KR20050105249A (en) Vacuum processing apparatus
JP4782761B2 (en) Deposition equipment
JP2003017477A (en) Semiconductor-manufacturing apparatus and process chamber structure thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION